KR19980064673A - 반응성 이온 에칭을 사용하여 teos 마스크를 제거하기 위해폴리실리콘 에치 정지물을 가진 패드 스택 - Google Patents

반응성 이온 에칭을 사용하여 teos 마스크를 제거하기 위해폴리실리콘 에치 정지물을 가진 패드 스택 Download PDF

Info

Publication number
KR19980064673A
KR19980064673A KR1019970074008A KR19970074008A KR19980064673A KR 19980064673 A KR19980064673 A KR 19980064673A KR 1019970074008 A KR1019970074008 A KR 1019970074008A KR 19970074008 A KR19970074008 A KR 19970074008A KR 19980064673 A KR19980064673 A KR 19980064673A
Authority
KR
South Korea
Prior art keywords
layer
pad
hard mask
mask
substrate
Prior art date
Application number
KR1019970074008A
Other languages
English (en)
Inventor
칼파울 뮐러
베른하르트 포쉔리더
클라우스 로이트너
Original Assignee
로더리히 네테부쉬 롤프 옴케
지멘스악티엔게젤샤프트
제프리 엘.포먼
인터내셔날비지니스머신스코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 로더리히 네테부쉬 롤프 옴케, 지멘스악티엔게젤샤프트, 제프리 엘.포먼, 인터내셔날비지니스머신스코포레이션 filed Critical 로더리히 네테부쉬 롤프 옴케
Publication of KR19980064673A publication Critical patent/KR19980064673A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/038Making the capacitor or connections thereto the capacitor being in a trench in the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Semiconductor Memories (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

본 발명은 반응성 이온 에칭과 같은 비등방성 기술을 이용해 TEOS 에칭 마스크층을 제거하기 위한 방법에 관한 것이다. 상기 비등방성 기술의 사용은 습식 화학 에칭 기술보다 패드 산화물층의 언더커팅을 실질적으로 줄이는 결과를 가져온다. 본 발명의 한 실시예는 상기 패드 TEOS층 하부에 놓인 폴리실리콘 에치 정지층의 형성을 포함한다.

Description

반응성 이온 에칭을 사용하여 TEOS 마스크를 제거하기 위해 폴리실리콘 에치 정지물을 가진 패드 스택
본 발명은 일반적으로 DRAM(dynamic random access memories) 구조에 관한 것이며, 특히 TEOS(tetre-ethyl-ortho-silicate) 마스크를 제거하는 동안 패드 산화물의 언더커팅을 실질적으로 방지하기 위한 방법에 관한 것이다.
전형적인 DRAM는 그 각각이 데이터 저장 캐패시터와 액세스 트랜지스터를 갖는 다중 저장 셀들을 포함한다. 이러한 구조는 반도체 기억소자에 사용되어 진다.
DRAM에는 일반적으로 두 개의 저장 셀 배열중 하나가 제공된다. 첫 번째 저장 셀 배열은 반도체 기판위에 형성된 다층 캐패시터 구조를 포함한다. 나머지 하나의 저장 셀 배열은 반도체 기판위에 형성된 트렌치 캐패시터 구조를 포함한다.
트렌치 캐패시터 구조가 반도체 기판의 주어진 면적당 최고의 메모리 셀 밀도를 허용하기 때문에, 최근에는 다양한 마이크로-전자 소자에 있어서 트렌치 DRAM 셀에 대한 선호도가 꾸준히 증가해 왔다.
DRAM 셀을 위한 전형적인 캐패시턴스 저장 트렌치는 일반적으로 반도체 기판 표면 안으로 에칭되는 실린더형 트렌치를 포함한다.
트렌치는 전형적으로 반응성 이온 에칭(reactive ion etching : RIE)과 같은 딥 트렌치 에칭 공정을 사용하여 반도체 기판에 만들어진다. 에칭을 하기 전에, 다층 마스크는 반도체 기판의 상면에 증착되고 트렌치가 반도체 기판의 적절한 영역에 선택적으로 만들어질 수 있도록 패터닝된다. 이는 먼저 반도체 상기 기판 위에 패드 산화물층을 증착시켜 이루어진다. 다음으로 패드 질화물층이 상기 패드 산화물층 위에 증착된다. 마스크층은 상기 패드 질화물 위에 패드 TEOS층을 증착시키고 종래의 기술을 이용해 상기 세 개의 패드층을 패터닝시켜 완성된다. 상기 마스크층의 패터닝은 상기 트렌치가 만들어질 상기 반도체 기판의 선택된 영역을 노출시킨다. 상기 패드 TEOS는 딥 트렌치 에칭을 위한 RIE 경질 마스크 물질 같은 역할을 한다.
상기 딥 트렌치 에칭 공정이 행해진 이후에, 상기 패드 질화물층의 일부분이 트렌치에서 돌출 되는데, 이는 다음의 DRAM 공정이 실행되기 전에 제거되어야 한다. 다음의 DRAM 공정은 또한 상기 패드 TEOS층의 제거를 요한다. 현재는, 상기 패드 산화물층과 패드 질화물층을 심하게 언더커팅하는 두 개의 분리 실행되는 습식 화학 등방성 에칭 과정을 사용해, 상기 패드 질화물의 돌출부와 상기 패드 TEOS층이 제거된다.
따라서, 상기 패드 산화물층과 패드 질화물층을 언더커팅함이 없이 상기 패드 질화물의 돌출부와 패드 TEOS층을 제거하도록 하는 방법이 필요하다.
도 1a 내지 도 1g는 TEOS 마스크 제거를 위한 선행 기술을 보여주는 기판에 대한 측단면도이다.
도 2a는 본 발명에 따른 TEOS 마스크 제거를 위한 에치 정지물로서 폴리실리콘을 가진 패드 스택을 증착 시킨 후의 기판에 대한 측단면도이다.
도 2b는 상기 패드 스택을 패터닝 한 후의 도 2a의 기판에 대한 측단면도이다.
도 2c는 딥(deep) 트렌치 에칭 후의 도 2b의 기판에 대한 측단면도이다.
도 2d는 측벽 패시베이션 스트리핑 후의 도 2c의 기판에 대한 측단면도이다.
도 2e는 패드 TEOS 제거 후의 도 2d의 기판에 대한 측단면도이다.
도 2f는 노드(node) 유전체 형성 후의 도 2e의 기판에 대한 측단면도이다.
도 2g는 폴리실리콘으로 충진한 트렌치 후의 도 2f의 기판에 대한 측단면도이다.
도 2h는 상기 폴리실리콘 패드 에치 정지층을 CMP 제거한 후의 도 2g의 기판에 대한 측단면도이다.
* 도면의 주요부분에 대한 부호의 설명 *
10 : 기판 14 : 패드 산화물
16 : 패드 질화물 18 : 패드 TEOS층
20 : 딥 트렌치 21 : 트렌치 측벽
22 : 트렌치 측벽의 상면
24 : 측벽 패시베이션 필름
28 : 에지 42 : 패드 스택
44 : 증착 촉진층 46 : 연마 정지층
48 : 경질 마스크 에치 정지층 50 : 경질 마스크
패터닝 소자 구조를 사용한 마스크 제거 공정이 개시돼 있다. 상기 공정은 상면에 상기 소자 구조가 형성된 반도체 기판을 제공하는 것을 포함한다. 마스크는 상기 기판 위에 형성된다. 본 발명에 따르면, 상기 마스크는 경질 마스크층과 그 하부에 경질 마스크 에치 정지층을 포함한다. 상기 마스크는 선택된 영역을 한정하기 위해 패터닝된다. 선택된 영역 내의 기판의 일부가 제거된다. 기판의 상기 부분이 제거된 후에, 상기 기판의 표면에 남은 경질 마스크층의 상기 부분을 제거하기 위해 비등방 건식 공정이 행해진다. 반응성 이온 에칭과 같은 비등방 건식 공정은 상기 경질 마스크 에치 정지층에 대해 선택적이다. 이 방법에서, 상기 RIE는 상기 경질 마스크 에치 정지층을 제거함 없이 상기 경질 마스크층을 없앤다. 상기 RIE의 사용은 상기 마스크에 남아 있는 층들 내에서의 언더커팅의 발생을 줄인다.
본 발명의 상세한 이해를 위해, 첨부된 도면과 함께 아래의 상세한 설명을 참조한다.
본 발명은 전반적으로 소자의 제조와 관련돼 있다. 특히, 본 발명은 상기 패드 산화물과 같은 패드층들 중 하나에서 마스크 제거시 발생할 수 있는 언더커팅을 줄이거나 또는 없애는 것이 그 목적이다. 설명을 돕기 위해, 본 발명은 트렌치 캐패시터 소자와 관련해서 기술된다. 그러나, 본 발명의 범위는 훨씬 더 넓고, 패드층의 언더커팅이 다음 공정 단계에 역효과를 끼치는 소자의 제조까지 넓힐 수 있다.
본 발명을 설명하기 전에 종래의 마스크와 마스크 제거 기술을 미리 설명한다. 도 1a를 참조하면, DRAM 셀을 위한 캐패시턴스 저장 딥 트렌치(20)가 도시되어 있다. 상기 트렌치 캐패시터는 종래의 패터닝과 에칭 기술에 의해 형성된다. 이러한 기술은 예를 들면 Muller et al., Microelectronic Engineering, 27, p457 (1995)과 Boudur et al., Extended Abstracts, Elctrochem.. Soc., Vol. 90-1 (May 1990)에 설명되어 있고, 이 둘은 참고하기 위해 여기에 편집돼 있다. 도시된 바와 같이, 종래의 패드 스택(12)은 기판(10) 위에 형성된다. 상기 패드 스택은 에칭 마스크와 같은 역할을 한다. 상기 마스크는 트렌치들이 예를 들어 종래의 반응성 이온 에칭 기술을 사용해 형성되는 영역을 한정하기 위해 패터닝된다.
상기 패드 스택은 패드 산화물층(14), 패드 질화물층(16), 및 패드 TEOS층(18)을 포함한다. 상기 패드 TEOS층은 반응성 이온 에칭을 위한 경질 마스크를 제공하고, 상기 질화물층은 다음 화학 기계 연마(chemical mechanical polishing : CMP)단계에 대한 연마 정지물과 같은 역할을 하고, 상기 패드 산화물은 인터페이스에서의 복원하는 응력(reliving stress) 뿐만 아니라 상기 기판에 대한 상기 패드 질화물층의 접착을 촉진한다.
도 1a에서 보여진 것처럼 측벽 패시베이션 필름(24)은 상기 반응성 이온 에칭 딥 트렌치 에칭 공정의 결과로 상기 트렌치 측벽(21)의 위(22)를 따라 만들어진다. 또한 상기 트렌치를 한정하는 상기 TEOS층의 에지는 전형적으로 상기 TEOS층의 평면 부분보다 상기 반응성 이온 에칭 동안 이온의 수직 충격에 대하여 상대적으로 더 노출된다. 이온의 더 큰 노출은 에칭률을 높여서, 상기 패드 TEOS층(18)내에 깎여진 상부 코너(26)를 야기한다. 일반적으로, 상기 패드 TEOS층(18)의 깎여진 상부 코너(26)는 하부에 놓인 패드 질화물층(16)에 닿지 않는 한은 허용될 수 있다. 상기 패드 질화물층내의 깎인 면은 다음의 DRAM 공정에서 나중에 발생할 수 있는 화학 기계 연마 공정에 나쁜 영향을 끼친다.
도 1b는 상기 측벽 패시베이션 필름(24) 제거하고 불화수소산 완충액에 담그는 것과 같은 습식 화학 공정을 사용해 상기 딥 트렌치(20)의 개구부를 돌출시키는 상기 패드 질화물층(16)의 일부(31)를 뒤로 끌어낸 후의 도 1a의 상기 캐패시턴스 저장 트렌치(20)를 도시한다. 상기 습식 화학 공정은 또한 에지(28)에서 상기 패드 TEOS층(18)을 뒤로 끌어내는 경향이 있고 아래에 놓인 상기 패드 질화물층(16)의 일부를 노출시킨다. 게다가, 상기 습식 화학 공정이 등방성이기 때문에, 에지(30)에서 나타나는 상기 패드 산화물층(14)을 언더커팅한다.
상기 패드 TEOS층은 습식 화학 공정을 사용해 제거할 수 있다. 그러나, 상기 패드 질화물층이 노출되기 때문에, 상기 패드 TEOS의 제거는 상기 패드 질화물층의 바람직하지 않은 추가의 언더커팅을 야기한다. 상기 패드 질화물층의 추가적인 언더커팅은 상기 패드 질화물층의 들뜸 및/또는 버즈 빅(birds beak) 형성을 야기시킨다. 종래에는, 상기 패드 질화물층의 언더커팅을 다음 공정 단계가 완료될 때까지 상기 패드 TEOS층 제거를 지연시킴으로써 방지되었다. 이들 다음 공정 단계들은 등방 습식 화학 공정 동안 상기 산화물층의 노출된 에지가 언더커팅되는 것을 확실히 방지한다.
도 1c를 참조하면, 얇은 유전 필름 또는 노드 유전층(32)이 종래의 열 산화막 형성 기술을 사용하여 상기 딥 트렌치의 전 표면을 따라 형성돼 있다. 다음으로, 도 1d에서 보여진 것처럼, 폴리실리콘 플러그(34)는 저압 화학 기상 증착(low pressure chemical vapor deposition : LPCVD)과 같은 종래의 기술을 사용해 상기 딥 트렌치를 충진시키기 위해 증착된다. 상기 폴리실리콘을 사용한 트렌치 충진은 상기 기판 표면 위에 폴리실리콘층(36)을 형성한다. 마지막으로 도 1e는, 상기 폴리실리콘 트렌치 충진으로부터 상기 폴리실리콘 플러그(34) 형성 동안 증착된(도 1d에서 보여진) 잉여의 폴리실리콘층(36)이 CMP 단계에 의해 제거된다.
도 1e에서는, 상기 폴리실리콘 플러그(34)가 상기 패드 산화물층을 덮고, 이로 인해 상기 습식 화학 에칭 공정에 의해 야기되는 추가의 언더커팅을 막는다. 그러므로, 불화수소산 배스(bath)와 같은 습식 화학 공정에 의해 상기 패드 TEOS의 제거는 상기 패드 산화물층에 손상없이 부식할 수 있다. 도 1f에서 보여진 것처럼 상기 패드 TEOS층을 제거한 후, 상기 폴리실리콘 플러그(34)는 상기 패드 질화물층(16)위 까지 넓혀진다. 따라서, 추가의 CMP 마무리 작업이 도 1g에서 보여진 것처럼 상기 기판(10)을 평평하게 하기 위해 행해진다.
도 2a 내지 도 2h는 본 발명의 실시예를 도시한다. 본 발명은 상기 패드 산화물층의 추가의 언더커팅을 야기함 없이 상기 딥 트렌치 형성 이후의 상기 에칭 마스크의 경질 마스크층의 제거를 가능케 한다. 위에서 설명된 바와 같이, 이러한 추가의 언더커팅은 CMP와 같은 다음 공정에 역효과를 가져온다.
도 2a를 참조하면, 패드 스택(42)이 반도체 기판의 표면에 형성돼 있다. 상기 패드 스택은 상기 기판내에 캐패시턴스 트렌치 영역을 한정하고 형성하기 위한 에칭 마스크와 같은 역할을 한다. 보여진 것처럼, 상기 패드 스택은 상기 기판의 표면에 연속적으로 형성되는 증착 촉진층(44)을 구비한 다수의 패드 필름, 연마 정지층(46), 경질 마스크 에치 정지층(48), 및 경질 마스크층(50) 등을 포함한다. 다양한 종래의 기술들이 상기 패드 필름 형성에 사용된다. 이러한 기술들은 예를 들어, 열 산화막 형성과 저압 CVD(low pressure CVD : LPCVD), 상압 증착(atmospheric-pressure : APCVD), 및 PECVD(plasma-enchanced CVD)와 같은 다양한 CVD를 포함한다. 이러한 기술들은 Sze, VLSI Technology, 2nd ed., McGraw-Hill (1988)에 설명돼 있고, 여기에서는 참조하기 위해 편집돼 있다.
실례로, 상기 경질 마스크층은 상기 딥 트렌치 형성을 위한 반응성 이온 에칭 공정이 앞선 층을 노출시키기 위한 상기 TEOS를 부식시키는 것을 방지할 수 있는 충분한 두께의 TEOS층을 포함한다. BSG(boron doped silicate glass)와 같은 다른 물질 또한 사용될 수 있다. 전형적으로, 상기 TEOS층은 약 5000-7000Å의 두께를 가진다. 상기 경질 마스크층 하부에는 상기 경질 마스크 에치 정지층(48)이 있다. 상기 경질 마스크 에치 정지층은 반응성 이온 에칭과 같은 비등방 건식 공정의 사용을 가능케 한다. 상기 반응성 이온 에칭은 상기 경질 마스크 에치 정지층에 대해 선택적이어서 선행 층들의 노출을 방지하게 위한 상기 경질 마스크 에칭층을 효과적으로 제거하지 못하는 상기 경질 마스크층(50)을 제거할 수 있게 한다. 한 실시예로, 상기 경질 에치 정지층은 상기 경질 마스크층(50)을 제거하는 동안에 상기 선행한 패드 필름들의 노출을 막기에 충분한 두께를 가진 폴리실리콘층을 포함한다. 전형적으로, 상기 에치 정지층의 두께는 약 40-60nm이다. 한 실험에서, 약 50nm의 폴리실리콘 에치 정지층은 상기 딥 트렌치 형성을 위한 반응성 이온 에칭 공정 이후 약 50-250nm의 두께를 가진 TEOS 경질 마스크를 제거하는 동안에 상기 패드 필름의 노출을 방지하기에 충분하다.
대안적으로, 실리콘 질화물에 대해 선택적인 반응성 이온 에칭 공정은 상기 TEOS층을 제거하기 위해 행해진다. 실리콘 질화물에 대해 선택적인 상기 반응성 이온 에칭의 사용은 상기 패드 질화물이 상기 TEOS층을 제거하는 동안에 에치 정지층과 같은 역할을 할 수 있게 하고, 상기 TEOS층(50)과 상기 패드 질화물층(46) 사이의 상기 에치 정지층(48)이 제거될 수 있도록 한다. 이어지는 설명에 의해 명백해지듯이, 본 발명은 상기 경질 마스크층(50)의 제거를 위한 종래의 습식 화학 공정에 의해 야기된 상기 패드 산화물층(44)의 언더커팅을 감소시킨다.
상기 연마 정지층(46)은 예를 들면 LPCVD에 의해 형성된 질화물층을 포함한다. 상기 질화물층의 두께는 CMP와 같은 연마 단계가 하부층을 노출시키는 것을 방지하기에 충분하다. 전형적으로, 상기 질화물층의 두께는 약 200-240nm이고, 약 220nm가 적당하다. 상기 증착 촉진 필름은 예를 들어 약 70-120Å(적당하기로는 약 80Å)의 두께를 가진 열적으로 자란 패드 산화물층을 포함한다.
도 2b는 상기 딥 트렌치가 위치할 상기 기판(40)의 하부를 노출시키는 상기 마스크층(42)의 선택된 영역을 제거하기 위한 종래의 사진 에칭 공정을 사용하여 상기 마스크층(42)을 패터닝한 후의 도 2a의 상기 기판(40)을 도시한 것이다.
도 2c에서, 딥 트렌치(54)가 종래의 비등방 반응성 이온 에칭 트렌치 에칭 안에 형성된다. 측벽 패시베이션 필름(60)은 상기 반응성 이온 에칭 트렌치 에칭동안에 상기 트렌치 측벽(56)의 위(58)에 형성된다. 도 2d에서, 상기 측벽 패시베이션 필름은 불화수소산 완충액 또는 희석액에 담금으로써 습식 화학 제거를 한다. 상기 산용액에 담그는 시간은 오로지 상기 측벽 패시베이션 필름만을 실질적으로 제거하기 위해 최대한 활용된다. 상기 산용액에 담그기를 오로지 상기 측벽 패시베이션 필름만을 실질적으로 제거하도록 최대한 활용하므로써, 상기 패드 산화물층이 상기 산용액에 노출되는 시간이 상대적으로 짧다. 결과적으로, 상기 패드 산화물층의 언더커팅이 감소된다. 실제로, 약 1-6nm의 언더커팅이 상기 패드 산화물에서 발생한다.
공정의 다음 단계에서, 상기 남아 있는 패드 TEOS층(50)이 습식 반응성 이온 에칭 공정과 같은 비등방 공정을 사용해 제거된다. 상기 반응성 이온 에칭은 상기 에치 정지층을 가진(즉 TEOS에 비해 매우 낮은 비율로 에치 정지층을 가진 물질을 에칭하는) 물질에 대해 매우 선택적이다. 한 실시예로, 상기 패드 에치 정지층이 폴리실리콘을 포함하기 때문에 상기 반응성 이온 에칭은 폴리실리콘에 대해 매우 선택적이다. 상기 반응성 이온 에칭의 결과가 도 2e에 도시되어 있다. 상기 반응성 이온 에칭 공정이 비등방성이기 때문에, 이온 충격에 수직으로 노출된 부분만이 에칭된다. 상기 패드 산화물층(44)의 어떠한 추가의 언더커팅도 상기 패드 질화물층(46)에 의해 수직 이온 충격이 가려지기 때문에 발생하지 않는다. 따라서, 종래의 트렌치 형성 기술에서 요구되는 실리콘 플러그를 가진 상기 패드 산화물(44)을 보호할 필요가 없다. 게다가, 바로 다음의 공정단계는 평면 표면(예를 들면 기복이 없는 TEOS)에서 이익을 얻게되고, 그 결과 TEOS 제거 이후 종래 공정 단계에서 요구되는 CMP 연마 단계가 필요 없다.
본 발명의 또 다른 실시예에서, 상기 측벽 패시베이션 필름은 원한다면 상기 건식 반응성 이온 에칭 TEOS 제거 공정 동안에 상기 남아 있는 패드 TEOS층과 동시에 제거할 수 있고, 그 결과 불화수소산 완충액에 담글 필요가 없어진다. 결과적으로, 상기 산화물층(44)의 언더커팅은 훨씬 더 감소된다.
상기 패드 TEOS층 건식 제거 이후에,다음의 DRAM 공정이 계속된다. 도 2f에서 좀 더 자세히 도시된 것처럼, 이는 종래의 열 산화막 형성 기술을 사용해 상기 딥 트렌치(54)의 표면 전체를 따라 얇은 유전 필름 또는 노드 유전층(64)을 형성하는 것을 포함한다. 도 2g를 참조하여, 딥 트렌치(54)는 LPCVD와 같은 종래의 기술을 사용해 폴리실리콘 플러그(66)로 충진된다. 폴리실리콘 증착은 또한 폴리실리콘층(68)을 형성한다. 상기 폴리실리콘 플러그(66)의 잉여층(68)과 상기 패드 폴리실리콘층(48)은 화학 연마(CMP) 단계에 의해 제거된다. 도 2h를 참조하면, CMP 단계에 의한 구조가 도시되어 있다.
여기에 설명한 실시예들이 단지 모범적인 예일 뿐이고, 당업자들이 여기에 설명된 것과 기능적으로 같은 요소를 이용한 실시예에 대한 많은 변화와 변경을 할 수도 있다는 것을 숙지해야한다. 당업자들에게 명백해질 수 있는 이러한 변화나 변경의 어떤 것 또는 모든 것뿐만 아니라 다른 것들도 첨부된 청구항에 의해 규정된 것처럼 본 발명의 범위 내에 포함되어야 한다.
종래에는 딥 트렌치 에칭 공정이 행해진 이후, 트렌치에서 돌출된 패드 질화물층을 추가의 DRAM 공정을 통해 제거해야 한다. 상기 추가의 DRAM 공정 또한 패드 TEOS층의 제거가 필요하다. 현재의 기술은 상기 패드 질화물층과 패드 TEOS층을 심하게 언더커팅하는 두 개의 분리 실행되는 습식 화학 등방 에칭 공정을 사용한다. 그러나, 본 발명은 비등방 에칭 공정을 사용해 상기 패드 산화물층과 패드 질화물층을 언더커팅함 없이 패드 질화물층의 돌출부와 패드 TEOS층을 실질적으로 제거할 수 있는 효과가 있다.

Claims (2)

  1. 소자 제조를 패터닝하기 위해 사용된 마스크를 제거하기 위한 방법에 있어서,
    반도체 기판을 준비하는 단계;
    첫 번째 층위의 경질 마스크 에치 정지층 및 상기 경질 마스크 에치 정지층위의 경질 마스크층을 갖는 마스크를 상기 기판위에 형성하는 단계;
    선택된 영역을 한정하도록 마스크를 패터닝하는 단계;
    상기 선택된 영역내의 기판의 일부를 없애는 제거하는 단계; 및
    상기 선택된 영역내에 위치하지 않는 기판 표면 위의 상기 경질 마스크층 부분들을 제거하며, 상기 경질 마스크 에치 정지층의 제거를 방지하도록 상기 경질 마스크 에치 정지층에 대해 선택적으로 수행되며, 상기 마스크의 남아 있는 층들의 언더커팅을 감소시키도록 하는 비등방 공정을 수행하는 단계를 포함하는 것을 특징으로 하는 방법.
  2. RAM 셀을 위한 캐패시턴스 저장 트렌치를 제조로 하는 방법에 있어서,
    반도체 기판을 준비하는 단계;
    첫 번째 층위의 경질 마스크 에치 정지층 및 상기 경질 마스크 에치 정지층위의 경질 마스크층을 갖는 마스크를 상기 기판위에 형성하는 단계;
    상기 트렌치를 형성하기 위해 선택된 영역을 한정하는 마스크를 패터닝하는 단계;
    상기 트렌치를 형성하기 위해 선택된 영역 내의 기판의 일부를 제거하는 단계;
    상기 기판의 표면 위에 놓인 경질 마스크층의 남아 있는 부분들을 제거하고, 상기 경질 마스크 에치 정지층의 제거를 방지하도록 상기 경질 마스크 에치 정지층에 대해 선택적으로 수행되고, 상기 마스크의 남아 있는 층들의 언더커팅을 감소시키도록 하는 비등방 공정을 수행하는 단계;
    상기 트렌치내에 노드 유전체를 형성하는 단계; 및
    폴리실리콘 물질로 상기 트렌치를 충진시키는 단계를 포함하는 것을 특징으로 하는 방법.
KR1019970074008A 1996-12-26 1997-12-26 반응성 이온 에칭을 사용하여 teos 마스크를 제거하기 위해폴리실리콘 에치 정지물을 가진 패드 스택 KR19980064673A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8/777,156 1996-12-26
US08/777,156 US5776808A (en) 1996-12-26 1996-12-26 Pad stack with a poly SI etch stop for TEOS mask removal with RIE

Publications (1)

Publication Number Publication Date
KR19980064673A true KR19980064673A (ko) 1998-10-07

Family

ID=25109444

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970074008A KR19980064673A (ko) 1996-12-26 1997-12-26 반응성 이온 에칭을 사용하여 teos 마스크를 제거하기 위해폴리실리콘 에치 정지물을 가진 패드 스택

Country Status (5)

Country Link
US (1) US5776808A (ko)
EP (1) EP0854510A3 (ko)
JP (1) JPH10200076A (ko)
KR (1) KR19980064673A (ko)
TW (1) TW360976B (ko)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5942449A (en) * 1996-08-28 1999-08-24 Micron Technology, Inc. Method for removing an upper layer of material from a semiconductor wafer
US5955756A (en) * 1997-05-29 1999-09-21 International Business Machines Corporation Trench separator for self-defining discontinuous film
US6013937A (en) * 1997-09-26 2000-01-11 Siemens Aktiengesellshaft Buffer layer for improving control of layer thickness
US5907771A (en) * 1997-09-30 1999-05-25 Siemens Aktiengesellschaft Reduction of pad erosion
US6020091A (en) * 1997-09-30 2000-02-01 Siemens Aktiengesellschaft Hard etch mask
US5930645A (en) * 1997-12-18 1999-07-27 Advanced Micro Devices, Inc. Shallow trench isolation formation with reduced polish stop thickness
US6200896B1 (en) 1998-01-22 2001-03-13 Cypress Semiconductor Corporation Employing an acidic liquid and an abrasive surface to polish a semiconductor topography
US6143663A (en) * 1998-01-22 2000-11-07 Cypress Semiconductor Corporation Employing deionized water and an abrasive surface to polish a semiconductor topography
US6190955B1 (en) * 1998-01-27 2001-02-20 International Business Machines Corporation Fabrication of trench capacitors using disposable hard mask
US6121106A (en) * 1998-03-11 2000-09-19 International Business Machines Corporation Method for forming an integrated trench capacitor
US6171180B1 (en) * 1998-03-31 2001-01-09 Cypress Semiconductor Corporation Planarizing a trench dielectric having an upper surface within a trench spaced below an adjacent polish stop surface
US5972124A (en) 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
US6534378B1 (en) 1998-08-31 2003-03-18 Cypress Semiconductor Corp. Method for forming an integrated circuit device
US6232231B1 (en) 1998-08-31 2001-05-15 Cypress Semiconductor Corporation Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect
DE19844102C2 (de) 1998-09-25 2000-07-20 Siemens Ag Herstellverfahren für eine Halbleiterstruktur
US6566249B1 (en) 1998-11-09 2003-05-20 Cypress Semiconductor Corp. Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures
US6140206A (en) * 1999-06-14 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Method to form shallow trench isolation structures
US6232170B1 (en) * 1999-06-16 2001-05-15 International Business Machines Corporation Method of fabricating trench for SOI merged logic DRAM
US6318384B1 (en) 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
TW552669B (en) * 2000-06-19 2003-09-11 Infineon Technologies Corp Process for etching polysilicon gate stacks with raised shallow trench isolation structures
US6509226B1 (en) * 2000-09-27 2003-01-21 International Business Machines Corporation Process for protecting array top oxide
US6969684B1 (en) 2001-04-30 2005-11-29 Cypress Semiconductor Corp. Method of making a planarized semiconductor structure
US6613649B2 (en) * 2001-12-05 2003-09-02 Chartered Semiconductor Manufacturing Ltd Method for buffer STI scheme with a hard mask layer as an oxidation barrier
US6828678B1 (en) 2002-03-29 2004-12-07 Silicon Magnetic Systems Semiconductor topography with a fill material arranged within a plurality of valleys associated with the surface roughness of the metal layer
US7015115B1 (en) * 2003-02-20 2006-03-21 Newport Fab, Llc Method for forming deep trench isolation and related structure
TWI227932B (en) * 2003-06-23 2005-02-11 Promos Technologies Inc Method for forming a bottle-shaped trench
DE102004004879B4 (de) * 2004-01-30 2008-03-13 Qimonda Ag Maskierungsvorrichtung zur Maskierung beim Trockenätzen und Verfahren zum Maskieren beim Trockenätzen eines zu strukturierenden Substrats
US7291541B1 (en) 2004-03-18 2007-11-06 National Semiconductor Corporation System and method for providing improved trench isolation of semiconductor devices
KR100688750B1 (ko) * 2005-08-18 2007-03-02 동부일렉트로닉스 주식회사 섀로우 트렌치 아이솔레이션의 제조방법
US9012296B2 (en) * 2012-12-11 2015-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned deep trench capacitor, and method for making the same
US9048301B2 (en) 2013-10-16 2015-06-02 Taiwan Semiconductor Manufacturing Company Limited Nanowire MOSFET with support structures for source and drain

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2200794A (en) * 1986-11-19 1988-08-10 Plessey Co Plc Semiconductor device manufacture
US5118384A (en) * 1990-04-03 1992-06-02 International Business Machines Corporation Reactive ion etching buffer mask
FR2669466B1 (fr) * 1990-11-16 1997-11-07 Michel Haond Procede de gravure de couches de circuit integre a profondeur fixee et circuit integre correspondant.
US5593912A (en) * 1994-10-06 1997-01-14 International Business Machines Corporation SOI trench DRAM cell for 256 MB DRAM and beyond
US5686345A (en) * 1996-01-30 1997-11-11 International Business Machines Corporation Trench mask for forming deep trenches in a semiconductor substrate, and method of using same

Also Published As

Publication number Publication date
EP0854510A2 (en) 1998-07-22
TW360976B (en) 1999-06-11
JPH10200076A (ja) 1998-07-31
US5776808A (en) 1998-07-07
EP0854510A3 (en) 1999-09-08

Similar Documents

Publication Publication Date Title
KR19980064673A (ko) 반응성 이온 에칭을 사용하여 teos 마스크를 제거하기 위해폴리실리콘 에치 정지물을 가진 패드 스택
KR100413905B1 (ko) 반도체 칩 및 그의 제조 프로세스
KR100459724B1 (ko) 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
KR100538810B1 (ko) 반도체소자의 소자분리 방법
US6040232A (en) Method of manufacturing shallow trench isolation
KR100741876B1 (ko) 디보트가 방지된 트렌치 소자분리막이 형성된 반도체 소자의 제조 방법
KR19980018004A (ko) 반도체 장치 및 그 제조 방법
US20030201511A1 (en) Shallow trench isolation type semiconductor device and method of forming the same
KR19990006860A (ko) 반도체 장치의 제조방법
JPH11233614A (ja) 半導体装置及びその製造方法
US6551925B2 (en) Method of forming a trench isolation structure resistant to hot phosphoric acid by extending trench liner to shoulder portions
US6124184A (en) Method for forming isolation region of semiconductor device
US6391739B1 (en) Process of eliminating a shallow trench isolation divot
KR100245307B1 (ko) 반도체 장치의 소자 분리방법
KR100190059B1 (ko) 반도체 장치의 소자 분리 영역 형성 방법
US6204147B1 (en) Method of manufacturing shallow trench isolation
KR100289663B1 (ko) 반도체 소자의 소자 분리막 형성방법
KR100235971B1 (ko) 반도체 소자의 제조방법
KR100455726B1 (ko) 반도체 소자의 소자분리막 형성방법
JP2000124420A (ja) 半導体装置及びその製造方法
KR100219539B1 (ko) 반도체장치의 소자분리방법
KR100561974B1 (ko) 반도체 소자의 제조방법
KR100446279B1 (ko) 반도체장치의 트랜치 식각방법
KR19990006018A (ko) 반도체소자의 소자분리막 형성방법
KR100808590B1 (ko) 반도체 소자의 소자분리막 및 그의 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application