KR102653704B1 - 반도체 장비 및 제조방법 - Google Patents

반도체 장비 및 제조방법 Download PDF

Info

Publication number
KR102653704B1
KR102653704B1 KR1020220083935A KR20220083935A KR102653704B1 KR 102653704 B1 KR102653704 B1 KR 102653704B1 KR 1020220083935 A KR1020220083935 A KR 1020220083935A KR 20220083935 A KR20220083935 A KR 20220083935A KR 102653704 B1 KR102653704 B1 KR 102653704B1
Authority
KR
South Korea
Prior art keywords
pad
substrate
bonding pad
type wafer
metal
Prior art date
Application number
KR1020220083935A
Other languages
English (en)
Other versions
KR20230010170A (ko
Inventor
원상 이
Original Assignee
알에프에이치아이씨 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 알에프에이치아이씨 주식회사 filed Critical 알에프에이치아이씨 주식회사
Publication of KR20230010170A publication Critical patent/KR20230010170A/ko
Application granted granted Critical
Publication of KR102653704B1 publication Critical patent/KR102653704B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/074Stacked arrangements of non-apertured devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • H01L21/187Joining of semiconductor bodies for junction formation by direct bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/293Organic, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/162Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits the devices being mounted on two or more different substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1602Diamond
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/26Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys
    • H01L29/267Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, elements provided for in two or more of the groups H01L29/16, H01L29/18, H01L29/20, H01L29/22, H01L29/24, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6605High-frequency electrical connections
    • H01L2223/6616Vertical connections, e.g. vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6672High-frequency adaptations for passive devices for integrated passive components, e.g. semiconductor device with passive components only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6683High-frequency adaptations for monolithic microwave integrated circuit [MMIC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1301Shape
    • H01L2224/13016Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/1605Shape
    • H01L2224/16057Shape in side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16146Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/17104Disposition relative to the bonding areas, e.g. bond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1712Layout
    • H01L2224/1713Square or rectangular array

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

일 실시예에서, 반도체 장치는 제 1 활성 영역에 형성된 트랜지스터를 갖는 제 1 기판, 트랜지스터에 전기적으로 연결된 제 1 본딩 패드, 및 제 1 활성 영역을 둘러싸는 제 1 금속 패드를 포함한다. 제 1 기판과는 다른 유형의 제 2 기판은 전방 표면 상의 제 2 활성 영역에 수동 회로 요소, 수동 회로 요소에 전기적으로 연결된 제 2 본딩 패드, 제 2 활성 영역을 둘러싼 제 2 금속 패드, 및 장착 패드에 제 2 본딩 패드를 전기적으로 연결하는 관통 비아를 갖는 제 2 기판의 후방 표면 상의 장착 패드를 포함한다. 제 1 상호연결은 제 1 본딩 패드로부터 제 2 본딩 패드로 연장하고, 제 2 상호연결은 제 1 금속 패드로부터 제 2 금속 패드로 연장하고 그를 통해 제 1 상호연결이 연장하는 영역을 둘러싼다.

Description

반도체 장비 및 제조방법{SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTURE}
본 개시는 일반적으로 반도체 장치 및 고전자 이동도 트랜지스터 장치와 같은 반도체 장치를 제조하는 방법에 관한 것이다.
질화 갈륨(GaN), 질화 갈륨 알루미늄(AlGaN), 및 질화 알루미늄(AlN) 반도체 소재는 종종 무선 주파수(RF) 어플리케이션을 위한 반도체 장치를 구성하기 위해 사용된다. RF 어플리케이션을 위한 그러한 장치는 GaN, AlGaN, AlN ("GaN 유형" 또는 "GaN 관련된") 반도체 소재를 포함하는 웨이퍼 기판 상에 형성된 고전자 이동도 트랜지스터(HEMT)를 포함할 수 있다. 이들 반도체 장치는 동작 동안 상당한 열을 발생시키는 경향이 있다. 발생된 열은 장치 실패를 회피하기 위해 소산되어야만 한다. 하나의 열 소산 기법은 양호한 열 전도성을 갖는 소재의 기판 상에 GaN 유형 소재의 박층을 형성하는 것이다. GaN 유형 소재의 층은 예를 들어, 실리콘 카바이드(SiC) 웨이퍼 상에 에피택셜식으로 형성될 수 있다.
열 소산을 더 개선하기 위해, SiC 웨이퍼는 SiC 웨이퍼 상에 GaN 유형 소재에서 HEMT 또는 그와 유사한 것의 형성 후에, 다이아몬드 웨이퍼와 같은 또 다른 웨이퍼에 본딩될 수 있다. 다이아몬드는 양호한 열 특성을 갖고 또한 최종 장치의 기계적 강도를 개선할 수 있다. 그러나, 더 두꺼운 다이아몬드 및 더 얇은 SiC 웨이퍼 기판은 웨이퍼 본딩 공정으로 처리하기 어렵다. 더 얇은 SiC 웨이퍼 기판은 장치 제조 단계 동안 취급하기에 상대적으로 취약하고 그리고/또는 최종 장치에 불충분한 열 및/또는 DC/RF 특성을 제공할 수 있다. 추가적으로, 와이어 본딩 공정은 고주파수(예를 들어, 10 Ghz에 걸쳐) 동작 동안 장치 성능의 개선에 기술적 장벽인 것으로 발견되어왔다.
그러한 바와 같이, 신규한 장치 설계 및 제조 기법은 양호한 열 및 DC/RF 특성을 요구하는 RF 유형 및 다른 어플리케이션을 위한 반도체 장치를 제공하는 것이 요구된다.
적어도 하나의 실시예에서, 반도체 장치는 제 1 유형의 제 1 기판을 포함한다. 제 1 활성 영역은 제 1 기판의 제 1 표면에 있다. 트랜지스터는 제 1 활성 영역에 형성된다. 제 1 본딩 패드는 제 1 기판 상에 형성되고 트랜지스터에 전기적으로 연결된다. 제 1 금속 패드는 제 1 활성 영역을 둘러싸는 제 1 표면 상에 형성된다. 제 1 수지 물질은 제 1 표면 및 제 1 본딩 패드 및 제 1 금속 패드의 부분을 피복한다. 제 1 유형과는 다른 제 2 유형의 제 2 기판은 반도체 장치에 제공된다. 제 2 기판은 제 2 기판의 제 2 표면에서 제 2 활성 영역을 가진다. 수동 회로 요소는 제 2 활성 영역에 형성된다. 제 2 본딩 패드는 제 2 표면 상에 형성되고 수동 회로 요소에 전기적으로 연결된다. 장착 패드는 제 2 기판의 제 3 표면 상에 형성된다. 제 3 표면은 제 2 표면으로부터 제 2 기판의 반대편 측면 상에 있다. 관통 비아는 제 2 기판을 통해 연장하고 제 2 본딩 패드를 장착 패드에 전기적으로 연결한다. 제 2 금속 패드는 제 2 활성 영역을 둘러싸는 제 2 표면 상에 형성된다. 제 2 수지 물질은 제 2 표면 및 제 2 본딩 패드 및 제 2 금속 패드의 부분을 피복한다. 제 1 상호연결은 제 1 본딩 패드로부터 제 2 본딩 패드로 연장하고 제 1 본딩 패드를 제 2 본딩 패드에 전기적으로 연결한다. 제 2 상호연결은 제 1 금속 패드로부터 제 2 금속 패드로 연장한다. 제 2 상호연결은 그를 통해 제 1 상호연결이 연장하는 영역을 둘러싼다.
적어도 하나의 다른 실시예에서, 반도체 장치를 제조하는 방법은 제 1 유형 웨이퍼의 제 1 표면 상의 각각으로부터 이격된 복수의 제 1 활성 영역에서 고전자 이동도 트랜지스터를 형성하는 단계, 제 1 유형 웨이퍼의 제 1 활성 영역의 각각 상에 제 1 본딩 패드를 형성하는 단계, 제 1 유형 웨이퍼의 제 1 표면 상에 제 1 금속 패드를 형성하는 단계를 포함한다. 각각의 제 1 금속 패드는 프레임과 유사한 요소로서 제 1 활성 영역 중 하나를 각기 둘러싼다. 방법은 제 1 본딩 패드 및 제 1 금속 패드 상에 솔더층을 증착하는 단계, 제 1 본딩 패드 및 제 1 금속 매드를 피복하는 제 1 표면에 걸쳐 제 1 수지 물질층을 형성하는 단계, 그런 후에 제 1 본딩 패드 및 제 1 금속 패드의 부분을 노출하도록 제 1 수지 물질층에 홀을 형성하는 단계를 더 포함한다. 제 1 유형 웨이퍼와는 다른 유형의 제 2 유형 웨이퍼 상에, 수동 회로 요소는 제 2 유형 웨이퍼의 제 2 표면 상에 서로 이격된 복수의 제 2 활성 영역에 형성된다. 관통 홀은 제 2 활성 영역의 평면 위치 내에 형성된다. 관통 홀은 제 2 표면으로부터 제 2 유형 웨이퍼의 반대편 측면 상의 제 3 표면으로 제 2 유형 웨이퍼를 통해 연장한다. 제 2 본딩 패드는 관통 홀 위의 위치에서 제 2 활성 영역에서 제 2 표면 상에 형성된다. 방법은 제 2 활성 영역을 둘러싼 제 2 표면 상에 제 2 금속 패드를 형성하는 단계, 제 2 본딩 패드 및 제 2 금속 패드 상에 금속 범프 커넥터를 형성하는 단계, 금속 범프 커넥터가 제 2 수지 물질층의 상부 표면으로부터 돌출하는 바와 같이 제 2 표면 상에 제 2 수지 물질층을 형성하는 단계, 그런 후에 제 2 유형 웨이퍼에 관통 홀의 각각에서 관통 비아 및 제 2 유형 웨이퍼의 제 3 표면 상에 장착 패드를 형성하는 단계를 더 포함한다. 장착 패드는 관통 비아에 전기적으로 연결된다. 그런 후에 제 1 유형 웨이퍼의 제 1 표면은 제 2 유형 웨이퍼의 제 2 표면과 마주하는 배열로 위치되고, 제 1 수지 물질층에서 홀은 금속 범프 커넥터와 정렬된다. 그런 후에 제 1 유형 웨이퍼 및 제 2 유형 웨이퍼는 제 1 본딩 패드 및 제 1 금속 패드에 대해 금속 범프 커넥터를 누르는 한편, 제 1 유형 웨이퍼 및 제 2 유형 웨이퍼를 가열으로써 서로에 본딩된다. 본딩 후에, 레이저 커팅이 인접한 제 2 활성 영역 사이에 위치에서 제 3 표면으로부터 제 2 유형 웨이퍼를 통해 절단하도록 수행된다. 제 2 유형 웨이퍼를 통한 레이저 절단 후에, 레이저 커팅이 제 1 표면의 반대편 측면 상의 제 1 유형 웨이퍼의 표면으로부터 제 1 유형 웨이퍼를 통해 수행된다. 이러한 레이저 컷은 제 2 유형 웨이퍼의 제 2 표면의 깊이에 도달한다.
방법의 일부 실시예에서, 제 1 유형 웨이퍼 및 제 2 유형 웨이퍼의 본딩은 진공 또는 불활성 가스(예를 들어, 질소, 아르곤, 또는 그와 유사한 것) 분위기에서 수행한다.
방법의 일부 실시예에서, 제 1 유형 웨이퍼는 질화 갈륨 및 실리콘 카바이드 층을 포함하고, 제 2 유형 웨이퍼는 다이아몬드 웨이퍼이고, 금속 범프 커넥터는 골드이고, 솔더층은 틴-골드(AuSn) 합금이고, 제 1 및 제 2 수지 물질층은 벤조사이클로부텐 기반 폴리머 물질이다.
본 발명에 따라서, 더 두꺼운 다이아몬드 및 더 얇은 SiC 웨이퍼 기판이 웨이퍼 본딩 공정, RF 유형을 위한 반도체 장치를 제공하기 위한 신규한 설계 및 제조 기술, 및 우수한 열 및 DC/RF 특성이 달성될 수 있는 다른 어플리케이션에서 처리될 수 있다.
도 1은 횡단면도에서 실시예의 반도체 장치를 도시한다.
도 2는 평면도에서 실시예의 반도체 장치를 도시한다.
도 3은 제 1 웨이퍼를 제조하는 방법의 측면을 도시한다.
도 4는 제 2 웨이퍼를 제조하는 방법의 측면을 도시한다.
도 5는 제 1 웨이퍼 및 제 2 웨이퍼를 연결하는 방법의 측면을 도시하고, 그런 후에 연결된 웨이퍼의 다이싱을 도시한다.
도 6은 실시예의 반도체 장치를 병합하는 전자 기기를 도시한다.
도 7은 개략적인 예시에서 다이아몬드 웨이퍼의 전방 측면 및 후방 측면을 도시한다.
도 8은 개략적인 예시에서 GaN/SiC HEMT 웨이퍼의 전방 측면 및 후방 측면을 도시한다.
첨부하는 도면은 설명의 목적을 위해 제공되고 단지 개략적이다. 그러한 바와 같이, 도시된 치수는 단일 도면 내에 또는 다른 도면들 사이에 임의의 특정 축척 관계를 반영하도록 의도되지 않는다. 유사하게, 도면에서 다른 도시된 구성요소 사이의 치수 비율은 실제 장치에 비율을 반드시 반영하도록 의도되지 않는다. 임의의 특정 도시된 구성요소의 반복된 예의 도시된 개수는 실제 장치에 개수를 반드시 반영하지 않는다. 즉, 임의의 요소의 도시된 개수는 실제 장치에서 요소의 총 개수를 직접 나타내기보다는 설명의 명료함의 목적을 위해 일반적으로 설정된다. 본 개시에 설명된 제조의 다양한 방법은 특정 공정을 생략하거나 조합할 수 있고, 단일 단계에서 발생하는 바와 같이 기재된 일부 예시 공정에 대해, 처리는 다른 단계 및/또는 일련의 하위 단계로 나누어질 수 있다.
반도체 장치 예시
도 1은 트랜지스터 기반 집적 회로를 병합하는 장치(1000)를 도시한다. 도 1은 장치(1000)의 횡단면도이다. 이러한 예시에서, 장치(1000)는 실리콘 카바이드(SiC) 기판 상의 질화 갈륨(GaN)층에 제조된 고전자 이동도 트랜지스터를 포함하는 모놀리식 마이크로파 집적 회로(MMIC)를 포함한다.
특히, 장치(1000)는 질화 갈륨/실리콘 카바이드 에피택셜 웨이퍼의 일부로부터 형성된 기판(100)을 포함한다. 기판(100)은 그 위에 GaN (또는 GaN 관련 물질)의 다양한 층 및/또는 영역을 갖는 활성 영역(110)을 포함한다. 적어도 하나의 HEMT는 활성 영역(110)에 형성된다. 본 문맥에서 “활성 영역”에 대한 참조는 회로 요소가 형성되거나 형성될 수 있는 기판의 일부를 언급한다. 예를 들어, 활성 영역은 n 유형 및/또는 p 유형 도펀트를 포함하는 반도체 물질의 다양한 영역 및/또는 층을 포함할 수 있다.
기판(100)은 약 500 마이크론(μm) 두께이다. 이러한 예시에서 기판(100)의 평면 형상은 실질적으로 직사각형이다(도 2 참조). 평면 치수는 예를 들어, 약 3 mm x 1.5 mm이다.
활성 영역(110)의 적어도 하나의 HEMT에 더해서, 다양한 다른 회로 요소, 및 회로 요소와 하위 구성요소 사이의 전기 연결 역시 활성 영역(110)에 포함된다. 본딩 패드(130)는 활성 영역(110)에서 구성요소에 대한 추가 전기 연결을 허용하도록 활성 영역(110) 상에 제공된다. 이들 본딩 패드(130)는 전기적으로 전도성 금속 물질로 형성된다. 본딩 패드(130)는 단일 금속, 다른 금속의 합금, 및/또는 하나 이상의 금속의 적층된 층으로서 포함할 수 있다.
금속 패드(120)는 활성 영역(110) 외부의 기판(100) 상에 제공된다. 도 1에 라인(A-A)에서 취해진 단순화된 횡단면인 도 2의 평면도에 도시된 바와 같이, 금속 패드(120)는 활성 영역(110) 및 본딩 패드(130)를 둘러싼 프레임 형상으로 형성된다. 도 2는 직사각형 프레임으로서 금속 패드(120)를 도시하는 한편, 금속 패드의 특정 형상은, 금속 패드(120)가 활성 영역(110)을 둘러싸는(에워싸는) 한 임의의 특정 형상으로 한정되지 않는다. 다른 예시에서, 금속 패드(120)는 라운딩되거나 모따기된 모서리를 갖거나, 원형, 타원형, 다각형, 또는 불규칙한 형상일 수 있다. 금속 패드(120)의 조성물은 제조 편의를 위해 본딩 패드(130)의 것과 동일할 수 있지만, 일부 실시예에서, 조성물은 본딩 패드(130)의 것과 다를 수 있다.
장치(1000)는 또한 다이아몬드 웨이퍼의 일부로부터 형성된 기판(200)을 포함한다. 기판(200)은 예를 들어, 와이어링, 레지스터, 커패시터, 인덕터, 트랜스미션 라인, 매칭 회로 구성요소, 및 정전기 방전(ESD) 보호 회로와 같은 다양한 수동 회로 요소를 포함하는 활성 영역(210)을 포함한다. 기판(200)은 예를 들어 약 300 마이크론(μm) 두께이다. 이러한 예시에서 기판(200)의 평면 형상 및 평면 치수는 기판(100)의 것에 상응한다.
수동 회로 요소에 더해서, 구성요소와 하위 구성요소 사이의 다양한 전기 연결 역시 활성 영역(210)에 포함된다. 본딩 패드(230)는 활성 영역(210)에서 구성요소에 대한 추가 전기 연결을 허용하도록 활성 영역(210) 상에 제공된다. 본딩 패드(230)는 전기적으로 전도성 금속 물질이다. 본딩 패드(230)는 단일 금속, 다른 금속의 합금, 및/또는 하나 이상의 금속의 적층된 층을 포함할 수 있다.
금속 패드(220)는 활성 영역(210) 외부의 기판(200) 상에 제공된다. 금속 패드(220)는 금속 패드(120)의 형상에 상응하는 프레임 형상으로 있다. 따라서 금속 패드(220)는 활성 영역(210) 및 본딩 패드(230)를 둘러싼다. 본 예시에서, 장치(1000)가 (도 2에 도시된 바와 같이) 기판(200)의 메인 표면에 직교하는 방향으로부터 도시될 때, 금속 패드(120)의 위치는 금속 패드(220)의 위치에 중첩한다. 그러나, 이들 두 개의 구성요소의 평면 위치에서 정확한 총 중첩은 모든 예시에서 반드시 요구되지 않는다. 유사하게, 이들 두 개의 구성요소의 평면 치수는 모든 예시에서 반드시 동일할 필요가 없다.
본딩 패드(230)는 본딩 패드(130)의 위치에 상응하도록 위치되지만, 위치 및/또는 형상에서 정확한 상응은 이들 다른 요소 사이에 반드시 요구되지 않는다. 상응하는 본딩 패드(130) 및 본딩 패드(230)는 상호연결(235)에 의해 서로 전기적으로 연결된다. 상호연결(235)은 전기적으로 전도성 물질이다. 각각의 상호연결(235)은 높이에서 대략적으로 20 μm이다. 도 1에 도시된 바와 같이, 상호연결(235)의 폭은 본딩 패드(130) 및 본딩 패드(230)의 것보다 작지만, 이는 모든 예시에서 반드시 요구되지는 않는다.
본 예시에서, 금속 패드(220)는 금속 패드(120)의 위치에 일치하도록 배치되지만, 다른 요소들 사이에서 정밀한 위치 상응은 반드시 요구되지 않는다. 금속 패드(120) 및 금속 패드(220)는 상호연결(225)에 의해 서로 연결된다. 상호연결(225)은 전기적으로 전도성 물질이다. 상호연결(225)은 높이에서 대략적으로 20 μm이다. 도 1에 도시된 바와 같이, 상호연결(225)의 폭(x 방향)은 금속 패드(120) 및 금속 패드(230)의 것보다 작지만, 이는 모든 예시에서 반드시 요구되지는 않는다. 상호연결(225)의 평면 형상은 금속 패드(120) 및 금속 패드(220)의 형상에 상응한다. 그러한 바와 같이, 이러한 예시에서, 상호연결(225)은 직사각형 프레임 형상을 가진다.
본 예시에서, 상호연결(235) 및 상호연결(225)은 동일한 소재로 구성되고, 이는 골드(Au)이다.
기판(100)은 수지 물질(150)에 의해 피복된다. 수지 물질(150)은 기판(100), 활성 영역(110), 금속 패드(120), 및 본딩 패드(130)의 표면의 일부분을 피복한다.
기판(200)은 유사하게 수지 물질(250)에 의해 피복된다. 수지 물질(250)은 기판(200), 활성 영역(210), 금속 패드(220), 본딩 패드(230)의 표면의 일부분을 피복한다.
수지 물질(150) 및 수지 물질(250)은 동일한 소재 또는 다른 소재로 구성될 수 있다. 수지 물질(150)은 기판(100)의 표면으로부터 측정된 바와 같이 약 5 μm 두께이다. 수지 물질(250) 역시 기판(200)의 표면으로부터 측정된 바와 같이 약 5 μm 두께이다.
도 1은 수지 물질(150)과 수지 물질(250) 사이에 남겨진 공간 또는 갭을 도시한다. 갭의 도시된 크기는 반드시 축척으로 나타내지 않아도 되고, 일부 예에서, 이들 두 개의 수지 물질 사이에 접촉 또는 부분 접촉이 있을 수 있다. 활성 영역(110 및 210)을 둘러싼 (금속 패드(120), 상호 연결(225), 및 금속 패드(220)에 의해 형성된) 프레임과 유사한 구조의 존재는 주변 대기로부터 장치(1000)에서 다양한 회로 구성요소를 밀폐하는 역할을 할 수 있다.
특정한 제조 과정 내에서 주변 대기의 구성, 예를 들어, 불활성 가스 또는 심지어 진공을 통제함으로써, 프레임과 유사한 구조 내에 밀폐된 영역 안에 있는 대기의 조성물이 조절될 수 있다.
기판(200)은 본딩 패드(230)에 (그리고 따라서 또한 상호연결(235)를 통해 본딩 패드(130)에) 전기적으로 연결하는 관통 비아 구조(240)를 포함한다. 대안적으로, 관통 비아 구조(240)는 차례로 본딩 패드(230)에 연결되는 활성 영역(210) 내의 회로 구성요소 또는 와이어링에 연결할 수 있다. 관통 비아 구조(240)는 패드(245)에 전기적으로 연결된다. 패드(245)는 이러한 예시에서 표면 장착 패드("SMT 패드")이다. 패드(245)는 장치(1000)가, 예를 들어, 인쇄 회로 기판(300)(도 6 참조)와 같은 비아 솔더링(예를 들어, 솔더 볼(310)을 사용하는) 또는 그와 유사한 것을 통해 다른 장치 및/또는 다른 기기 구성요소에 전기적으로 연결되는 것을 허용한다.
장치(1000)는 칩 스케일 패키지(CSP)로 언급될 수 있다.
제조의 방법
일반적으로, 장치(1000)는 두 개의 분리 웨이퍼 유형을 연결하고, 그런 후에 개별화(다이상) 되어있는 연결된 웨이퍼를 일련의 웨이퍼로부터 생성된 개별 장치(1000)로 형성된다.
도 3은 제 1 웨이퍼(500)의 제조를 도시한다. 제 1 웨이퍼(500)는 GaN/SiC 웨이퍼이다. 기판(100)(도 1 참조)은 제 1 웨이퍼(500)의 다이싱된 부분임을 유념한다. 제 1 웨이퍼(500) 상에, 여러 개의 활성 영역(110)이 개별적인 다이에 상응하는 위치에 형성된다. 위에 기재된 바와 같이, HEMT를 포함하는 다양한 회로 요소 또는 구조는 표준 반도체 장치 제조 공정에 따라 활성 영역(110)에 형성될 수 있다.
활성 영역(110) 제조 공정의 완료 후에, 금속 패드(120) 및 본딩 패드(130)는 표준 패터닝 공정에 의해 제 1 웨이퍼(500) 상에 형성된다. 금속 패드(120) 및 본딩 패드(130)는 동일한 처리 단계로 그리고 동일한 소재로 형성되거나 다른 소재의 다른 처리 단계로 형성될 수 있다. 본 예시에서, 금속 패드(120) 및 본딩 패드(130)는 전기도금, e-빔 증착 또는 스퍼터링 또는 그와 유사한 것에 의해 증착된 골드로 형성된다.
금속 패드(120) 및 본딩 패드(130)의 형성 후에, 골드-틴(AuSn) 솔더층(131)은 제 2 웨이퍼(400) 및 제 1 웨이퍼(500)의 최종 본딩을 촉진하도록 금속 패드(120) 및 본딩 패드(130) 상에 형성된다. AuSn 솔더층(131)은 e-빔 증착, 스퍼터링, 또는 전기도금 공정에 의해 형성될 수 있다.
다음으로, 수지 물질(150)의 층이 제 1 웨이퍼(500) 상에 형성된다. 이러한 예시에서, 수지 물질(150)은 벤조사이클로부텐 기반 물질이다. 수지 물질(150)은 스핀-온 폴리머 소재, 혹은 다른 방법으로 공급될 수 있다. 수지 물질(150)은 유전체 (전기적으로 절연하는) 물질이다. 일부 예시에서, 수지 물질(150)은 그 자체로 포토리소그래피로 패턴 가능한 포토레지스트 물질일 수 있거나, 그렇지 않으면 하부 금속 패드(120) 및 본딩 패드(130)의 부분을 노출하는 홀(151)을 형성하도록 포토리소그래피 패터닝 공정으로 패터닝될 수 있다.
도 4는 제 2 웨이퍼(400)의 제조를 도시한다. 제 2 웨이퍼(400)는 다이아몬드 웨이퍼이다. 기판(200)(도 1 참조)은 제 2 웨이퍼(400)의 다이싱된 부분임을 유념한다. 제 2 웨이퍼(400) 상에, 여러 개의 활성 영역(210)이 개별적인 다이에 상응하는 위치에서 형성된다. 위에 기재된 바와 같이, 다양한 수동 회로 요소 또는 구조가 표준 반도체 장치 제조 공정에 따라 활성 영역(210)에 형성될 수 있다.
활성 영역(210) 제조 공정의 완료 후에, 관통 비아 구조(240)를 위한 홀(241)이 제 2 웨이퍼(400)에 형성된다. 홀(241)은 레이저 드릴링 공정 또는 그와 유사한 것에 의해 형성된다. 일반적으로, 홀(241)은 활성 영역(210)에 상응하는 위치에서 제 2 웨이퍼(400)를 통과한다. 도 4에 도시된 횡단면의 홀(241)이 제 2 웨이퍼(400) 다른 피스들을 서로 연관해제하는 것으로 보이지만, 홀(241)은 제 자리에 위치하고, 제 2 웨이퍼(400)는 구조적 무결성(단일성)을 유지한다.
홀(241)의 형성 후에, 금속 패드(220) 및 본딩 패드(230)는 포토피소그래피 패터닝 단계 또는 단계들에 의해 형성된다. 상호연결(225) 및 상호연결(235)은 금속 패드(220) 및 본딩 패드(230)와 동일한 처리 단계(들)로 형성되거나 이어지는 처리 단계 또는 단계들로 형성될 수 있다. 이에 관한 구조를 위한 금속은 금 도금 공정에 의해 증착될 수 있다. 공정에서 이러한 지점의 상호연결(225) 및 상호연결(235)은 일부 예시에서 범프 또는 골드 범프로 언급될 수 있다.
이러한 금속 증착 공정 후에, 제 2 웨이퍼(400)는 수지 물질(250)로 피복된다. 이러한 예시에서, 수지 물질(250)은 벤조사이크로부텐 기반 폴리머 물질이다. 수지 물질(250)은 스핀-온 물질이거나, 다른 방법으로 공급될 수 있다. 수지 물질(250)은 유전체 (전기적으로 절연하는) 물질이다. 수지 물질(250)은 일부 예시에서 포토리소그래피로 패턴가능한 포토레지스트 물질일 수 있다.
관통 비아 구조(240) 및 패드(245)는 후측면 도금 공정에 의해 형성된다. 패드(245) 및 관통 비아 구조(240)는, 예를 들어, 골드이다.
상호연결(225) 및 상호연결(235)은 수지 물질(250)로부터 돌출한다. 예를 들어, 수지 물질(250)은 5 μm 두께이고, 범프는 추가 15 μm 정도에 의해 수지 물질(250) 너머로 돌출한다. 폴리싱 또는 랩핑 공정이 각기 금속 패드(120) 및 본딩 패드(130)에 상호연결(225) 및 상호연결(235)의 최종 본딩을 촉진하도록 상호연결(225) 및 상호연결(235)의 높이를 감소시키고 동일화하도록 사용될 수 있다.
도 5는 제 2 웨이퍼(400)와 제 1 웨이퍼(500)의 연결을 도시하고, 그런 후에 연결된 웨이퍼로부터 개별 장치(1000)의 다이싱을 도시한다.
제 2 웨이퍼(400)는 제 1 웨이퍼(500)와 마주하는 배열에 위치된다. 본 예시에서, 제 2 웨이퍼(400)는 상향으로 마주하고 제 1 웨이퍼(500)는 하향으로 마주하도록 도 3에 도시된 배향으로부터 뒤집힌다. 수지 물질(250)로부터 돌출하는 상호연결(225) 및 상호연결(235)은 수지 물질(150)로부터 형성된 상응하는 홀(151)과 정렬된다. 그런 후에 제 1 웨이퍼(500)는 제 2 웨이퍼(400)에 맞대어 눌려진다.
두 개의 웨이퍼를 연결하기 위한 이러한 본딩 공정은 상호연결(225 및 235) 상에 형성된 AuSn 솔더층(131)을 통한 금속 패드(120)에 대한 상호연결(225) 및 본딩 패드(130)에 대한 상호연결(235)의 저온 용접을 허용하도록 대략적으로 230℃에서 수행한다. 정렬/프레싱 공정은 진공 또는 불활성 가스 주변 대기에서 수행할 수 있다. 조절된 주변 대기에서 연결을 수행하는 것은 최종 장치(1000)에서 실패를 방지하는데 도움이 된다.
금속 패드(120), 상호연결(225), 및 금속 패드(220)에 의해 형성된 프레임 형상 구조는 활성 영역(110) 및 활성 영역(210)이 에워싸는 기밀하게 밀폐된 내부 영역을 형성한다.
제 2 웨이퍼(400) 및 제 1 웨이퍼(500)의 연결 후에, 개별 장치(1000)의 분리(다이싱)가 시작한다. 도 5는 레이저 커팅 동작이 제 2 웨이퍼(400) 후방-표면 측면으로부터 수행되는 것을 도시한다. 제 1 커팅 동작은 연결된 웨이퍼의 제 2 웨이퍼(400) 부분을 통해서만 절단한다.
다음으로, 제 2 레이저 커팅 동작은 제 1 웨이퍼(500) 후방-표면 측면으로부터 수행된다. 이러한 제 2 커팅 동작은 제 2 웨이퍼(400)에서 이미 수행된 이전 레이저 컷에 대해 제 1 웨이퍼(500)를 통해 절단한다. 제 1 및 제 2 컷의 정렬이 제 1 웨이퍼(500)의 투명성에 의해 용이해진다.
이러한 제 2 커팅 동작 후에, 개별 장치(1000)가 서로 분리되고 개별적으로 사용될 수 있다.
제 2 웨이퍼(400)의 후방-표면 측면 상의 패드(245)가 존재할 경우, 다이싱 동작 전에, 장치(1000)의 시험(검사)을 허용한다. 그러한 바와 같이, 일부 예시에서, 다이싱/분리 동작은 시험 또는 검사를 통과한 이러한 장치(1000) 상에서만 수행될 수 있다.
도 6은 전자 기기 또는 그와 유사한 것에서 구성요소로서 병합된 장치(1000)를 도시한다. 전자 기기는 그 위에 그리고/또는 그 안에 다양한 와이어링 패턴을 갖는 인쇄 회로 기판(300)을 포함한다. 추가 구성요소는 인쇄 회로 기판(300) 상에 장착될 수 있고 와이어링 패턴에 연결될 수 있다. 장치(1000)는 솔더 볼(310)을 통해 인쇄 회로 기판(300)의 와이어링에 전기적으로 연결된다. 솔더 볼(310)은 패드(245)에 연결된다. 두 개의 패드(245)만이 도 6에 도시되는 한편, 일반적으로 여러 개의 더 많은 패드(245)가 사용될 것이다.
도 7은 개략적인 예시 실시예에서 기판(200)의 전방 측면 및 후방 측면을 도시한다. 도 8은 동일한 개략적인 예시 실시예에서 기판(100)의 전방 측면 및 후방 측면을 도시한다. 일반적으로, 도 7에 기판(200)의 전방 측면의 도시는 도 1과 연관된 설명에 상응한다. 일반적으로, 도 8에 기판(100)의 전방 측면의 도시는 도 1 및 도 2에 연관된 설명에 상응한다. 이러한 특정 예시에 상응하는 구성요소 레이아웃에 관련된 추가 세부사항은 도 7 및 도 8에 도시된다. 도 7 및 도 8은 도시된 예시 내에 다양한 구성요소의 상대적 크기화에 대해 축척으로 나타내지 않는다.
기판(200)의 전방 측면은 앞서 기재된 바와 같이 활성 영역(도 1 참조)을 갖지만, 활성 영역(210)의 위치는 도 7에 구체적으로 도시되지 않는다. 다양한 본딩 패드(230)가 도 7에 기판(210)의 전방 측면 상에 도시된다. 특히, 소스 본딩 패드(230s), 드레인 본딩 패드(230d), 및 게이트 본딩 패드(230g)의 위치가 도시된다. 관통 비아 구조(240)가 본딩 패드(230) 아래에 위치하고, 기판(200) 내부에 있기 때문에, 기판(200)의 두께를 통해 연장하는 9개의 다른 관통 비아 구조(240)의 위치가 파선으로 된 원으로 도시된다. 활성 영역(210)에 형성된 두 개의 다른 요소(270)의 위치가 도시된다. 위에 기재된 바와 같이, 수동 요소(270)는 예를 들어, 커패시터, 레지스터, 인덕터, 트랜스미션 라인, 그러한 요소의 조합, 또는 그와 유사한 것이다.
프레임과 유사한 금속 패드(220)는 (활성 영역(210)을 둘러싸는) 기판(200)의 전방 측면 상에 있다. 프레임과 유사한 상호연결(225)은 금속 패드(220) 상에 있다. 다양한 상호연결(235)의 위치 역시 도시된다. 특히, 다중 소스 상호연결(235s)이 하나의 소스 본딩 패드(230s) 상에 도시된다. 소스 상호연결(235s)은 음영처리된 직사각형으로 도시된다. 드레인 상호연결(235d) 및 게이트 상호연결(235g)은 또한 각기 드레인 본딩 패드(230d) 및 게이트 본딩 패드(230g) 상의 음영처리된 직사각형으로서 도시된다. 상호연결(225), 소스 상호연결(235s), 드레인 상호연결(235d), 및 게이트 상호연결(235g) 각각은 위에 기재된 바와 같이(예를 들어, 도 4 참조) 기판(200)의 전방 측면으로부터 돌출한다. 도 7의 도시된 연결해제된/본딩해제된 상태에서, 상호연결(225), 소소 상호연결(235s), 드레인 상호연결(235d), 및 게이트 상호연결(235g)은 금속 범프, 금속 범프 커넥터, 골드 범프, 또는 그와 유사한 것으로 언급될 수 있다.
도 7에 기판(200)의 후방 측면은 다양한 패드(245)를 가진다. 특히, 소스 패드(245s), 드레인 패드(245d), 및 게이트 패드(245g)를 위한 위치가 도시된다. 파워 서플라이 및 신호 입력/출력을 위해 필요에 따라 (도시된 것들 너머로) 다른 패드(245)가 제공될 수 있다. 도 7에 다양한 패드(245)는 표면 장착 패드(SMT 패드)이다.
도 8은 기판(100)의 전방 측면 상의 프레임과 유사한 금속 패드(120) 및 다양한 본딩 패드(130)를 도시한다. 특히, 소스 본딩 패드(130s), 드레인 본딩 패드(130d), 및 게이트 본딩 패드(130g)가 도시된다. 또한, 다양한 솔더층(131)에 대한 위치가 도시된다. 솔더층(131)에 대한 위치는 도 7에 도시된 드레인 상호연결(235d), 소스 상호연결(235s), 게이트 상호연결(235g), 및 프레임과 유사한 상호연결(225)의 위치에 상응한다. 소스 본딩 패드(130s)는 (도 8에 표시되지 않은 활성 영역(110)에서) 기판(100)에 형성된 HEMT의 소스 단자에 전기적으로 연결한다. 드레인 본딩 패드(130d)는 HEMT의 드레인 단자에 전기적으로 연결한다. 게이트 본딩 패드(130g)는 HEMT의 게이트 단자에 전기적으로 연결한다. 다른 본딩 패드(130)는 필요에 따라 제공될 수 있다.
기판(100)의 후방 측면은 외부에 노출된 상태이다. 즉, 기판(100)의 후방 측면 상에 어떠한 패드 또는 전기 커넥터 포인트도 없다. 따라서 기판(100)에서 HEMT는 전방 측면 처리 단계만을 사용하여 형성될 수 있고 기판(100)(또는 웨이퍼(500)) 상의 후방 측면 처리에 대한 필요가 없다.
특정 예시 실시예가 기재되는 한편, 본 개시는 특정 예시로 제한되지 않는다. 다양한 수정이 특정 예시의 기재로부터 당업자에게 명백할 것이고 그러한 수정은 본 개시의 범위 내에 있다. 다음의 청구항은 본 개시의 범위 내의 그러한 실시예, 수정, 및 등가물을 포함하도록 의도된다.

Claims (20)

  1. 제 1 유형의 제 1 기판;
    상기 제 1 기판의 제 1 표면에 제 1 활성 영역;
    상기 제 1 활성 영역에 형성된 트랜지스터;
    상기 트랜지스터에 전기적으로 연결된 상기 제 1 표면 상의 제 1 본딩 패드;
    상기 제 1 활성 영역을 둘러싼 상기 제 1 표면 상의 제 1 금속 패드;
    상기 제 1 표면 및 상기 제 1 본딩 패드 및 상기 제 1 금속 패드의 부분을 피복하는 제 1 수지 물질;
    상기 제 1 유형과는 다른 제 2 유형의 제 2 기판;
    상기 제 2 기판의 제 2 표면에서 제 2 활성 영역;
    상기 제 2 활성 영역에 형성된 수동 회로 요소;
    상기 수동 회로 요소에 전기적으로 연결된 상기 제 2 표면 상의 제 2 본딩 패드;
    상기 제 2 기판의 제 3 표면 상의 장착 패드, 상기 제 3 표면은 상기 제 2 표면으로부터 상기 제 2 기판의 반대편 측면 상에 있고;
    상기 제 2 기판을 통해 연장하고 상기 장착 패드에 상기 제 2 본딩 패드를 전기적으로 연결하는 관통 비아;
    상기 제 2 활성 영역을 둘러싸는 상기 제 2 표면 상의 제 2 금속 패드;
    상기 제 2 표면 및 상기 제 2 본딩 패드 및 상기 제 2 금속 패드의 부분을 피복하는 제 2 수지 물질;
    상기 제 1 본딩 패드로부터 상기 제 2 본딩 패드로 연장하고 상기 제 2 본딩 패드에 상기 제 2 본딩 패드를 전기적으로 연결하는 제 1 상호연결; 및
    상기 제 2 금속 패드로부터 상기 제 2 금속 패드로 연장하는 제 2 상호연결을 포함하고, 상기 제 2 상호연결은 그를 통해 상기 제 1 상호연결이 연장하는 영역을 둘러싸는, 반도체 장치.
  2. 제 1항에 있어서,
    상기 제 1 기판은 질화 갈륨 및 실리콘 카바이드 층을 포함하는, 반도체 장치.
  3. 제 2항에 있어서,
    상기 제 2 기판은 다이아몬드를 포함하는, 반도체 장치.
  4. 제 3항에 있어서,
    상기 트랜지스터는 고전자 이동도 트랜지스터인, 반도체 장치.
  5. 제 4항에 있어서,
    상기 제 1 상호연결은 골드를 포함하고, 그리고
    상기 제 2 상호연결은 골드를 포함하는, 반도체 장치.
  6. 제 3항에 있어서,
    상기 제 2 상호연결은 직사각형 프레임 형상인, 반도체 장치.
  7. 제 3항에 있어서,
    상기 제 1 수지 물질은 벤조사이클로부텐 기반 폴리머 물질이고, 그리고
    상기 제 2 수지 물질은 벤조사이클로부텐 기반 폴리머 물질인, 반도체 장치.
  8. 제 3항에 있어서,
    상기 제 1 수지 물질은 상기 제 2 수지 물질을 접촉하지 않는, 반도체 장치.
  9. 제 3항에 있어서,
    상기 제 2 수지 물질은 적어도 300 마이크론 두께인, 반도체 장치.
  10. 제 1항에 있어서,
    상기 제 2 기판은 다이아몬드를 포함하는, 반도체 장치.
  11. 제 1항에 있어서,
    상기 트랜지스터는 고전자 이동도 트랜지스터인, 반도체 장치.
  12. 제 1항에 있어서,
    상기 제 2 상호연결은 프레임 형상인, 반도체 장치.
  13. 제 12항에 있어서,
    상기 제 2 상호연결은 연속적이고 그를 통해 상기 제 2 상호연결이 연장하는 상기 영역을 완전히 에워싸는, 반도체 장치.
  14. 질화 갈륨 및 실리콘 카바이드 층을 포함하는 제 1 기판;
    상기 제 1 기판의 제 1 표면에 제 1 활성 영역;
    상기 제 1 활성 영역에 형성된 적어도 하나의 고전자 이동도 트랜지스터를 포함하는 복수의 회로 요소;
    회로 요소에 전기적으로 연결된 상기 제 1 표면 상의 복수의 제 1 본딩 패드;
    상기 제 1 활성 영역을 둘러싼 상기 제 1 표면 상의 제 1 금속 패드;
    상기 제 1 표면 및 상기 제 1 본딩 패드 및 상기 제 1 금속 패드의 부분을 피복하는 제 1 수지 물질;
    다이아몬드를 포함하는 제 2 기판;
    상기 제 2 기판의 제 2 표면에서 제 2 활성 영역;
    상기 제 2 활성 영역에 형성된 복수의 수동 회로 요소;
    상기 수동 회로 요소에 전기적으로 연결된 상기 제 2 표면 상의 복수의 제 2 본딩 패드;
    상기 제 2 기판의 제 3 표면 상의 복수의 장착 패드, 상기 제 3 표면은 상기 제 2 표면으로부터 상기 제 2 기판의 반대편 측면 상에 있고;
    상기 제 2 기판을 통해 연장하는 복수의 관통 비아, 각각의 관통 비아는 상기 장착 패드에 상기 제 2 본딩 패드를 전기적으로 연결하고;
    상기 제 2 활성 영역을 둘러싸는 상기 제 2 표면 상의 제 2 금속 패드;
    상기 제 2 표면 및 상기 제 2 본딩 패드 및 상기 제 2 금속 패드의 부분을 피복하는 제 2 수지 물질;
    상기 제 1 본딩 패드로부터 상기 제 2 본딩 패드로 연장하고 상기 제 1 본딩 패드를 상기 제 2 본딩 패드에 전기적으로 연결하는 복수의 제 1 상호연결; 및
    상기 제 1 금속 패드로부터 상기 제 2 금속 패드로 연장하는 제 2 상호연결, 상기 제 2 상호연결은 그를 통해 상기 제 1 상호연결이 연장하는 영역을 에워싸는, 칩 스케일 패키징된 반도체 장치.
  15. 제 14항에 있어서,
    상기 제 1 상호연결은 골드를 포함하고, 그리고
    상기 제 2 상호연결은 골드를 포함하는, 칩 스케일 패키징된 반도체 장치.
  16. 제 14항에 있어서,
    상기 제 2 상호연결은 직사각형 프레임 형상인, 칩 스케일 패키징된 반도체 장치.
  17. 회로 기판;
    청구항 제 14항에 따른 칩 스케일 패키징된 반도체 장치를 포함하고, 상기 칩 스케일 패키징된 반도체 장치는 상기 칩 스케일 패키징된 반도체 장치의 복수의 장착 패드를 통해 상기 회로 기판에 납땜되는, 전자 기기.
  18. 제 1 유형 웨이퍼의 제 1 표면 상의 각각으로부터 이격된 복수의 제 1 활성 영역에 고전자 이동도 트랜지스터를 형성하는 단계;
    상기 제 1 유형 웨이퍼의 상기 제 1 활성 영역의 각각 상에 제 1 본딩 패드를 형성하는 단계;
    상기 제 1 유형 웨이퍼의 상기 제 1 표면 상에 제 1 금속 패드를 형성하는 단계, 각각의 제 1 금속 패드는 상기 제 1 활성 영역 중 하나를 각기 둘러싸고;
    상기 제 1 본딩 패드 및 상기 제 1 금속 패드 상에 솔더층을 증착하는 단계;
    상기 제 1 본딩 패드 및 상기 제 1 금속 매드를 피복하는 상기 제 1 표면에 걸쳐 제 1 수지 물질층을 형성하는 단계;
    상기 제 1 본딩 패드 및 상기 제 1 금속 패드의 부분을 노출하도록 상기 제 1 수지 물질층에 홀을 형성하는 단계;
    제 2 유형 웨이퍼의 제 2 표면 상에 서로로부터 이격된 복수의 제 2 활성 영역에서 수동 회로 요소를 형성하는 단계;
    상기 제 2 활성 영역에서 관통 홀을 형성하는 단계, 상기 관통 홀은 상기 제 2 표면으로부터 상기 제 2 유형 웨이퍼의 반대편 측면 상의 제 3 표면으로 상기 제 2 유형 웨이퍼를 통해 연장하고;
    상기 제 2 활성 영역에 상기 제 2 표면 상에 제 2 본딩 패드를 형성하는 단계, 상기 제 2 본딩 패드는 상기 관통 홀 위에 위치되고;
    상기 제 2 활성 영역을 둘러싼 상기 제 2 표면 상에 제 2 금속 패드를 형성하는 단계;
    상기 제 2 본딩 패드 및 상기 제 2 금속 패드 상에 금속 범프 커넥터를 형성하는 단계;
    상기 금속 범프 커넥터가 상기 제 2 수지 물질층의 상부 표면으로부터 돌출하는 바와 같이 상기 제 2 표면 상에 제 2 수지 물질층을 형성하는 단계;
    상기 제 2 유형 웨이퍼에서 상기 관통 홀의 각각에 관통 비아를 형성하는 단계;
    상기 제 2 유형 웨이퍼의 상기 제 3 표면 상에 장착 패드를 형성하는 단계, 상기 장착 패드는 상기 관통 비아에 전기적으로 연결되고;
    상기 제 1 유형 웨이퍼의 상기 제 1 표면을 상기 제 2 유형 웨이퍼의 상기 제 2 표면과 마주하는 배열에 위치시키고 상기 제 1 수지 물질층에 상기 홀을 상기 금속 범프 커넥터에 정렬시키는 단계;
    상기 제 1 본딩 패드 및 상기 제 1 금속 패드에 대해 상기 금속 범프 커넥터를 누르고 상기 제 1 유형 웨이퍼 및 상기 제 2 유형 웨이퍼를 가열함으로써 상기 제 1 유형 웨이퍼 및 상기 제 2 유형 웨이퍼를 본딩하는 단계;
    상기 본딩 후에, 인접한 제 2 활성 영역 사이의 위치에서 상기 제 3 표면으로부터 상기 제 2 유형 웨이퍼를 통해 레이저 커팅하는 단계; 및
    상기 제 2 유형 웨이퍼를 통한 상기 레이저 커팅 후에, 상기 제 2 유형 웨이퍼의 상기 제 2 표면에 도달하도록 상기 제 1 표면의 반대편 측면 상에 상기 제 1 유형 웨이퍼의 표면으로부터 상기 제 1 유형 웨이퍼를 통해 레이저 커팅하는 단계를 포함하는, 반도체 장치를 제조하는 방법.
  19. 제 18항에 있어서,
    상기 제 1 유형 웨이퍼 및 상기 제 2 유형 웨이퍼의 상기 본딩은 진공 또는 불활성 가스 분위기에서 수행하는, 반도체 장치를 제조하는 방법.
  20. 제 18항에 있어서,
    상기 제 1 유형 웨이퍼는 질화 갈륨 및 실리콘 카바이드 층을 포함하고,
    상기 제 2 유형 웨이퍼는 다이아몬드를 포함하고,
    상기 금속 범프 커넥터는 골드를 포함하고,
    상기 솔더층은 틴-골드 합금을 포함하고,
    상기 제 1 수지 물질층은 벤조사이클로부텐 기반 폴리머 물질을 포함하고, 그리고
    상기 제 2 수지 물질층은 벤조사이클로부텐 기반 폴리머 물질을 포함하는, 반도체 장치를 제조하는 방법.
KR1020220083935A 2021-07-08 2022-07-07 반도체 장비 및 제조방법 KR102653704B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/370,597 US11521957B1 (en) 2021-07-08 2021-07-08 Semiconductor device and method of manufacture
US17/370,597 2021-07-08

Publications (2)

Publication Number Publication Date
KR20230010170A KR20230010170A (ko) 2023-01-18
KR102653704B1 true KR102653704B1 (ko) 2024-04-03

Family

ID=84325046

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220083935A KR102653704B1 (ko) 2021-07-08 2022-07-07 반도체 장비 및 제조방법

Country Status (2)

Country Link
US (1) US11521957B1 (ko)
KR (1) KR102653704B1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021202358A1 (en) 2020-04-03 2021-10-07 Cree, Inc. Group iii nitride-based radio frequency transistor amplifiers having source, gate and/or drain conductive vias
JP2023520029A (ja) * 2020-04-03 2023-05-15 ウルフスピード インコーポレイテッド 裏面ソース端子、ゲート端子及び/又はドレイン端子を有するiii族窒化物ベースの高周波増幅器

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006186091A (ja) 2004-12-27 2006-07-13 Mitsubishi Heavy Ind Ltd 半導体装置及びその製造方法
JP2010067916A (ja) 2008-09-12 2010-03-25 Panasonic Corp 集積回路装置
JP2012209596A (ja) 2012-07-20 2012-10-25 Mitsumasa Koyanagi 三次元積層構造を持つ集積回路装置の製造方法
JP2015115387A (ja) 2013-12-10 2015-06-22 マイクロン テクノロジー, インク. 半導体装置の製造方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10594266B2 (en) * 2017-12-04 2020-03-17 Nxp Usa, Inc. Multiple-path amplifier with series component along inverter between amplifier outputs

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006186091A (ja) 2004-12-27 2006-07-13 Mitsubishi Heavy Ind Ltd 半導体装置及びその製造方法
JP2010067916A (ja) 2008-09-12 2010-03-25 Panasonic Corp 集積回路装置
JP2012209596A (ja) 2012-07-20 2012-10-25 Mitsumasa Koyanagi 三次元積層構造を持つ集積回路装置の製造方法
JP2015115387A (ja) 2013-12-10 2015-06-22 マイクロン テクノロジー, インク. 半導体装置の製造方法

Also Published As

Publication number Publication date
US11521957B1 (en) 2022-12-06
KR20230010170A (ko) 2023-01-18

Similar Documents

Publication Publication Date Title
KR102653704B1 (ko) 반도체 장비 및 제조방법
JP6350759B2 (ja) 半導体装置
US6239496B1 (en) Package having very thin semiconductor chip, multichip module assembled by the package, and method for manufacturing the same
US7858512B2 (en) Semiconductor with bottom-side wrap-around flange contact
US8105856B2 (en) Method of manufacturing semiconductor device with wiring on side surface thereof
CN102844861B (zh) 对用于裸片翘曲减少的组装的ic封装衬底的tce补偿
US6838762B2 (en) Water-level package with bump ring
US20100164079A1 (en) Method of manufacturing an assembly and assembly
JPH10326806A (ja) 半導体装置およびその製造方法
US20200053883A1 (en) Process of assembling semiconductor device
US6955944B2 (en) Fabrication method for a semiconductor CSP type package
TW201946245A (zh) 半導體封裝體及包含半導體封裝體之裝置
JPH10242383A (ja) 半導体装置
US11721654B2 (en) Ultra-thin multichip power devices
US11990872B2 (en) Power amplifier modules including topside cooling interfaces and methods for the fabrication thereof
US20120146214A1 (en) Semiconductor device with vias and flip-chip
JP3618330B2 (ja) 半導体装置及びその製造方法
CN114765162A (zh) 具有锥形金属涂层侧壁的半导体装置
KR20170120752A (ko) 반도체 소자 및 그 제조 방법
JP4214969B2 (ja) 半導体装置の製造方法
CN220341210U (zh) 半导体结构
US20230290752A1 (en) Semiconductor device and method of manufacturing the same
US20230014357A1 (en) Semiconductor package assembly and manufacturing method
US20090324906A1 (en) Semiconductor with top-side wrap-around flange contact
JP2023041023A (ja) 半導体装置

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant