KR102577213B1 - 집적 회로에서의 동적 스캔 체인 재구성 - Google Patents

집적 회로에서의 동적 스캔 체인 재구성 Download PDF

Info

Publication number
KR102577213B1
KR102577213B1 KR1020197035729A KR20197035729A KR102577213B1 KR 102577213 B1 KR102577213 B1 KR 102577213B1 KR 1020197035729 A KR1020197035729 A KR 1020197035729A KR 20197035729 A KR20197035729 A KR 20197035729A KR 102577213 B1 KR102577213 B1 KR 102577213B1
Authority
KR
South Korea
Prior art keywords
circuit
scan
scan chains
chain
chains
Prior art date
Application number
KR1020197035729A
Other languages
English (en)
Other versions
KR20200003166A (ko
Inventor
파르토 타판 차우두리
Original Assignee
자일링크스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 자일링크스 인코포레이티드 filed Critical 자일링크스 인코포레이티드
Publication of KR20200003166A publication Critical patent/KR20200003166A/ko
Application granted granted Critical
Publication of KR102577213B1 publication Critical patent/KR102577213B1/ko

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31723Hardware for routing the test signal within the device under test to the circuits to be tested, e.g. multiplexer for multiple core testing, accessing internal nodes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318536Scan chain arrangements, e.g. connections, test bus, analog signals
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31724Test controller, e.g. BIST state machine
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318558Addressing or selecting of subparts of the device under test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3187Built-in tests
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/267Reconfiguring circuits for testing, e.g. LSSD, partitioning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/27Built-in tests

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

복수의 스캔 체인들(108)을 갖는 집적 회로(IC)(100)를 위한 예시적인 테스트 회로(103)는: 제1 회로(102) 및 제2 회로(104); 및 제1 회로와 복수의 스캔 체인들 사이에 커플링되고 그리고 제2 회로와 복수의 스캔 체인들 사이에 커플링된 스캔 체인 라우터(106)를 포함하며, 스캔 체인 라우터는 인에이블 신호에 대한 응답으로, (1) 제1 회로를 복수의 스캔 체인들 각각에 커플링하거나; 또는 (2) 제2 회로를 하나 이상의 연쇄된 스캔 체인들(109)에 커플링하며, 각각의 연쇄된 스캔 체인은 복수의 스캔 체인들 중 2개 이상의 스캔 체인들의 연쇄를 포함한다.

Description

집적 회로에서의 동적 스캔 체인 재구성
본 개시내용의 예들은 일반적으로, 전자 회로들에 관한 것으로, 구체적으로는 집적 회로(IC; integrated circuit)에서의 동적 스캔 체인 재구성(dynamic scan chain reconfiguration)에 관한 것이다.
집적 회로(IC), 이를테면, 주문형 집적 회로(ASIC) 등은 테스트 용이화 설계(DFT; design for testability) 기법들을 사용하여 설계된다. DFT 기법들은 스캔 체인들과 같은 테스트 용이화 피처(testability feature)들을 회로 설계에 추가한다. 스캔 체인은, 체인으로 순차적으로 연결되는 다수의 플립-플롭(flip-flop)들("플롭들")에 의해 형성된다. 제1 플롭의 입력은 입력 핀("스캔-인(scan-in)")에 연결되고, 마지막 플롭의 출력은 출력 핀("스캔-아웃(scan-in)")에 연결된다. 스캔 체인들은 설계들에 삽입되어 테스트 입력 데이터를 시프트 인(shift in)하고 테스트 결과 데이터를 시프트 아웃(shift out)한다.
IC 제조자들은, 고착 장애(stuck-at fault)들을 테스트는 것, 지연에 대한 경로들을 테스트하는 것(예컨대, 경로가 기능 주파수에서 동작하고 있는지를 결정하기 위해) 등을 포함하는 다양한 이유들로 스캔 테스팅을 수행한다. 그러한 스캔 테스팅은 통상적으로, 제조 동안 자동화 테스트 장비(ATE; automated test equipment)를 사용하여 수행된다. IC는 IC를 테스트하는 데 필요한 데이터의 양을 감소시키기 위해 스캔 압축을 이용할 수 있으며, 이는 ATE의 리소스들을 확보(free up)하며, 테스팅 비용들을 감소시킬 수 있다.
IC는 또한, 로직 내장 자체 테스트(LBIST; logic built-in-self test) 피처를 사용하여 자체-테스팅을 수행하도록 설계될 수 있다. LBIST는 현장의 회로망을 테스트할 수 있고, 외부 핀(pin)들로의 어떤 직접적인 연결들도 갖지 않은 내부 회로들을 테스트할 수 있다. LBIST는 또한, 테스트 입력을 스캔 체인들에 제공하고 그리고 테스트 출력을 스캔 체인들로부터 수신할 수 있다. IC는 파워 온 시에 LBIST를 수행할 수 있다.
파워-온 LBIST는 통상적으로 런-타임 제한들을 나타내므로, 디바이스에 대한 파워-온 시간은 사양들을 충족시킨다. 런-타임 제한들을 충족시키기 위해, LBIST는 더 작은 스캔 체인들(예컨대, 더 적은 플롭들을 갖는 스캔 체인들)을 이용할 수 있다. 반대로, 제조 스캔 테스트(manufacturing scan test)들은 더 큰 스캔 체인들(예컨대, 더 많은 플롭들을 갖는 스캔 체인들)을 이용한다. 제조 스캔 테스트들의 경우, 스캔 체인 길이를 감소시키는 것은 압축 비율을 증가시킨다. 압축 비율을 특정 포인트 너머로 증가시키는 것은 테스트 커버리지에 영향을 미친다. 따라서, LBIST 및 제조 스캔 압축/압축해제 피처들 둘 모두를 포함하는 IC들의 스캔 체인 길이들의 관점들에서 충돌이 있다.
집적 회로(IC)에서의 동적 스캔 체인 재구성을 제공하기 위한 기법들이 설명된다. 일 예에서, 복수의 스캔 체인들을 갖는 집적 회로(IC)를 위한 테스트 회로는: 제1 회로 및 제2 회로; 및 제1 회로와 복수의 스캔 체인들 사이에 커플링되고 그리고 제2 회로와 복수의 스캔 체인들 사이에 커플링된 스캔 체인 라우터를 포함하며, 스캔 체인 라우터는 인에이블 신호(enable signal)에 대한 응답으로, (1) 제1 회로를 복수의 스캔 체인들 각각에 커플링하거나; 또는 (2) 제2 회로를 하나 이상의 연쇄된(concatenated) 스캔 체인들에 커플링하며, 각각의 연쇄된 스캔 체인은 복수의 스캔 체인들 중 2개 이상의 스캔 체인들의 연쇄(concatenation)를 포함한다.
다른 예에서, 집적 회로(IC)는 복수의 스캔 체인들, 및 복수의 스캔 체인들에 커플링된 테스트 회로망을 포함한다. 테스트 회로망은: 제1 회로 및 제2 회로; 및 제1 회로와 복수의 스캔 체인들 사이에 커플링되고 그리고 제2 회로와 복수의 스캔 체인들 사이에 커플링된 스캔 체인 라우터를 포함하며, 스캔 체인 라우터는 인에이블 신호에 대한 응답으로, (1) 제1 회로를 복수의 스캔 체인들 각각에 커플링하거나; 또는 (2) 제2 회로를 하나 이상의 연쇄된 스캔 체인들에 커플링하며, 각각의 연쇄된 스캔 체인은 복수의 스캔 체인들 중 2개 이상의 스캔 체인들의 연쇄를 포함한다.
다른 예에서, 복수의 스캔 체인들을 갖는 집적 회로(IC)를 테스팅하는 방법은: 자동화 테스트 장비(ATE; automatic test equipment)로부터 하나 이상의 테스트 신호들을 수신하는 단계; 하나 이상의 테스트 신호들을 압축해제하는 단계; 복수의 스캔 체인들을 하나 이상의 연쇄된 스캔 체인들로 연쇄시키는 단계 ― 각각의 연쇄된 스캔 체인은 복수의 스캔 체인들 중 2개 이상의 스캔 체인들의 연쇄를 포함함 ―; 하나 이상의 테스트 신호들 각각을 연쇄된 스캔 체인들 중 개개의 연쇄된 스캔 체인에 커플링하는 단계; 및 하나 이상의 연쇄된 스캔 체인들 중 각각의 연쇄된 스캔 체인의 출력을 ATE에 커플링하는 단계를 포함한다.
이러한 그리고 다른 양상들은 다음의 상세한 설명을 참조하여 이해될 수 있다.
위에서 열거된 특징들이 상세히 이해될 수 있는 방식으로, 상기 간략하게 요약된 더 구체적인 설명이 예시적인 구현들을 참조하여 행해질 수 있으며, 예시적인 구현들 중 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 전형적인 예시적인 구현들만을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 한다.
도 1은 일 예에 따른 집적 회로(IC)를 묘사하는 블록도이다.
도 2는 일 예에 따라 더 상세하게 도 1의 IC의 스캔 체인들을 묘사하는 블록도이다.
도 3은 일 예에 따른 스캔 체인 라우터를 묘사하는 블록도이다.
도 4는 본원에서 설명되는 테스트 회로망이 사용될 수 있는 필드 프로그램가능 게이트 어레이(FPGA)를 예시한다.
도 5는 일 예에 따른, 도 1의 IC를 테스트하기 위한 시스템을 묘사한다.
도 6은 일 예에 따른, 도 1의 IC를 테스트하기 위한 방법을 묘사하는 흐름도이다.
이해를 용이하게 하기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 예의 엘리먼트들이 다른 예들에 유익하게 통합될 수 있음이 고려된다.
도면들을 참조하여 다양한 특징들이 아래에서 설명된다. 도면들은 실척대로 그려졌거나 또는 실척대로 그려지지 않았을 수 있으며, 유사한 구조들 또는 기능들의 엘리먼트들은 도면들 전체에 걸쳐 유사한 참조 번호들로 표시된다는 것이 주목되어야 한다. 또한, 도면들이 단지 특징들의 설명을 용이하게 하도록 의도되었다는 것이 주목되어야 한다. 그들은 청구된 발명의 완전한 설명 또는 청구된 발명의 범위에 대한 제한으로 의도되지 않는다. 게다가, 예시된 예는 도시된 모든 양상들 또는 이점들을 가질 필요는 없다. 특정 예와 함께 설명된 양상 또는 장점은 반드시 그 예로 제한되지는 않으며, 그렇게 예시되지 않거나 또는 그렇게 명시적으로 설명되지 않더라도 임의의 다른 예들에서 실시될 수 있다.
집적 회로(IC)에서의 동적 스캔 체인 재구성을 제공하기 위한 기법들이 설명된다. 일 예에서, IC는 스캔 체인 라우터를 갖는 테스트 회로망을 포함한다. 스캔 체인 라우터는 IC의 스캔 체인들을 상이한 스캔-관련 테스팅 모드들에 대한 다양한 길이들의 체인들로 동적으로 구성할 수 있다. 예컨대, 파워-온 로직 내장 자체-테스트(LBIST) 모드는 더 신속한 스타트업 시퀀스를 위해 더 빠르게 실행하기 위해 더 짧은 길이들을 갖는 스캔 체인들을 필요로 할 수 있다. 반대로, 자동화 테스트 장비(ATE)를 사용하는 제조 스캔 테스트는 LBIST 모드를 위해 사용된 것들보다 더 긴 길이들을 갖는 스캔 체인들을 필요로 할 수 있는데, 왜냐하면, 제조 스캔 테스트들은 압축 비율들의 관점들에서 제한되기 때문이다. 특정 압축 비율 너머에서는, 자동화 테스트 패턴 생성(ATPG; automatic test pattern generation)을 사용하여 테스트 패턴들을 생성하기가 더 어렵다. 게다가, 제조 스캔 테스트들의 경우, 더 짧은 스캔 체인들(이를테면, LBIST에 의해 필요로 되는 것들)은 불충분한 커버리지 또는 더 긴 테스트 시간들을 야기할 수 있다. 본원의 예들에서 설명되는 스캔 체인 라우터는 다양한 애플리케이션들(예컨대, LBIST 및 제조 스캔 테스팅 애플리케이션들 둘 모두)에 대해 더 긴 그리고 더 짧은 스캔 체인들 둘 모두를 지원한다. 이러한 그리고 추가의 양상들은 도면들과 관련하여 아래에서 설명된다.
도 1은 일 예에 따른 집적 회로(IC)(100)를 묘사하는 블록도이다. IC(100)는 테스트 회로망(103) 및 코어 로직(110)을 포함한다. 코어 로직(110)은 복수의 스캔 체인들(108)을 포함한다. 스캔 체인들(108) 각각은 복수의 순차적으로 커플링된 플립-플롭들("플롭들")을 포함한다. 테스트 회로망(103)은 로직 내장 자체-테스트(LBIST) 회로망(102), 스캔 압축기/압축해제기 회로망(104), 및 스캔 체인 라우터 회로("스캔 체인 라우터(106)")를 포함한다.
스캔 체인 라우터(106)는 스캔 체인들(108)과 LBIST 회로망(102) 사이에 커플링된다. 스캔 체인 라우터(106)는 또한, 스캔 체인들(108)과 스캔 압축기/압축해제기 회로망(104) 사이에 커플링된다. LBIST 회로망(102)은 LBIST 출력을 제공한다. 스캔 압축기/압축해제기 회로망(104)은 자동화 테스트 장비(ATE) 입력/출력("ATE 입력/출력")을 포함한다. 스캔 체인 라우터(106)는 LBIST 인에이블 신호("LBIST 인에이블")를 수신하는 입력을 포함한다.
동작 시에, 스캔 체인 라우터(106)는 스캔 체인들(108)을 상이한 테스팅 모드들에 대한 다양한 길이들의 스캔 체인들에 커플링한다. 제1 테스팅 모드("LBIST 모드")에서, 스캔 체인 라우터(106)는 스캔 체인들(108)을 더 작은 길이들을 갖는 스캔 체인들에 커플링한다. 제2 테스팅 모드("스캔 압축기/압축해제기 모드")에서, 스캔 체인 라우터(106)는 스캔 체인들(108)을 LBIST 모드와 관련하여 더 긴 길이들을 갖는 스캔 체인들("연쇄된 스캔 체인들(109)")에 커플링한다.
LBIST 모드에서, 스캔 체인 라우터(106)는 LBIST 회로망(102)을 스캔 체인들(108)에 커플링한다. 스캔 압축기/압축해제기 모드에서, 스캔 체인 라우터(106)는 스캔 압축기/압축해제기 회로망(104)을 스캔 체인들(108)에 커플링한다. ATE 장비(도 1에 도시되지 않음)는 (예컨대, 제조 동안) 스캔 압축기/압축해제기(104)의 ATE 입력/출력에 커플링될 수 있다. LBIST 회로망(102)의 LBIST 출력은 직접적으로 또는 다른 테스트 회로(예컨대, JTAG(Joint Test Action Group) 회로망의 테스트 액세스 포트(TAP))를 통해 액세스될 수 있다. 일부 경우들에서, 스캔 압축기/압축해제기(104)의 ATE 입력/출력은 IC(100)의 제조 동안에만 액세스가능하며, IC(100)가 패키징될 때에는 액세스가능하지 않다. LBIST 회로망(102)의 LBIST 출력은 제조 동안뿐만 아니라 IC(100)가 패키징된 후에도 액세스가능할 수 있다.
LBIST 인에이블 신호는 스캔 체인 라우터(106)의 모드를 제어한다. LBIST 인에이블이 어서팅될(asserted) 때, 스캔 체인 라우터(106)는 LBIST 모드에 있다. LBIST 인에이블이 디-어서팅될(de-asserted) 때, 스캔 체인 라우터(106)는 스캔 압축기/압축해제기 모드에 있다. 일 예에서, LBIST 인에이블은 보통 때는 어서팅될 수 있고, 제조 동안 ATE 테스팅을 수행하기 위해 디-어서팅될 수 있다. LBIST 인에이블은, IC(100)가 패키징된 후에는 액세스가능하거나 또는 액세스불가능할 수 있다.
도 2는 일 예에 따라 더 상세하게 스캔 체인들(108)을 묘사하는 블록도이다. 그 예에서, 스캔 체인들(108)은 별개의 스캔 체인들(1081...108M)을 포함하며, 여기서 M은 1보다 더 큰 정수이다. 각각의 스캔 체인(108x)(x ∈ ( [1...K])은 복수의 플롭들(202)을 포함한다. 각각의 스캔 체인(108x)의 (마지막 플롭 이외의) 플롭들(202)은 출력에서-입력으로(output-to-input) 순차적으로 커플링된다. 스캔 체인(108x)의 제1 플롭(202)의 입력, 및 스캔 체인(108x)의 마지막 플롭(202)의 출력은 스캔 체인 라우터(106)에 커플링된다.
스캔 체인 라우터(106)는 LBIST 회로망(102)에 커플링된 M-비트 입력(206), 및 LBIST 회로망(102)에 커플링된 M-비트 출력(208)을 포함한다. LBIST 모드에서, M-비트 입력(206)은 M개의 스캔 체인들(1081...108M) 각각에 대한 입력 테스트 신호를 포함한다. M-비트 출력(208)은 M개의 스캔 체인들(1081...108M) 각각으로부터의 출력 테스트 신호를 포함한다. 스캔 체인 라우터(106)는 입력(206) 상의 M개의 테스트 신호들을 M개의 스캔 체인들(1081...108M)의 입력에 각각 전달한다. 스캔 체인 라우터(106)는 스캔 체인들(1081...108M)의 M개의 출력들을 M개의 출력 테스트 신호들로서 출력(208)에 각각 전달한다.
스캔 체인 라우터(106)는 스캔 압축해제기/압축기 회로망(104)의 압축해제기(104D)에 커플링된 N-비트 입력(210)을 포함한다. 스캔 체인 라우터(106)는 스캔 압축해제기/압축기 회로망(104)의 압축기(104C)에 커플링된 N-비트 출력(212)을 포함한다. 일반적으로, N은 M보다 더 작은 정수이다. 스캔 압축기/압축해제기 모드에서, N-비트 입력(210)은 N개의 연쇄된 스캔 체인들(109) 각각에 대한 입력 테스트 신호를 포함한다. N-비트 출력(212)은 N개의 연쇄된 스캔 체인들(109) 각각에 대한 출력 테스트 신호를 포함한다. 스캔 체인 라우터(106)는 N개의 연쇄된 스캔 체인들(109) 각각을 형성하기 위해 복수의 스캔 체인들(108)을 연쇄시키도록 구성된다. 예컨대, N = M/2(예컨대, M 대 N의 비율은 2임)를 고려하며, 여기서 M은 제로보다 더 큰 짝수(even integer)이다. 그러한 예에서, 스캔 체인 라우터(106)는 개별적인 스캔 체인(108x)의 2배 길이인 연쇄된 스캔 체인들(109)을 형성하기 위해 스캔 체인들(108)의 쌍들을 연쇄시킨다. M 대 N의 비율은 2보다 더 큰 다른 정수들일 수 있다(예컨대, 2개보다 더 많은 스캔 체인들(108)은 연쇄된 스캔 체인으로 연쇄될 수 있음). 더욱이, 각각의 연쇄된 스캔 체인이 동일한 길이를 가질 필요는 없다.
도 3은 일 예에 따른 스캔 체인 라우터(106)를 묘사하는 블록도이다. 스캔 체인 라우터(106)는 바이패스 라우터 회로(302) 및 체인 연쇄 회로(304)를 포함한다. 본 예에서, M = 4 및 N = 2를 가정한다. 바이패스 라우터 회로(302)는 LBIST 회로망(102)의 출력(208) 및 입력(206)에 커플링된다. 이러한 예에서, LBIST 회로망(102)의 출력(208)은 4개의 신호들("frmLBIST[3:0]")을 포함한다. 마찬가지로, LBIST 회로망(102)의 입력(206)은 4개의 신호들(toLIBST[3:0]")을 포함한다. 바이패스 라우터 회로(302)는 출력(306) 및 입력(308)을 포함한다. 출력(306)은 4개의 신호들("toChain[3:0")을 제공하는 4개의 출력들(3060...3063)(도 3에서 좌측에서 우측으로)을 포함한다. 마찬가지로, 입력(308)은 4개의 신호들("frmChain[3:0]")을 제공하는 4개의 입력들(3080...3083)(도 3에서 좌측에서 우측으로)을 포함한다. 출력(306)의 4개의 신호들은 4개의 스캔 체인들(1081...1084)의 입력들에 각각 커플링된다. 입력(308)의 4개의 신호들은 스캔 체인들(1081...1084)의 출력들로부터 각각 수신된다.
체인 연쇄 회로(304)는 압축해제기(104D)의 출력(210) 및 압축기(104C)의 입력(212)에 커플링된다. 이러한 예에서, 출력(210)은 2개의 신호들("frmDecomp[1:0]")을 포함한다. 마찬가지로, 입력(212)은 2개의 신호들("toComp[1:0]")을 포함한다. 그 예에서, 체인 연쇄 회로(304)는 신호 frmDecomp[0]를 출력(3060)에 커플링하고 신호 frmDecomp[1]를 출력(3062)에 커플링한다. 체인 연쇄 회로(304)는 입력(3080)을 출력(3061)에 커플링한다. 체인 연쇄 회로(304)는 입력(3082)을 출력(3063)에 커플링한다. 체인 연쇄 회로(304)는 신호 toComp[0]를 입력(3081)으로부터 그리고 신호 toComp[1]를 입력(3083)으로부터 제공한다.
동작 시에, LBIST 인에이블 신호가 어서팅될 때, 바이패스 라우터 회로(302)는 인에이블되고, 체인 연쇄 회로(304)는 디스에이블된다. 바이패스 라우터 회로(302)는 신호들 frmLBIST[3:0]을 스캔 체인들(1081...1084)의 입력들에 전달한다. 바이패스 라우터 회로(302)는 스캔 체인들(1081...1084)로부터 출력된 신호들을 신호들 toLBIST[3:0]로서 전달한다. LBIST 인에이블 신호가 디-어서팅될 때, 바이패스 라우터 회로(302)는 디스에이블되고, 체인 연쇄 회로(304)는 인에이블된다. 그러한 경우에서, 체인 연쇄 회로(304)는 스캔 체인들(1081 및 1082)을 단일 연쇄된 체인(109)으로 연쇄시킨다. 마찬가지로, 체인 연쇄 회로(304)는 스캔 체인들(1083 및 1084)을 단일 연쇄된 체인(109)으로 연쇄시킨다.
도 3의 예는 4개보다 많은 스캔 체인들(108)을 지원하도록 확장될 수 있다. 또한, 체인 연쇄 회로(304)는 2개보다 많은 스캔 체인들(108)을 연쇄시켜 각각의 연쇄된 스캔 체인을 형성할 수 있다. 따라서, 각각의 연쇄된 스캔 체인은 각각의 개별적인 스캔 체인(108)보다 2배, 3배, 또는 그보다 더 길 수 있다.
위에서 설명된 테스트 회로망(103)은 집적 회로, 이를테면, 필드 프로그램가능 게이트 어레이(FPGA; field programmable gate array) 또는 유사한 타입의 프로그램가능 회로 내에 구현될 수 있다. 도 4는, 멀티-기가비트 트랜시버("MGT")들(1), 구성가능 로직 블록("CLB")들(2), 랜덤 액세스 메모리 블록("BRAM")들(3), 입력/출력 블록("IOB")들(4), 구성 및 클록킹 로직("CONFIG/CLOCKS")(5), 디지털 신호 프로세싱 블록("DSP")들(6), 특화된 입력/출력 블록들("I/O")(7)(예컨대, 구성 포트들 및 클록 포트들), 및 다른 프로그램가능 로직(8), 이를테면, 디지털 클록 관리자들, 아날로그-투-디지털 변환기들, 시스템 모니터링 로직 등을 포함하는 많은 수의 상이한 프로그램가능 타일들을 포함하는 FPGA(400)의 아키텍처를 예시한다. 일부 FPGA들은 또한, 전용 프로세서 블록들("PROC")(10)을 포함한다. FPGA(400)는 프로그램가능 로직 전체에 걸쳐 배치된 스캔 체인들(108)을 포함할 수 있다. 테스트 회로망(103)은 위에서 설명된 바와 같이 스캔 체인들(108)에 커플링된다.
일부 FPGA들에서, 각각의 프로그램가능 타일은, 도 4의 최상부에 포함된 예들에 의해 도시된 바와 같이, 동일한 타일 내의 프로그램가능 로직 엘리먼트의 입력 및 출력 단자들(20)에 대한 연결들을 갖는 적어도 하나의 프로그램가능 상호연결 엘리먼트("INT")(11)를 포함할 수 있다. 각각의 프로그램가능 상호연결 엘리먼트(11)는 또한, 동일한 타일 또는 다른 타일(들)에서 인접한 프로그램가능 상호연결 엘리먼트(들)의 세그먼트들(22)을 상호연결시키기 위한 연결들을 포함할 수 있다. 각각의 프로그램가능 상호연결 엘리먼트(11)는 또한, 로직 블록들(도시되지 않음) 사이에서 일반적인 라우팅 리소스들의 세그먼트들(24)을 상호연결시키기 위한 연결들을 포함할 수 있다. 일반적인 라우팅 리소스들은, 상호연결 세그먼트들(예컨대, 상호연결 세그먼트들(24))의 트랙들을 포함하는 로직 블록들(도시되지 않음)과 상호연결 세그먼트들을 연결시키기 위한 스위치 블록들(도시되지 않음) 사이의 라우팅 채널들을 포함할 수 있다. 일반적인 라우팅 리소스들의 상호연결 세그먼트들(예컨대, 상호연결 세그먼트들(24))은 하나 이상의 로직 블록들에 걸쳐 있을 수 있다. 일반적인 라우팅 리소스들과 함께 취해진 프로그램가능 상호연결 엘리먼트들(11)은 예시된 FPGA에 대한 프로그램가능 상호연결 구조("프로그램가능 상호연결")를 구현한다.
예시적인 구현에서, CLB(2)는, 사용자 로직 플러스 단일 프로그램가능 상호연결 엘리먼트("INT")(11)를 구현하도록 프로그래밍될 수 있는 구성가능 로직 엘리먼트("CLE")(12)를 포함할 수 있다. BRAM(3)은 하나 이상의 프로그램가능 상호연결 엘리먼트들에 추가하여 BRAM 로직 엘리먼트("BRL")(13)를 포함할 수 있다. 통상적으로, 타일에 포함된 상호연결 엘리먼트들의 수는 타일의 높이에 의존한다. 도시된 예에서, BRAM 타일은 5개의 CLB들과 동일한 높이를 갖지만, 다른 수들(예컨대, 4)이 또한 사용될 수 있다. DSP 타일(6)은 적절한 수의 프로그램가능 상호연결 엘리먼트들에 추가하여 DSP 로직 엘리먼트("DSPL")(14)를 포함할 수 있다. IOB(4)는, 예컨대, 프로그램가능 상호연결 엘리먼트(11)의 하나의 인스턴스에 추가하여 입력/출력 로직 엘리먼트("IOL")(15)의 2개의 인스턴스들을 포함할 수 있다. 당업자들에게 자명할 바와 같이, 예컨대, I/O 로직 엘리먼트(15)에 연결된 실제 I/O 패드들은 통상적으로, 입력/출력 로직 엘리먼트(15)의 영역으로 한정되지 않는다.
도시된 예에서, (도 4에 도시된) 다이의 중심 근처의 수평 영역은 구성, 클록, 및 다른 제어 로직을 위해 사용된다. 이러한 수평 영역 또는 열(column)로부터 연장되는 수직 열들(9)은 FPGA의 너비(breadth)에 걸쳐 클록들 및 구성 신호들을 분배하는 데 사용된다.
도 4에 예시된 아키텍처를 활용하는 일부 FPGA들은 FPGA의 많은 부분을 구성하는 규칙적인 열 구조를 방해하는 추가적인 로직 블록들을 포함한다. 추가적인 로직 블록들은 프로그램가능 블록들 및/또는 전용 로직일 수 있다. 예컨대, 프로세서 블록(10)은 CLB들 및 BRAM들의 몇몇 열들에 걸쳐 있다. 프로세서 블록(10)은, 단일 마이크로프로세서로부터 마이크로프로세서(들), 메모리 제어기들, 주변기기들 등의 완전한 프로그램가능 프로세싱 시스템까지의 범위에 이르는 다양한 컴포넌트들을 포함할 수 있다.
도 4는 단지 예시적인 FPGA 아키텍처를 예시하도록 의도된다는 것이 주목된다. 예컨대, 행(raw) 내의 로직 블록들의 수들, 행들의 상대적인 폭, 행들의 수 및 순서, 행들에 포함된 로직 블록들의 타입들, 로직 블록들의 상대적인 사이즈들, 및 도 4의 최상부에 포함된 상호연결/로직 구현들은 순수하게 예시적이다. 예컨대, 실제 FPGA에서, CLB들이 등장할 때마다, 사용자 로직의 효율적인 구현을 용이하게 하기 위해 CLB들의 1개보다 많은 인접한 행이 통상적으로 포함되지만, 인접한 CLB 행들의 수는 FPGA의 전체 사이즈에 따라 변한다.
도 5 및 도 6은 일 예에 따라 IC(100)를 테스팅하기 위한 시스템 및 방법을 묘사한다. 도 5에 도시된 바와 같이, IC(100)는 ATE(502)에 커플링될 수 있다. ATE(502)는 스캔 압축기/압축해제기 회로망(104)의 ATE 입력/출력에 커플링된다.
도 6은 일 예에 따라 IC(100)를 테스팅하기 위한 방법(600)을 묘사한다. 방법(600)은 단계(602)에서 시작되며, 단계(602)에서 스캔 체인 라우터(106)는 스캔 체인들(108)을 연쇄시켜 연쇄된 스캔 체인(들)(109)을 형성한다. 일 예에서, 스캔 체인 라우터(106)는 인에이블 신호(예컨대, 정적 인에이블 신호, 이를테면, 위에서 논의된 LBIST 인에이블 신호)에 대한 응답으로 연쇄를 수행한다. 정적 인에이블 신호는 체인 연쇄 회로(304)를 인에이블하고, 바이패스 라우터 회로(302)를 디스에이블한다.
단계(604)에서, 압축해제기(104D)는 ATE(502)로부터 테스트 신호(들)를 수신한다. 단계(606)에서, 압축해제기(104D)는 테스트 신호(들)를 압축해제한다. 단계(608)에서, 스캔 체인 라우터(106)는 테스트 신호(들)를 연쇄된 스캔 체인(109)들의 입력(들)에 커플링한다. 단계(610)에서, 스캔 체인 라우터(106)는 연쇄된 스캔 체인들(109)의 출력(들)을 압축기(104C)에 커플링하며, 압축기(104C)는 출력(들)을 압축한다. 단계(612)에서, 압축기(104C)는 출력(들)을 ATE(502)에 제공한다.
일 예에서, 복수의 스캔 체인들을 갖는 집적 회로(IC)를 위한 테스트 회로가 제공될 수 있다. 그러한 회로는: 제1 회로 및 제2 회로; 및 제1 회로와 복수의 스캔 체인들 사이에 커플링되고 그리고 제2 회로와 복수의 스캔 체인들 사이에 커플링된 스캔 체인 라우터를 포함할 수 있으며, 스캔 체인 라우터는 인에이블 신호에 대한 응답으로, (1) 제1 회로를 복수의 스캔 체인들 각각에 커플링하거나; 또는 (2) 제2 회로를 하나 이상의 연쇄된 스캔 체인들에 커플링하며, 각각의 연쇄된 스캔 체인은 복수의 스캔 체인들 중 2개 이상의 스캔 체인들의 연쇄를 포함한다.
그러한 테스트 회로에서, 제1 회로는 로직 내장 자체-테스트(LBIST; logic built-in self-test) 회로망을 포함할 수 있고, 그리고 제2 회로는 스캔 압축기/압축해제기 회로망을 포함할 수 있다.
그러한 테스트 회로에서, 스캔 압축기/압축해제기 회로망은 자동화 테스트 장비(ATE)와 스캔 체인 라우터 사이에 인터페이스를 제공할 수 있다.
그러한 테스트 회로에서, 복수의 스캔 체인들 각각은 IC의 코어 로직에 배치된, 복수의 순차적으로 커플링된 플립-플롭들을 포함할 수 있다.
그러한 테스트 회로에서, 스캔 체인 라우터는: 제1 회로와 복수의 스캔 체인들 사이에 커플링된 바이패스 라우터 회로; 및 제2 회로와 복수의 스캔 체인들 사이에 커플링된 체인 연쇄 회로를 포함할 수 있다.
그러한 테스트 회로에서, 제1 회로는 복수의 출력 신호들을 갖는 출력 및 복수의 입력 신호들을 갖는 입력을 포함할 수 있고, 바이패스 라우터 회로는, 복수의 출력 신호들 각각을 복수의 스캔 체인들 중 개개의 스캔 체인에 커플링하고 그리고 복수의 스캔 체인들 중 개개의 스캔 체인으로부터 복수의 입력 신호들 각각을 수신하도록 구성될 수 있다.
그러한 테스트 회로에서, 제2 회로는 하나 이상의 출력 신호들을 갖는 출력 및 하나 이상의 입력 신호들을 갖는 입력을 포함할 수 있고, 체인 연쇄 회로는, 하나 이상의 출력 신호들 각각을 하나 이상의 연쇄된 스캔 체인들 중 개개의 연쇄된 스캔 체인에 커플링하고 그리고 연쇄된 스캔 체인들 중 개개의 하나 이상의 연쇄된 스캔 체인들로부터 하나 이상의 입력 신호들 각각을 수신하도록 구성될 수 있다.
그러한 테스트 회로에서, 제2 테스트 회로는: 하나 이상의 출력 신호들을 갖는 출력을 포함하는 압축해제기; 및 하나 이상의 입력 신호들을 갖는 입력을 포함하는 압축기를 포함할 수 있다.
다른 예에서, 집적 회로(IC)가 제공될 수 있다. 그러한 IC는: 복수의 스캔 체인들; 및 복수의 스캔 체인들에 커플링된 테스트 회로망을 포함할 수 있으며, 테스트 회로망은: 제1 회로 및 제2 회로; 및 제1 회로와 복수의 스캔 체인들 사이에 커플링되고 그리고 제2 회로와 복수의 스캔 체인들 사이에 커플링된 스캔 체인 라우터를 포함하며, 스캔 체인 라우터는 인에이블 신호에 대한 응답으로, (1) 제1 회로를 복수의 스캔 체인들 각각에 커플링하거나; 또는 (2) 제2 회로를 하나 이상의 연쇄된 스캔 체인들에 커플링하며, 각각의 연쇄된 스캔 체인은 복수의 스캔 체인들 중 2개 이상의 스캔 체인들의 연쇄를 포함한다.
일부 그러한 IC에서, 제1 회로는 로직 내장 자체-테스트(LBIST) 회로망을 포함할 수 있고, 그리고 제2 회로는 스캔 압축기/압축해제기 회로망을 포함할 수 있다.
일부 그러한 IC에서, 스캔 압축기/압축해제기 회로망은 자동화 테스트 장비(ATE)와 스캔 체인 라우터 사이에 인터페이스를 제공할 수 있다.
일부 그러한 IC에서, 복수의 스캔 체인들 각각은 IC의 코어 로직에 배치된, 복수의 순차적으로 커플링된 플립-플롭들을 포함할 수 있다.
일부 그러한 IC에서, 스캔 체인 라우터는: 제1 회로와 복수의 스캔 체인들 사이에 커플링된 바이패스 라우터 회로; 및
제2 회로와 복수의 스캔 체인들 사이에 커플링된 체인 연쇄 회로를 포함할 수 있다.
일부 그러한 IC에서, 제1 회로는 복수의 출력 신호들을 갖는 출력 및 복수의 입력 신호들을 갖는 입력을 포함할 수 있고, 바이패스 라우터 회로는, 복수의 출력 신호들 각각을 복수의 스캔 체인들 중 개개의 스캔 체인에 커플링하고 그리고 복수의 스캔 체인들 중 개개의 스캔 체인으로부터 복수의 입력 신호들 각각을 수신하도록 구성될 수 있다.
일부 그러한 IC에서, 제2 회로는 하나 이상의 출력 신호들을 갖는 출력 및 하나 이상의 입력 신호들을 갖는 입력을 포함할 수 있고, 체인 연쇄 회로는, 하나 이상의 출력 신호들 각각을 하나 이상의 연쇄된 스캔 체인들 중 개개의 연쇄된 스캔 체인에 커플링하고 그리고 연쇄된 스캔 체인들 중 개개의 하나 이상의 연쇄된 스캔 체인들로부터 하나 이상의 입력 신호들 각각을 수신하도록 구성될 수 있다.
일부 그러한 IC에서, 제2 테스트 회로는: 하나 이상의 출력 신호들을 갖는 출력을 포함하는 압축해제기; 및 하나 이상의 입력 신호들을 갖는 입력을 포함하는 압축기를 포함할 수 있다.
다른 예에서, 복수의 스캔 체인들을 갖는 집적 회로(IC)를 테스팅하는 방법이 제공될 수 있다. 그러한 방법은: 복수의 스캔 체인들을 하나 이상의 연쇄된 스캔 체인들로 연쇄시키는 단계 ― 각각의 연쇄된 스캔 체인은 복수의 스캔 체인들 중 2개 이상의 스캔 체인들의 연쇄를 포함함 ―; 자동화 테스트 장비(ATE)로부터 하나 이상의 테스트 신호들을 수신하는 단계; 하나 이상의 테스트 신호들을 압축해제하는 단계; 하나 이상의 테스트 신호들 각각을 연쇄된 스캔 체인들 중 개개의 연쇄된 스캔 체인에 커플링하는 단계; 및 하나 이상의 연쇄된 스캔 체인들 중 각각의 연쇄된 스캔 체인의 출력을 ATE에 커플링하는 단계를 포함할 수 있다.
그러한 방법은: 연쇄시키는 단계를 수행하기 위해 스캔 체인 라우터에 커플링된 인에이블 신호를 제어하는 단계를 더 포함할 수 있다.
일부 그러한 방법에서, 제어하는 단계는: 연쇄시키는 단계를 수행하기 위해 체인 연쇄 회로를 인에이블링(enabling)하는 단계; 및
바이패스 라우터 회로를 디스에이블링(disabling)하는 단계를 포함할 수 있다.
일부 그러한 방법에서, 바이패스 라우터 회로는 로직 내장 자체-테스트(LBIST) 회로와 복수의 스캔 체인들 사이에 커플링될 수 있다.
전술한 바가 특정 예들에 관한 것이지만, 다른 그리고 추가적인 예들이, 본 발명의 기본 범위를 벗어나지 않으면서 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (12)

  1. 복수의 스캔 체인(scan chain)들을 갖는 집적 회로("IC"; integrated circuit)를 위한 테스트 회로로서,
    제1 회로 및 제2 회로 ― 상기 제1 회로는 로직 내장 자체-테스트("LBIST"; logic built-in self-test) 회로망을 포함하고, 그리고 상기 제2 회로는 스캔 압축기/압축해제기 회로망을 포함함 ―; 및
    상기 제1 회로와 상기 복수의 스캔 체인들 사이에 커플링되고 그리고 상기 제2 회로와 상기 복수의 스캔 체인들 사이에 커플링된 스캔 체인 라우터를 포함하며,
    상기 스캔 체인 라우터는 인에이블 신호(enable signal)에 대한 응답으로, (1) 상기 제1 회로를 상기 복수의 스캔 체인들 각각에 커플링하거나; 또는 (2) 상기 제2 회로를 하나 이상의 연쇄된(concatenated) 스캔 체인들에 커플링하며, 각각의 연쇄된 스캔 체인은 상기 복수의 스캔 체인들 중 2개 이상의 스캔 체인들의 연쇄(concatenation)를 포함하는,
    복수의 스캔 체인들을 갖는 집적 회로("IC")를 위한 테스트 회로.
  2. 제1 항에 있어서,
    상기 스캔 압축기/압축해제기 회로망은 자동화 테스트 장비("ATE"; automated test equipment)와 상기 스캔 체인 라우터 사이에 인터페이스를 제공하는,
    복수의 스캔 체인들을 갖는 집적 회로("IC")를 위한 테스트 회로.
  3. 제1 항에 있어서,
    상기 복수의 스캔 체인들 각각은 상기 IC의 코어 로직에 배치된, 복수의 순차적으로 커플링된 플립-플롭들을 포함하는,
    복수의 스캔 체인들을 갖는 집적 회로("IC")를 위한 테스트 회로.
  4. 제1 항에 있어서,
    상기 스캔 체인 라우터는,
    상기 제1 회로와 상기 복수의 스캔 체인들 사이에 커플링된 바이패스 라우터 회로; 및
    상기 제2 회로와 상기 복수의 스캔 체인들 사이에 커플링된 체인 연쇄 회로를 포함하는,
    복수의 스캔 체인들을 갖는 집적 회로("IC")를 위한 테스트 회로.
  5. 제4 항에 있어서,
    상기 제1 회로는 복수의 출력 신호들을 갖는 출력 및 복수의 입력 신호들을 갖는 입력을 포함하고, 그리고 상기 바이패스 라우터 회로는, 상기 복수의 출력 신호들 각각을 상기 복수의 스캔 체인들 중 개개의 스캔 체인에 커플링하고 그리고 상기 복수의 스캔 체인들 중 개개의 스캔 체인으로부터 상기 복수의 입력 신호들 각각을 수신하도록 구성되는,
    복수의 스캔 체인들을 갖는 집적 회로("IC")를 위한 테스트 회로.
  6. 제4 항에 있어서,
    상기 제2 회로는 하나 이상의 출력 신호들을 갖는 출력 및 하나 이상의 입력 신호들을 갖는 입력을 포함하고, 그리고 상기 체인 연쇄 회로는, 상기 하나 이상의 출력 신호들 각각을 상기 하나 이상의 연쇄된 스캔 체인들 중 개개의 연쇄된 스캔 체인에 커플링하고 그리고 상기 연쇄된 스캔 체인들 중 개개의 하나 이상의 연쇄된 스캔 체인들로부터 상기 하나 이상의 입력 신호들 각각을 수신하도록 구성되는,
    복수의 스캔 체인들을 갖는 집적 회로("IC")를 위한 테스트 회로.
  7. 제6 항에 있어서,
    상기 제2 회로는,
    상기 하나 이상의 출력 신호들을 갖는 출력을 포함하는 압축해제기; 및
    상기 하나 이상의 입력 신호들을 갖는 입력을 포함하는 압축기를 포함하는,
    복수의 스캔 체인들을 갖는 집적 회로("IC")를 위한 테스트 회로.
  8. 제1 항 내지 제7 항 중 어느 한 항에 따른 테스트 회로를 사용함으로써, 복수의 스캔 체인들을 갖는 집적 회로("IC")를 테스팅하는 방법으로서,
    인에이블 신호에 대한 응답으로, LBIST 모드를 디스에이블링(disabling)하고 그리고 압축기/압축해제기 모드를 인에이블링(enabling)하는 단계;
    상기 인에이블 신호에 대한 응답으로, 스캔 체인 라우터의 바이패스 라우터 회로를 디스에이블링하고 그리고 상기 스캔 체인 라우터의 체인 연쇄 회로를 인에이블링하는 단계;
    상기 스캔 체인 라우터의 체인 연쇄 회로를 사용하여, 상기 복수의 스캔 체인들을 하나 이상의 연쇄된 스캔 체인들로 연쇄시키는 단계 ― 각각의 연쇄된 스캔 체인은 상기 복수의 스캔 체인들 중 2개 이상의 스캔 체인들의 연쇄를 포함함 ―;
    상기 스캔 체인 라우터를 사용하여, 스캔 압축기/압축해제기 회로망을 상기 하나 이상의 연쇄된 스캔 체인들에 커플링하는 단계;
    자동화 테스트 장비("ATE"; automatic test equipment)로부터 하나 이상의 테스트 신호들을 수신하는 단계;
    상기 하나 이상의 테스트 신호들을 압축해제하는 단계;
    상기 하나 이상의 테스트 신호들 각각을 상기 연쇄된 스캔 체인들 중 개개의 연쇄된 스캔 체인에 커플링하는 단계; 및
    상기 하나 이상의 연쇄된 스캔 체인들 중 각각의 연쇄된 스캔 체인의 출력을 상기 ATE에 커플링하는 단계를 포함하는,
    복수의 스캔 체인들을 갖는 집적 회로("IC")를 테스트하는 방법.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
KR1020197035729A 2017-05-08 2018-05-07 집적 회로에서의 동적 스캔 체인 재구성 KR102577213B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/589,644 US10317464B2 (en) 2017-05-08 2017-05-08 Dynamic scan chain reconfiguration in an integrated circuit
US15/589,644 2017-05-08
PCT/US2018/031445 WO2018208692A1 (en) 2017-05-08 2018-05-07 Dynamic scan chain reconfiguration in an integrated circuit

Publications (2)

Publication Number Publication Date
KR20200003166A KR20200003166A (ko) 2020-01-08
KR102577213B1 true KR102577213B1 (ko) 2023-09-08

Family

ID=62223317

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197035729A KR102577213B1 (ko) 2017-05-08 2018-05-07 집적 회로에서의 동적 스캔 체인 재구성

Country Status (6)

Country Link
US (1) US10317464B2 (ko)
EP (1) EP3635419B1 (ko)
JP (1) JP7179765B2 (ko)
KR (1) KR102577213B1 (ko)
CN (1) CN110622016B (ko)
WO (1) WO2018208692A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10317464B2 (en) * 2017-05-08 2019-06-11 Xilinx, Inc. Dynamic scan chain reconfiguration in an integrated circuit
US10977404B1 (en) * 2020-01-28 2021-04-13 Xilinx, Inc. Dynamic scan chain and method
US11755804B2 (en) 2021-12-28 2023-09-12 Xilinx, Inc. Hybrid synchronous and asynchronous control for scan-based testing

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004226291A (ja) 2003-01-24 2004-08-12 Sharp Corp 半導体テスト回路及びそのテスト方法
JP2006329876A (ja) 2005-05-27 2006-12-07 Nec Electronics Corp 半導体集積回路及びそのテスト方法
US20130185607A1 (en) 2012-01-12 2013-07-18 Lsi Corporation Scan test circuitry configured for bypassing selected segments of a multi-segment scan chain
US20140101501A1 (en) 2012-10-05 2014-04-10 Lsi Corporation Scan test circuitry configured to prevent violation of multiplexer select signal constraints during scan testing
US20140372818A1 (en) 2013-06-17 2014-12-18 Mentor Graphics Corporation Test-Per-Clock Based On Dynamically-Partitioned Reconfigurable Scan Chains
US20150113346A1 (en) 2013-10-21 2015-04-23 International Business Machines Corporation Electronic circuit having serial latch scan chains
US20180321306A1 (en) 2017-05-08 2018-11-08 Xilinx, Inc. Dynamic scan chain reconfiguration in an integrated circuit

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06201782A (ja) * 1993-01-11 1994-07-22 Toshiba Corp 半導体集積回路
US5550843A (en) 1994-04-01 1996-08-27 Xilinx, Inc. Programmable scan chain testing structure and method
US5592493A (en) 1994-09-13 1997-01-07 Motorola Inc. Serial scan chain architecture for a data processing system and method of operation
US6539510B1 (en) 1997-08-12 2003-03-25 Xilinx, Inc. Interface board for receiving modular interface cards
US6071314A (en) 1997-09-29 2000-06-06 Xilinx, Inc. Programmable I/O cell with dual boundary scan
US5991908A (en) 1997-09-29 1999-11-23 Xilinx, Inc. Boundary scan chain with dedicated programmable routing
KR100582807B1 (ko) * 1998-04-23 2006-05-24 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 아날로그 회로 및 디지털 회로를 구비하는 검사 가능한 집적 회로
US6044025A (en) 1999-02-04 2000-03-28 Xilinx, Inc. PROM with built-in JTAG capability for configuring FPGAs
US6857092B1 (en) 2000-08-17 2005-02-15 Xilinx, Inc. Method and apparatus to facilitate self-testing of a system on a chip
US7216277B1 (en) 2003-11-18 2007-05-08 Xilinx, Inc. Self-repairing redundancy for memory blocks in programmable logic devices
JP4549701B2 (ja) * 2004-03-10 2010-09-22 ルネサスエレクトロニクス株式会社 半導体回路装置及び半導体回路に関するスキャンテスト方法
US7383478B1 (en) 2005-07-20 2008-06-03 Xilinx, Inc. Wireless dynamic boundary-scan topologies for field
US7409610B1 (en) 2005-07-20 2008-08-05 Xilinx, Inc. Total configuration memory cell validation built in self test (BIST) circuit
US7308656B1 (en) 2005-10-04 2007-12-11 Xilinx, Inc. Method and apparatus for generating a boundary scan description and model
US7345507B1 (en) 2005-11-01 2008-03-18 Xilinx, Inc. Multi-product die configurable as two or more programmable integrated circuits of different logic capacities
US7302625B1 (en) 2005-11-21 2007-11-27 Xilinx, Inc. Built-in self test (BIST) technology for testing field programmable gate arrays (FPGAs) using partial reconfiguration
EP2030114B1 (en) * 2006-06-09 2013-01-23 Otrsotech, Limited Liability Company Transparent test method and scan flip-flop
US7451369B1 (en) 2006-08-03 2008-11-11 Xilinx, Inc. Scalable columnar boundary scan architecture for integrated circuits
US7747423B1 (en) 2006-09-27 2010-06-29 Xilinx, Inc. Systems and methods of co-simulation utilizing multiple PLDs in a boundary scan chain
US7620864B2 (en) * 2006-10-26 2009-11-17 International Business Machines Corporation Method and apparatus for controlling access to and/or exit from a portion of scan chain
US7610534B1 (en) 2007-03-20 2009-10-27 Xilinx, Inc. Determining a length of the instruction register of an unidentified device on a scan chain
US7917820B1 (en) 2008-05-20 2011-03-29 Xilinx, Inc. Testing an embedded core
US8063654B2 (en) 2009-07-17 2011-11-22 Xilinx, Inc. Apparatus and method for testing of stacked die structure
US8933345B1 (en) 2010-05-13 2015-01-13 Xilinx, Inc. Method and apparatus for monitoring through-silicon vias
KR101709071B1 (ko) * 2010-05-19 2017-02-22 삼성전자주식회사 컴프레션 모드 스캔 테스트를 위한 집적 회로
US8438436B1 (en) * 2010-06-04 2013-05-07 Xilinx, Inc. Secure design-for-test scan chains
US8495758B2 (en) * 2010-06-18 2013-07-23 Alcatel Lucent Method and apparatus for providing scan chain security
US8311762B1 (en) 2010-09-17 2012-11-13 Xilinx, Inc. Manufacturing test for a programmable integrated circuit implementing a specific user design
US7958414B1 (en) 2010-09-22 2011-06-07 Xilinx, Inc. Enhancing security of internal memory
US8566658B2 (en) * 2011-03-25 2013-10-22 Lsi Corporation Low-power and area-efficient scan cell for integrated circuit testing
US8812921B2 (en) * 2011-10-25 2014-08-19 Lsi Corporation Dynamic clock domain bypass for scan chains
CN102495360B (zh) * 2011-12-16 2014-05-07 浙江大学 一种安全扫描寄存器、安全扫描链及其扫描方法
US9128148B2 (en) 2013-03-07 2015-09-08 Xilinx, Inc. Package integrity monitor with sacrificial bumps
US20150185285A1 (en) * 2013-12-30 2015-07-02 Sandisk Technologies Inc. System and method for reduced pin logic scanning
CN104950241B (zh) * 2014-03-31 2017-10-24 联发科技(新加坡)私人有限公司 集成电路及在集成电路中建立扫描测试架构的方法
US9933485B2 (en) * 2015-02-24 2018-04-03 Mentor Graphics Corporation Deterministic built-in self-test based on compressed test patterns stored on chip and their derivatives

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004226291A (ja) 2003-01-24 2004-08-12 Sharp Corp 半導体テスト回路及びそのテスト方法
JP2006329876A (ja) 2005-05-27 2006-12-07 Nec Electronics Corp 半導体集積回路及びそのテスト方法
US20130185607A1 (en) 2012-01-12 2013-07-18 Lsi Corporation Scan test circuitry configured for bypassing selected segments of a multi-segment scan chain
US20140101501A1 (en) 2012-10-05 2014-04-10 Lsi Corporation Scan test circuitry configured to prevent violation of multiplexer select signal constraints during scan testing
US20140372818A1 (en) 2013-06-17 2014-12-18 Mentor Graphics Corporation Test-Per-Clock Based On Dynamically-Partitioned Reconfigurable Scan Chains
US20150113346A1 (en) 2013-10-21 2015-04-23 International Business Machines Corporation Electronic circuit having serial latch scan chains
US20180321306A1 (en) 2017-05-08 2018-11-08 Xilinx, Inc. Dynamic scan chain reconfiguration in an integrated circuit

Also Published As

Publication number Publication date
WO2018208692A1 (en) 2018-11-15
EP3635419B1 (en) 2022-08-17
US10317464B2 (en) 2019-06-11
KR20200003166A (ko) 2020-01-08
US20180321306A1 (en) 2018-11-08
EP3635419A1 (en) 2020-04-15
JP2020518826A (ja) 2020-06-25
CN110622016B (zh) 2022-10-28
CN110622016A (zh) 2019-12-27
JP7179765B2 (ja) 2022-11-29

Similar Documents

Publication Publication Date Title
US6314539B1 (en) Boundary-scan register cell with bypass circuit
KR0156547B1 (ko) 집적 회로용 검사셀
US20080005634A1 (en) Scan chain circuitry that enables scan testing at functional clock speed
US8819508B2 (en) Scan test circuitry configured to prevent violation of multiplexer select signal constraints during scan testing
KR102577213B1 (ko) 집적 회로에서의 동적 스캔 체인 재구성
US20030056183A1 (en) Scan test circuit, and semiconductor integrated circuit including the circuit
US20060090110A1 (en) Connecting multiple test access port controllers on a single test access port
KR20000020103A (ko) 코어 셀 기반의 집적 회로의 테스트 용이도를 증가시키기 위한바운더리 스캔회로
US8700962B2 (en) Scan test circuitry configured to prevent capture of potentially non-deterministic values
US9568551B1 (en) Scan wrapper circuit for integrated circuit
US20130086441A1 (en) Dynamically self-reconfigurable daisy-chain of tap controllers
US9021323B1 (en) Test techniques and circuitry
US20030046625A1 (en) Method and apparatus for efficient control of multiple tap controllers
US20090063921A1 (en) Staggered LBIST Clock Sequence for Noise (di/dt) Amelioration
Papameletis et al. A dft architecture and tool flow for 3-d sics with test data compression, embedded cores, and multiple towers
KR100413763B1 (ko) 탭드 코아 선택회로를 구비하는 반도체 집적회로
US11675006B2 (en) Implementing a JTAG device chain in multi-die integrated circuit
US7614022B1 (en) Testing for bridge faults in the interconnect of programmable integrated circuits
US8751884B2 (en) Scan test circuitry with selectable transition launch mode
US11320485B1 (en) Scan wrapper architecture for system-on-chip
KR0165105B1 (ko) 개량된 검사 회로
US7644329B2 (en) Integrated circuit testing method and related circuit thereof
KR100571633B1 (ko) 내장된 코아 회로부를 테스트하기 위한 쉬프트 레지스터체인 회로부를 구비한 시스템-온 칩
JP2006047013A (ja) 半導体集積回路、スキャン回路設計方法、テストパターン生成方法、および、スキャンテスト方法
Gericota et al. Programmable logic devices: A test approach for the input/output blocks and pad-to-pin interconnections

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant