KR102542551B1 - 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드 - Google Patents

반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드 Download PDF

Info

Publication number
KR102542551B1
KR102542551B1 KR1020220067601A KR20220067601A KR102542551B1 KR 102542551 B1 KR102542551 B1 KR 102542551B1 KR 1020220067601 A KR1020220067601 A KR 1020220067601A KR 20220067601 A KR20220067601 A KR 20220067601A KR 102542551 B1 KR102542551 B1 KR 102542551B1
Authority
KR
South Korea
Prior art keywords
gas
central
showerhead
injector
annular zone
Prior art date
Application number
KR1020220067601A
Other languages
English (en)
Other versions
KR20220080059A (ko
Inventor
사라바나프리얀 스리라만
알렉산더 패터슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220080059A publication Critical patent/KR20220080059A/ko
Priority to KR1020230073100A priority Critical patent/KR102656327B1/ko
Application granted granted Critical
Publication of KR102542551B1 publication Critical patent/KR102542551B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

유도 결합된 플라즈마 프로세싱 장치는 진공 챔버, 진공 소스, 및 그 위에 반도체 기판이 지지되는 기판 지지부를 포함한다. 세라믹 샤워헤드는 진공 챔버의 상부 벽을 형성한다. 세라믹 샤워헤드는 진공 챔버의 내부로 프로세스 가스를 공급하기 위해 복수의 샤워헤드 가스 유출부들과 유체적으로 연통하는 가스 플레넘 (gas plenum), 및 중앙 가스 주입기를 수용하도록 구성된 중앙 개구부를 포함한다. 중앙 가스 주입기는 세라믹 샤워헤드의 중앙 개구부 내에 배치된다. 중앙 가스 주입기는 진공 챔버의 내부로 프로세스 가스를 공급하기 위한 복수의 가스 주입 유출부들을 포함한다. RF 에너지 소스는 반도체 기판을 프로세싱하기 위해 프로세스 가스를 플라즈마 상태로 에너자이징 (energize) 한다. 중앙 가스 주입기에 의해 공급된 프로세스 가스의 플로우 레이트 및 세라믹 샤워헤드에 의해 공급된 프로세스 가스의 플로우 레이트는 독립적으로 제어될 수 있다.

Description

반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드{CERAMIC SHOWERHEAD INCLUDING CENTRAL GAS INJECTOR FOR TUNABLE CONVECTIVE-DIFFUSIVE GAS FLOW IN SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS}
본 발명은 반도체 기판 프로세싱 장치들에 관련되고, 보다 구체적으로, 반도체 기판 프로세싱 장치의 진공 챔버로 튜닝가능한 프로세스 가스를 전달하기 위해 중앙 가스 주입기를 포함하는 세라믹 샤워헤드에 관련된다.
반도체 구조물들은, 이로 제한되는 것은 아니지만, 플라즈마 에칭, PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma-enhanced atomic layer deposition), ALE (atomic layer etch), PE-ALE (plasma-enhanced atomic layer etch), 이온 주입, 또는 레지스트 제거를 포함하는, 기법들에 의해 반도체 프로세싱 장치에서 반도체 기판들 내에 형성된다. 반도체 구조물들은 플라즈마 프로세싱 챔버 (즉 진공 챔버), 챔버로 프로세스 가스를 공급하는 가스 소스, 및 프로세스 가스로부터 플라즈마를 생성하는 에너지 소스를 포함하는 플라즈마 프로세싱 장치들에서 프로세싱될 수 있다. 상이한 프로세스 가스들이 이들 프로세싱 기법들뿐만 아니라, 반도체 구조물들의 상이한 재료들의 프로세싱을 위해 사용된다.
유도 결합된 플라즈마 프로세싱 장치가 본 명세서에 개시된다. 장치는 진공 챔버, 진공 챔버로부터 플라즈마 프로세싱의 부산물들 및 프로세스 가스를 배기하도록 구성된 진공 소스, 진공 챔버의 내부에서 반도체 기판이 지지되는 기판 지지부를 포함한다. 세라믹 샤워헤드는 진공 챔버의 상부 벽을 형성하고, 세라믹 샤워헤드는, 진공 챔버의 내부에 프로세스 가스를 공급하기 위해 세라믹 샤워헤드의 플라즈마 노출된 표면에서 복수의 샤워헤드 가스 유출부들과 유체 연통하는 가스 플레넘, 및 중앙 가스 주입기를 수용하도록 구성된 중앙 개구부를 포함한다. 중앙 가스 주입기는 세라믹 샤워헤드의 중앙 개구부 내에 배치되고, 중앙 가스 주입기는 진공 챔버의 내부에 프로세스 가스를 공급하기 위해 복수의 가스 주입기 유출부들을 포함한다. 세라믹 샤워헤드를 통해 그리고 진공 챔버 내로 RF 에너지를 유도 결합하는 RF 에너지 소스는 반도체 기판을 프로세스하기 위해 프로세스 가스를 플라즈마 상태로 에너자이징한다. 중앙 가스 주입기에 의해 공급된 프로세스 가스의 플로우 레이트 및 세라믹 샤워헤드에 의해 공급된 프로세스 가스의 플로우 레이트는 독립적으로 제어될 수 있다.
유도 결합된 플라즈마 프로세싱 장치의 세라믹 샤워헤드가 본 명세서에 또한 개시된다. 세라믹 샤워헤드는 플라즈마 프로세싱 장치의 진공 챔버의 상부 벽을 형성한다. 세라믹 샤워헤드는, 진공 챔버의 내부에 프로세스 가스를 공급하기 위해 세라믹 샤워헤드의 플라즈마 노출된 표면에서 복수의 샤워헤드 가스 유출부들과 유체 연통하는 가스 플레넘, 및 중앙 가스 주입기를 수용하도록 구성된 중앙 개구부를 포함한다. 세라믹 샤워헤드는, 중앙 가스 주입기를 통해 공급되도록 구성된 프로세스 가스의 플로우 레이트와 독립적으로 세라믹 샤워헤드를 통해 공급된 프로세스 가스의 플로우 레이트를 제어하도록 동작가능하다.
또한 유도 결합된 플라즈마 프로세싱 장치 내에서 반도체 기판을 플라즈마 프로세싱하는 방법이 본 명세서에 개시된다. 방법은 진공 챔버 내의 기판 지지부 상에 반도체 기판을 배치하는 단계를 포함하고, 중앙 가스 주입기를 포함하는 세라믹 샤워헤드의 플라즈마 노출된 표면은, 기판 지지부와 대면하는 진공 챔버의 벽을 형성한다. 세라믹 샤워헤드의 중앙 가스 주입기의 가스 주입기 유출부들 및/또는 샤워헤드 가스 유출부들로부터 진공 챔버 내로 프로세스 가스가 공급된다. 세라믹 샤워헤드 및 중앙 가스 주입기에 의해 공급된 프로세스 가스의 플로우 레이트는 서로 독립적으로 제어된다. 프로세스 가스는 세라믹 샤워헤드를 통해 진공 챔버로 RF 에너지 소스에 의해 생성된 RF 에너지를 유도 결합함으로써 플라즈마 상태로 에너자이징되고, 플라즈마 상이 되는 프로세스 가스는 반도체 기판의 노출된 표면과 반응되어 반도체 기판을 프로세싱한다.
도 1은 본 명세서에 개시된 바와 같은 실시예들에 따른 반도체 기판 플라즈마 프로세싱 장치의 실시예를 예시한다.
도 2는 본 명세서에 개시된 바와 같은 실시예들에 따른 반도체 기판 플라즈마 프로세싱 장치의 실시예를 예시한다.
도 3a는 본 명세서 개시된 바와 같은 실시예들에 따른 중앙 가스 주입기를 포함할 수 있는 세라믹 샤워헤드의 실시예를 예시하고, 도 3b는 세라믹 샤워헤드의 중앙 부분의 단면을 예시한다.
도 4는 본 명세서 개시된 바와 같은 실시예들에 따른 세라믹 샤워헤드 내에 배치될 수 있는 중앙 가스 주입기의 실시예를 예시한다.
도 5는 본 명세서 개시된 바와 같은 실시예들에 따른 반도체 기판 플라즈마 프로세싱 장치에 의해 수행될 수 있는 가스 플로우 패턴의 예를 예시한다.
도 6은 본 명세서 개시된 바와 같은 실시예들에 따른 반도체 기판 플라즈마 프로세싱 장치에 의해 수행될 수 있는 가스 플로우 패턴의 예를 예시한다.
도 7은 본 명세서 개시된 바와 같은 실시예들에 따른 반도체 기판 플라즈마 프로세싱 장치에 의해 수행될 수 있는 가스 플로우 패턴의 예를 예시한다.
반도체 기판 프로세싱 장치의 중앙 가스 주입기를 포함하는 세라믹 샤워헤드가 본 명세서에 개시되고, 중앙 가스 주입기를 포함하는 세라믹 샤워헤드는 반도체 기판 프로세싱 장치의 진공 챔버 내로 튜닝가능한 대류성-확산성 프로세스 가스 플로우를 제공하도록 동작가능하다. 반도체 기판 프로세싱 장치는, 이로 제한되는 것은 아니지만, 플라즈마 에칭, PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma-enhanced atomic layer deposition), ALE (atomic layer etch), PE-ALE (plasma-enhanced atomic layer etch), 이온 주입, 또는 레지스트 제거를 포함하는, 기법들에 의해 반도체 기판들을 프로세싱하기 위해 사용될 수 있다. 바람직하게, 반도체 기판 프로세싱 장치는 유도 결합된 플라즈마 프로세싱 장치이다. 이하의 기술에서, 다수의 구체적인 상세들이 본 실시예들의 전체적인 이해를 제공하기 위해 언급된다. 그러나, 본 실시예들은 이들 구체적인 상세들의 일부 또는 전부가 없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 공지의 프로세스 동작들은 본 명세서에 개시된 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다.
본 명세서에서 사용된 바와 같이, 튜닝가능한 대류성-확산성 프로세스 가스 플로우는 진공 챔버의 기판 지지부 상에 지지된 반도체 기판의 상부 표면에 대류성 가스 플로우, 또는 확산성 가스 플로우, 또는 대류성 가스 플로우 및 확산성 가스 플로우를 동시에 제공하기 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드의 능력을 말한다. 일 실시예에서, 세라믹 샤워헤드는 멀티존 샤워헤드일 수 있고, 샤워헤드의 각각의 존 각각을 통해 전달된 프로세스 가스의 플로우 레이트가 제어될 수 있다. 일 실시예에서, 중앙 가스 주입기는 멀티존 가스 주입기일 수 있고, 가스 주입기의 각각의 존 각각을 통해 전달된 프로세스 가스의 플로우 레이트가 제어될 수 있다. 부가적으로, 본 명세서에서 사용된 바와 같이, 용어 "약"은 수적인 값들을 참조하여 사용될 때 ± 10 %를 참조한다.
멀티존 샤워헤드의 예시적인 실시예는, 공동으로 양도된 미국 출원번호 제 2013/0126486 호에서 찾을 수 있고, 이는 본 명세서에 전체가 참조로서 인용된다. 멀티존 가스 주입기의 예시적인 실시예는 공동으로 양도된 미국 출원번호 제 2010/0041238 호에서 찾을 수 있고, 이는 본 명세서에 전체가 참조로서 인용된다.
반도체 기판 프로세싱에서, 진공 챔버로 프로세스 가스들을 주입하는 방법 및 진공 챔버의 압력은, 반도체 기판의 상부 표면의 영역들 상에서의 반도체 기판 에칭 부산물들의 재증착으로 인해, 반도체 기판의 표면 위에서의 화학적으로 반응성 종의 분포 및 따라서 반도체 기판의 전체 프로세싱의 균일성에 영향을 줄 수 있다. 프로세싱 동안 진공 챔버 내의 압력이 가변적으로 제어되는 동안, 기판 부산물들의 재증착을 제어하기 위해, 중앙 가스 주입기를 포함하는 세라믹 샤워헤드에 의해 진공 챔버의 내부로 공급된 프로세스 가스의 플로우는 바람직하게 확산성 가스 플로우와 대류성 가스 플로우 사이에서 스위칭되거나 대류성이고 확산성 가스 플로우이다. 프로세스 가스의 플로우 레이트 및/또는 진공 챔버 내의 압력의 변화들은 상부 표면 상의 부산물의 재증착에 영향을 줄 수 있다. 예를 들어, 가스 주입기가 약 10 mT의 대류성 가스 플로우를 공급할 때, 부산물 재증착 프로파일은 상대적으로 균일하고 반도체 기판의 중심으로부터 에지로 기울고 (lean), 약 100 mT의 압력에서 동일한 플로우에 대해, 부산물 재증착의 방사상 불균일성이 상승된다. 부산물의 재증착을 감소시키기 위해, 바람직하게, 프로세싱되는 반도체 기판의 상부 표면 위에 와전류 (eddy current) 가 형성되지 않도록, 장치에 의해 공급된 프로세스 가스의 압력 및 플로우 레이트가 제어 (즉, 튜닝) 된다. 이러한 방식으로, 진공 챔버 내에서 부산물들의 상주 시간이 감소된다.
일 실시예에서, 듀얼-모드 세라믹 샤워헤드는 반도체 기판 프로세싱 장치 내로 프로세스 가스를 주입하기 위한 중앙 가스 주입기를 포함하고, 진공 챔버 내의 압력을 가변시키고 진공 챔버의 내부에 공급된 가스 플로우들을 가변하기 위해 에칭된 재료의 방사상 부산물 재증착이 제어될 수 있도록, 듀얼-모드 세라믹 샤워헤드는 반도체 기판 프로세싱 장치의 진공 챔버 내로 튜닝가능한 대류성-확산성 프로세스 가스를 제공하도록 동작가능하다. 바람직하게, 반도체 기판 프로세싱 장치는 유도 결합된 플라즈마 프로세싱 장치이다. 유도 결합된 플라즈마 프로세싱 장치는 진공 챔버, 및 그 위에 반도체 기판이 지지될 수 있는 기판 지지부를 포함하고, 그리고 플라즈마가 진공 챔버 내에서 프로세싱된다. 세라믹 샤워헤드는 진공 챔버의 상부 벽을 형성하고, 세라믹 샤워헤드는, 세라믹 샤워헤드에 의해 진공 챔버의 내부로 프로세스 가스가 공급될 수 있도록, 세라믹 샤워헤드의 플라즈마 노출된 표면에서 복수의 샤워헤드 가스 유출부들과 유체 연통하는 가스 플레넘을 포함한다. 바람직하게, 복수의 샤워헤드 가스 유출부들은 진공 챔버의 내부로 확산성 프로세스 가스 플로우를 공급하도록 구성된다.
세라믹 샤워헤드는 바람직하게 중앙 가스 주입기를 수용하도록 구성된 중앙 개구부를 포함한다. 중앙 가스 주입기는 세라믹 샤워헤드의 중앙 개구부 내에서 진공 시일될 수 있다. 중앙 가스 주입기는 진공 챔버의 내부로 프로세스 가스를 공급하기 위한 복수의 가스 주입기 유출부들을 포함한다. 복수의 가스 주입기 유출부들은, 반도체 기판 프로세싱 동안 확산성 프로세스 가스 플로우, 또는 대류성 프로세스 가스 플로우, 또는 확산성 및 대류성 프로세스 가스 플로우를 진공 챔버의 내부로 공급하도록 구성된다. 유도 결합된 플라즈마 프로세싱 장치는, 반도체 기판을 프로세스하기 위해 프로세스 가스를 플라즈마 상태로 에너자이징하기 위해 세라믹 샤워헤드를 통해 진공 챔버로 RF 에너지를 유도 결합하는 RF 에너지 소스를 포함한다. 중앙 가스 주입기에 의해 공급된 프로세스 가스의 플로우 레이트 및 세라믹 샤워헤드에 의해 공급된 프로세스 가스의 플로우 레이트는 바람직하게 독립적으로 제어될 수 있다.
도 1 및 도 2는 본 명세서에 개시된 바와 같이 중앙 가스 주입기 (20) 를 포함하는 세라믹 샤워헤드 (30) 를 포함할 수 있는 반도체 기판 프로세싱 장치의 실시예를 예시한다. 도 1 및 도 2에 도시된 바와 같이, 유도 결합된 플라즈마 프로세싱 장치 (10) 는 진공 챔버 (40) (즉, 플라즈마 에칭 챔버) 를 포함할 수 있다. 진공 챔버 (40) 는 진공 챔버 (40) 의 내부에 반도체 기판 (5) 을 지지하기 위한 기판 지지부 (하부 전극 어셈블리) (15) 를 포함한다. 중앙 가스 주입기 (20) 를 포함하는 세라믹 샤워헤드 (30) 는 진공 챔버 (40) 의 상부 벽을 형성한다. 바람직하게, 세라믹 샤워헤드 (30) 는 샤워헤드의 플라즈마 노출된 표면 (37) 을 둘러싸는 하부 진공 시일링 표면 (27) 을 포함하고, 진공 챔버 (40) 의 진공 시일링 표면 (41) 과 함께 진공 시일을 형성한다.
프로세스 가스들은 가스 주입기 (20) 만을 통해, 또는 세라믹 샤워헤드 (30) 만을 통해, 또는 가스 주입기 (20) 및 세라믹 샤워헤드 (30) 양자를 통해, 진공 챔버 (40) 의 내부로 주입될 수 있다. 진공 챔버 (40) 의 내부로 중앙 가스 주입기 (20) 에 공급된 프로세스 가스의 플로우 레이트 및 세라믹 샤워헤드 (30) 에 의해 공급된 프로세스 가스의 플로우 레이트는 바람직하게 독립적으로 제어된다. 또한, 중앙 가스 주입기 (20) 를 포함하는 세라믹 샤워헤드 (30) 에 의해 진공 챔버의 내부로 공급된 프로세스 가스의 플로우는 바람직하게 확산성 가스 플로우와 대류성 가스 플로우 사이에서 변경될 수 있거나, 대류성 가스 플로우 및 확산성 가스 플로우가 동시에 공급될 수 있다. 가스 전달 시스템 (234) 은 바람직하게 가스 주입기 (20) 및/또는 세라믹 샤워헤드 (30) 를 통해 진공 챔버 (40) 의 내부에 공급된 프로세스 가스의 파라미터들을 제어하도록 구성된다. 가스 전달 시스템 (234) 에 의해 진공 챔버의 내부로 공급된 프로세스 가스들의 파라미터들 (예를 들어, 온도, 플로우 레이트, 및 화학적 구성) 은 바람직하게 제어 시스템 (385) 에 의해 제어된다. 빠른 가스 스위칭 능력들을 갖는 가스 전달 시스템의 예시적인 실시예는 공동으로 양도된 미국 특허 제 8,673,785 호에 찾을 수 있고, 전체가 참조로서 본 명세서에 인용된다. 바람직하게, 프로세싱 동안 진공 챔버 (40) 내의 압력은 진공 소스 (90) 에 의해 가변적으로 제어될 수 있고, 제어 시스템 (385) 은 진공 소스 (90) 를 바람직하게 제어한다.
일단 프로세스 가스들이 진공 챔버 (40) 의 내부로 도입되면, 프로세스 가스들은, 진공 챔버 (40) 의 내부로 에너지를 공급하는 안테나 (18) 와 같은 RF 소스에 의해 플라즈마 상태로 에너자이징된다. 바람직하게, 안테나 (18) 는 RF 에너지를 진공 챔버 (40) 에 유도 결합하기 위해 RF 전력 소스 (240) 및 RF 임피던스 매칭 회로 (238) 에 의해 전력이 공급되는, 외부 평판형 안테나이다. 그러나, 대안적인 실시예에서, 안테나 (18) 는 평판형이 아닌 외부 또는 임베딩된 안테나일 수도 있다. 평판형 안테나로의 RF 전력의 인가에 의해 생성된 전자기장은, 기판 (5) 위에 고밀도 플라즈마 (예를 들어, 109 내지 1012 ions/㎤) 를 형성하기 위해, 진공 챔버 (40) 의 내부에서 프로세스 가스를 에너자이징한다. 에칭 프로세스 동안, 안테나 (18) (즉 RF 코일) 는 변압기의 1차 코일과 유사한 기능을 수행하는 반면, 진공 챔버 (40) 내에서 생성된 플라즈마는 변압기의 2차 코일과 유사한 기능을 수행한다.
세라믹 샤워헤드 (30) 는 진공 챔버 (40) 의 내부로 프로세스 가스를 공급하기 위해 세라믹 샤워헤드의 플라즈마 노출된 표면 (37) 에 복수의 샤워헤드 가스 유출부들 (32) 을 포함한다. 바람직하게 중앙 가스 주입기 (20) 는 세라믹 샤워헤드 (30) 의 중앙 개구부 (35) 내에 제거가능하게 장착되고, 중앙 가스 주입기 (20) 의 진공 시일링 표면 (38) 은 세라믹 샤워헤드 (30) 의 중앙 진공 시일링 표면 (39) 과 함께 진공 시일을 형성한다. 일 실시예에서, 중앙 가스 주입기 (20) 는 세라믹 샤워헤드 (30) 의 계단형 보어의 진공 시일을 형성하는 플랜지를 포함할 수 있다. 중앙 가스 주입기 (20) 는 가스 주입기 유출부를 통해 진공 챔버 (40) 의 내부로 프로세스 가스를 공급하기 위한 하나 이상의 가스 주입기 유출부들 (21) 을 포함할 수 있다. 가스 주입기 (20) 는, 중앙 가스 주입기 (20) 의 원위 단부가 세라믹 샤워헤드 (30) 의 플라즈마 노출된 표면 (37) 아래에 배치되도록 세라믹 샤워헤드 (30) 내에 바람직하게 배열된다.
바람직하게, 도 1에 도시된 바와 같이, 복수의 샤워헤드 가스 유출부들 (32) 은 가스 플레넘 (31) 과 유체 연통한다. 가스 플레넘 (31) 은 바람직하게 중앙 가스 주입기 (20) 내에 배치된 적어도 하나의 샤워헤드 가스 공급 채널 (22) 에 의해 프로세스 가스가 공급된다. 바람직한 실시예에서, 가스 플레넘 (31) 은 바람직하게 적어도 약 10 인치의 직경 및 약 0.06 인치의 높이를 갖는다. 바람직하게 적어도 하나의 가스 공급 채널 (22) 은, 가스 공급 채널의 수직 통로로부터 외부로 연장하는, 하나 이상의 방사상으로 연장하는 가스 통로들을 포함하고, 하나 이상의 방사상으로 연장하는 가스 통로들은 세라믹 샤워헤드 (30) 의 하나 이상의 방사상으로 연장하는 가스 통로들 (28) 각각과 정렬하도록 구성되고, 하나 이상의 방사상으로 연장하는 가스 통로들 (28) 은, 프로세스 가스가 중앙 가스 주입기 (20) 에 의해 세라믹 샤워헤드 (30) 의 가스 플레넘 (31) 에 공급되고 따라서 진공 챔버 (40) 의 내부로 전달될 수 있도록, 중앙 개구부 (35) 를 규정하는 벽의 외부로 연장하고, 복수의 샤워헤드 가스 유출부들 (32) 및 가스 플레넘 (31) 과 유체 연통한다.
대안적으로, 도 2에 도시된 바와 같이, 세라믹 샤워헤드 (30) 는 샤워헤드 (30) 의 외주부로부터 내부로 연장하는 복수의 방사상으로 연장하는 가스 통로들 (33) 을 포함할 수 있고, 방사상으로 연장하는 가스 통로들은 가스 플레넘 (31) 및 복수의 샤워헤드 가스 유출부들 (32) 과 유체 연통한다. 샤워헤드 (30) 의 외주부로부터 내부로 연장하는 방사상으로 연장하는 가스 통로들을 포함하는 세라믹 샤워헤드의 예시적인 실시예는 공동으로 양도된 미국 특허 제 8,562,785 호에서 찾을 수 있고, 이는 전체가 참조로서 본 명세서에 인용된다.
도 3a는 본 명세서에 개시된 바와 같은 실시예들에 따른 중앙 가스 주입기 를 포함할 수 있는 세라믹 샤워헤드 (30) 의 실시예를 예시한다. 세라믹 샤워헤드 (30) 는 알루미나, 실리콘 나이트라이드, 실리콘 옥사이드, 단결정 실리콘, 석영, 또는 실리콘 카바이드 중 하나 이상의 조각들로 이루어질 수 있다. 도 3에 도시된 바와 같이, 세라믹 샤워헤드는 중앙 가스 주입기를 수용하도록 구성된 중앙 개구부 (35) 를 포함한다. 바람직한 실시예에서, 세라믹 샤워헤드 (30) 는 약 0.4 인치의 두께, 및 적어도 약 20 인치의 직경을 갖는다. 바람직한 실시예에서, 세라믹 샤워헤드 (30) 는 존을 통해 프로세스 가스를 공급하기 위한 2 이상의 존들을 갖는 멀티존 세라믹 샤워헤드이고, 세라믹 샤워헤드 (30) 의 존 각각에 의해 공급된 프로세스 가스의 플로우 레이트는 독립적으로 제어될 수 있다. 예를 들어, 제 1 환형 존 (33) 은 제 1 플로우 레이트로 프로세스 가스를 공급할 수 있고, 제 2 환형 존 (34) 은 제 2 플로우 레이트로 프로세스 가스를 공급할 수 있다. 대안적인 실시예에서, 존들은 방사상 (예를 들어, 파이 형상) 구성으로 배열될 수 있다. 바람직하게, 샤워헤드 가스 유출부들 (32) (즉, 제 1 환형 존 (33) 내의 샤워헤드 가스 유출부들 (32a) 및 제 2 환형 존 (34) 내의 샤워헤드 가스 유출부들 (32b)) 은 약 0.04 인치의 직경을 갖는다. 바람직하게, 존 각각은 존의 샤워헤드 가스 유출부들과 유체 연통하는 가스 플레넘을 포함한다. 바람직한 실시예에서, 세라믹 샤워헤드 (30) 는 온도 프로브와 같은 측정 디바이스를 수용하도록 구성된 적어도 하나의 블라인드 보어 (36) 를 포함할 수 있다.
도 3b는 중앙 개구부 (35) 를 갖는 세라믹 샤워헤드 (30) 의 중앙 부분의 단면도를 예시한다. 바람직하게, 세라믹 샤워헤드 (30) 의 중앙 개구부 (35) 는 약 1 내지 1.5 인치의 직경을 갖는다. 세라믹 샤워헤드 (30) 의 중앙 개구부 (35) 를 규정하는 수직 벽 (35a) 은 바람직하게 수직 벽 내에 배치된 원주형 홈부 (48) 를 포함하고, 원주형 홈부 (48) 는 약 0.15 인치의 높이 및 약 0.15 인치의 깊이를 가질 수 있다. 원주형 홈부 (48) 는 바람직하게 개구부 (35) 에 수용된 중앙 가스 주입기의 플랜지와 함께 진공 시일을 형성하도록 구성된다. 예를 들어, 원주형 홈부 (48) 는 O-링을 수용하도록 구성될 수 있다.
도 4는 본 명세서에 개시된 실시예들에 따라 세라믹 샤워헤드 내에 배치될 수 있는 중앙 가스 주입기 (20) 의 실시예를 예시한다. 중앙 가스 주입기 (20) 는 바람직하게 석영과 같은 유전체 재료로 형성된 주입기 바디 및 하나 이상의 가스 주입기 유출부들 (21) 을 포함한다. 바람직하게, 중앙 가스 주입기 (20) 는 존을 통해 프로세스 가스를 공급하기 위해 2 이상의 존들을 갖는 멀티존 중앙 가스 주입기이고, 중앙 가스 주입기 (20) 의 존 각각에 의해 공급된 프로세스 가스의 플로우 레이트는 독립적으로 제어될 수 있다. 예를 들어, 존들은, 바람직하게 반도체 기판의 노출된 표면에 수직인 축 방향으로 연장하는 하나 이상의 중앙 가스 유출부들 (21a) 및 바람직하게 진공 챔버 (40) 의 내부로 프로세스 가스를 공급하기 위해 축 방향의 약 90 ° 각도로 연장하는 복수의 방사상 가스 유출부들 (21b) 에 의해 형성될 수 있다. 바람직하게, 하나 이상의 중앙 가스 유출부들 (21a) 은 제 1 가스 라인에 의해 공급된 프로세스 가스를 수용하도록 구성되고, 방사상 가스 유출부들 (21b) 은 제 2 가스 라인으로부터의 프로세스 가스를 수용하도록 구성된다. 대안적으로, 단일 가스 라인이 중앙 가스 유출부들 (21a) 및 방사상 가스 유출부들 (21b) 양자에 가스를 공급할 수 있다. 중앙 가스 주입기 (20) 는 바람직하게 서브소닉 속도, 소닉 속도, 및/또는 슈퍼소닉 속도로 진공 챔버 (40) 내로 프로세스 가스를 주입할 수 있다.
대안적인 실시예에서, 중앙 가스 주입기는 반도체 기판의 노출된 표면에 수직인 축 방향으로 연장하는 하나 이상의 중앙 가스 유출부들 및 진공 챔버의 내부로 프로세스 가스를 공급하기 위해 축 방향에 대해 예각으로 연장하는 복수의 기울어진 가스 유출부들을 포함할 수 있다. 바람직하게, 하나 이상의 중앙 가스 유출부들은 에 의해 공급된 프로세스 가스를 수용하도록 구성되고, 기울어진 가스 유출부들은 제 2 가스 라인으로부터의 프로세스 가스를 수용하도록 구성된다. 대안적인 실시예에서, 중앙 가스 주입기 (20) 는 적어도 제 1 가스 유입부 및 제 2 가스 유입부, 적어도 제 1 가스 통로 및 제 2 가스 통로, 그리고 적어도 제 1 가스 유출부 및 제 2 가스 유출부를 포함하는 주입기 바디를 포함하고, 제 1 가스 통로는 제 1 가스 유입부 및 제 1 가스 유출부와 유체 연통하고, 제 2 가스 통로는 제 2 가스 유입부 및 제 2 가스 유출부와 유체 연통하고, 제 1 가스 통로 및 제 2 가스 통로는 제 1 가스 유출부 및 제 2 가스 유출부를 통해 독립적으로 조정가능한 플로우 레이트들의 가스를 제공하도록 서로 이격된다. 하나 이상의 중앙 가스 유출부들 및 복수의 기울어진 가스 유출부들을 포함하는 가스 주입기의 예시적인 실시예는 공동으로 양도된 미국 특허 제 8,025,731 호에서 찾을 수 있고, 이는 전체가 본 명세서에 참조로서 인용된다.
바람직한 실시예에서, 중앙 가스 주입기 (20) 의 가스 주입기 유출부들 (21) 은 중앙 가스 주입기 (20) 의 복수의 가스 주입기 유출부들 (21) 내에서의 플라즈마 점화를 최소화하는, 복수의 가스 주입기 유출부들의 표면들 상의 전기적으로 도전성의 차폐부를 포함할 수 있다. 중앙 가스 주입기 (20) 는 바람직하게 플랜지 (23) 를 포함하고, 가스 주입기 (20) 는 중앙 가스 주입기 (20) 와 세라믹 샤워헤드 (30) 사이에 O-링을 갖고 세라믹 샤워헤드 (30) 의 중앙 개구부 (35) 에 슬라이드가능하게 피팅되도록 구성된다. 대안적인 실시예에서, 중앙 가스 주입기 (20) 는 트위스트 및 록 배열 (twist and lock arrangement) 로 세라믹 샤워헤드 (30) 의 중앙 개구부 (35) 내에 피팅되도록 구성된다. 장치를 장착하는 트위스트 및 록 가스 주입기의 예시적인 실시예는 공동으로 양도된 미국 특허 출원번호 제 2013/0098554 호에서 찾을 수 있고, 이는 전체가 참조로서 본 명세서에 인용된다.
바람직하게, 반도체 기판은 진공 챔버 (40) 의 기판 지지부 (15) 상에 반도체 기판 (5) 을 배치함으로써 유도 결합된 플라즈마 프로세싱 장치 (10) (도 1 및 도 2 참조) 내에서 프로세싱될 수 있고, 진공 챔버 (40) 의 벽을 형성하는 세라믹 샤워헤드 (30) 의 플라즈마 노출된 표면 (37) 은 기판 지지부 (15) 와 대면한다. 프로세스 가스는 중앙 가스 주입기 (20) 의 가스 주입기 유출부들 (21) 및/또는 세라믹 샤워헤드 (30) 샤워헤드 가스 유출부들 (32) 의 샤워헤드 가스 유출부들 (32) 로부터 진공 챔버 (40) 로 공급되고, 세라믹 샤워헤드 및 중앙 가스 주입기에 의해 공급된 프로세스 가스의 플로우 레이트들은 서로 독립적으로 제어된다. 프로세스 가스는 세라믹 샤워헤드 (30) 를 통해 진공 챔버 (40) 로 RF 에너지 소스 (18) 에 의해 생성된 RF 에너지를 유도 결합함으로써 플라즈마 상태로 에너자이징되고, 플라즈마 상이 되는 프로세스 가스는 반도체 기판 (5) 의 노출된 표면과 반응되어 반도체 기판 (5) 을 프로세싱한다. 바람직하게, 세라믹 샤워헤드 (30) 는 2 이상의 존들을 포함하는 멀티존 세라믹 샤워헤드이고, 각각의 존 각각을 통해 공급된 프로세스 가스의 플로우 레이트는 독립적으로 제어된다. 바람직하게, 중앙 가스 주입기 (20) 는 2 이상의 존들을 포함하는 멀티존 중앙 가스 주입기이고, 각각의 존 각각을 통해 공급된 프로세스 가스의 플로우 레이트는 독립적으로 제어된다.
반도체 기판의 에칭 동안 반도체 기판 에칭 부산물들의 재증착을 제어하기 위해, 프로세싱 동안 진공 챔버 (40) 의 압력이 가변적으로 제어되는 동안, 중앙 가스 주입기 (20) 를 포함하는 세라믹 샤워헤드 (30) 에 의해 진공 챔버 (40) 의 내부로 공급된 프로세스 가스의 플로우는 바람직하게 확산성 가스 플로우와 대류성 가스 플로우 사이에서 스위칭되거나, 대류성 및 확산성 가스 플로우가 진공 챔버 (40) 의 내부로 공급된다. 또한, 반도체 기판 (5) 상의 층의 중심-대-에지 에칭의 균일성을 달성하기 위해, 반도체 기판 상의 층을 에칭하는 동안, 가스 주입기 유출부들 (21) 및 샤워헤드 가스 유출부들 (32) 중 적어도 일부를 통한 가스 플로우의 비는 독립적으로 제어된다. 반도체 기판 (5) 의 상부 표면 위에서 가스 종의 상주 시간이 감소되도록, 가스 주입기 유출부들 (21) 과 샤워헤드 가스 유출부들 (32) 중 적어도 일부를 통한 가스 플로우의 비는 독립적으로 가변된다.
예를 들어, 도 5, 도 6 및 도 7은 본 명세서에 개시된 바와 같은 실시예들에 따른 반도체 기판 플라즈마 프로세싱 장치에 의해 수행될 수 있는, 가스 플로우 패턴들의 예들을 예시한다. 중앙 가스 주입기 (20) 만의 고 플로우를 도시하는 도 5 및 도 6에 예시된 바와 같이, 와전류 (150) 는 반도체 기판의 상부 표면 위에 형성되고, 에칭 부산물은기판의 상부 표면 위에서 재순환된다. 반도체 기판의 상부 표면 위에서 에칭 부산물을 재순환시킴으로써, 반도체 기판의 상부 표면 상의 에칭 부산물의 재증착이 증가된다. 그러나, 도 7에 예시된 바와 같이, 중앙 가스 주입기 (20) 및 세라믹 샤워헤드 (30) 를 사용하여 프로세스 가스 플로우를 제공함으로써, 가스 플로우가 독립적으로 제어되고, 와전류가 제어될 수 있도록 재순환되지 않은 가스 플로우 (155) 가 형성된다. 이러한 방식으로, 에칭 부산물은 반도체 기판의 상부 표면 위에서 재순환되지 않고, 따라서 에칭 부산물의 재증착을 감소시키고, 반도체 기판의 상부 표면 위의 가스 종의 상주 시간이 감소된다. 따라서, 튜닝가능한 대류성-확산성 가스 플로우를 사용하여, 반도체 기판의 상부 표면 위의 가스 플로우의 재순환을 제어함으로써, 반도체 기판의 상부 표면 상에서의 에칭 부산물들의 재증착이 유사하게 제어될 수도 있다.
제어 시스템 (385) (도 1 및 도 2 참조) 과 같은 제어 시스템은 바람직하게 플라즈마 프로세싱 장치, 가스 전달 시스템, 및/또는 진공 소스에 의해 수행된 프로세스들을 제어한다. 비일시적인 컴퓨터 머신 판독가능 매체는 플라즈마 프로세싱 장치 및가스 전달 시스템의 제어를 위한 프로그램 인스트럭션들을 포함할 수 있다. 프로세싱 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어: 예를 들어, 어셈블리어, C, C++, Pascal, Fortran, 등으로 작성될 수 있다. 컴파일된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하기 위해 프로세서에 의해 실행된다.
본 명세서에 개시된 실시예들이 구체적인 실시예들을 참조하여 상세하기 기술되었지만, 첨부된 청구항들의 범위로부터 벗어나지 않고, 다양한 변화들 및 수정들이 이루어질 수 있고, 등가물들이 채용될 수 있다는 것이 당업자에게 자명할 것이다.

Claims (20)

  1. 세라믹 샤워헤드로서,
    세라믹 샤워헤드 내에 규정된 적어도 하나의 가스 플레넘;
    상기 적어도 하나의 가스 플레넘 내에 규정된 제 1 환형 존;
    상기 제 1 환형 존의 방사상 외측으로 상기 적어도 하나의 가스 플레넘 내에 규정된 제 2 환형 존;
    상기 세라믹 샤워헤드의 플라즈마 노출된 표면에 배치된 복수의 샤워헤드 가스 유출부들로서, 상기 복수의 샤워헤드 가스 유출부들은 진공 챔버의 내부에 프로세스 가스를 공급하도록 구성되고, 상기 복수의 가스 유출부들의 제 1 샤워헤드 가스 유출부들은 상기 제 1 환형 존 내에 그리고 상기 제 1 환형 존과 유체 연통하고, 그리고 상기 복수의 가스 유출부들의 제 2 샤워헤드 가스 유출부들은 상기 제 2 환형 존 내에 그리고 상기 제 2 환형 존과 유체 연통하도록 배치되는, 상기 복수의 샤워헤드 가스 유출부들;
    상기 세라믹 샤워헤드의 상기 플라즈마 노출된 표면을 둘러싸는 제 1 진공 시일링 표면으로서, 상기 제 1 진공 시일링 표면은 상기 진공 챔버의 진공 시일링 표면과 함께 진공 시일을 형성하도록 구성되는, 상기 제 1 진공 시일링 표면;
    상기 세라믹 샤워헤드의 외주부로부터 방사상 내측으로 연장하는 하나 이상의 가스 통로들로서, 상기 하나 이상의 가스 통로들은 상기 제 1 환형 존 및 상기 제 2 환형 존 내의 상기 복수의 샤워헤드 가스 유출부들과 유체 연통하는, 상기 하나 이상의 가스 통로들; 및
    상기 제 1 환형 존의 방사상 내측에 위치된 중앙 개구부로서, 상기 중앙 개구부는 중앙 가스 주입기를 수용하도록 구성되는, 상기 중앙 개구부를 포함하는, 세라믹 샤워헤드.
  2. 제 1 항에 있어서,
    상기 세라믹 샤워헤드는 알루미나로 구성되는, 세라믹 샤워헤드.
  3. 제 1 항에 있어서,
    상기 제 1 환형 존은 제 1 플로우 레이트로 프로세스 가스를 공급하도록 구성되고 그리고 상기 제 2 환형 존은 제 2 플로우 레이트로 프로세스 가스를 공급하도록 구성되는, 세라믹 샤워헤드.
  4. 제 1 항에 있어서,
    상기 적어도 하나의 가스 플레넘은 상기 제 1 환형 존에 대응하는 제 1 가스 플레넘 및 상기 제 2 환형 존에 대응하는 제 2 가스 플레넘을 포함하는, 세라믹 샤워헤드.
  5. 제 1 항에 있어서,
    상기 세라믹 샤워헤드는 상기 진공 챔버의 상부 벽을 형성하도록 구성되는, 세라믹 샤워헤드.
  6. 삭제
  7. 삭제
  8. 제 1 항에 있어서,
    상기 중앙 개구부는 상기 중앙 가스 주입기의 진공 시일링 표면과 함께 진공 시일을 형성하도록 구성된 중앙 진공 시일링 표면을 포함하는, 세라믹 샤워헤드.
  9. 제 1 항에 있어서,
    상기 중앙 개구부는 진공 시일을 형성하도록 상기 중앙 가스 주입기의 플랜지를 수용하도록 구성된 계단형 보어를 포함하는, 세라믹 샤워헤드.
  10. 제 1 항에 있어서,
    상기 중앙 개구부는 진공 시일을 형성하도록 상기 중앙 가스 주입기의 플랜지를 수용하도록 구성된 원주형 홈부를 포함하는, 세라믹 샤워헤드.
  11. 삭제
  12. 제 1 항에 있어서,
    상기 하나 이상의 가스 통로들은 상기 중앙 가스 주입기가 상기 중앙 개구부 내에 배치될 때 상기 중앙 가스 주입기 내에 규정된 가스 통로로부터 방사상 외측으로 연장하는 가스 통로들과 정렬하도록 구성되는, 세라믹 샤워헤드.
  13. 제 1 항에 있어서,
    상기 중앙 가스 주입기를 더 포함하고,
    상기 중앙 개구부는 계단형 보어 및 원주형 홈부 중 하나를 포함하고,
    상기 중앙 가스 주입기는 상기 중앙 개구부 내에 배치된 복수의 가스 주입기 유출부들을 포함하고, 상기 중앙 가스 주입기는 상기 중앙 개구부의 진공 시일링 표면과 함께 진공 시일을 형성하는, 세라믹 샤워헤드.
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020220067601A 2014-06-27 2022-06-02 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드 KR102542551B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230073100A KR102656327B1 (ko) 2014-06-27 2023-06-07 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/317,084 2014-06-27
US14/317,084 US10249511B2 (en) 2014-06-27 2014-06-27 Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
KR1020150089046A KR102406469B1 (ko) 2014-06-27 2015-06-23 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150089046A Division KR102406469B1 (ko) 2014-06-27 2015-06-23 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230073100A Division KR102656327B1 (ko) 2014-06-27 2023-06-07 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드

Publications (2)

Publication Number Publication Date
KR20220080059A KR20220080059A (ko) 2022-06-14
KR102542551B1 true KR102542551B1 (ko) 2023-06-13

Family

ID=54931315

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020150089046A KR102406469B1 (ko) 2014-06-27 2015-06-23 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드
KR1020220067601A KR102542551B1 (ko) 2014-06-27 2022-06-02 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드
KR1020230073100A KR102656327B1 (ko) 2014-06-27 2023-06-07 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150089046A KR102406469B1 (ko) 2014-06-27 2015-06-23 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230073100A KR102656327B1 (ko) 2014-06-27 2023-06-07 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드

Country Status (6)

Country Link
US (1) US10249511B2 (ko)
JP (1) JP6646953B2 (ko)
KR (3) KR102406469B1 (ko)
CN (1) CN105225912B (ko)
SG (1) SG10201504792WA (ko)
TW (1) TWI671841B (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9659751B2 (en) * 2014-07-25 2017-05-23 Applied Materials, Inc. System and method for selective coil excitation in inductively coupled plasma processing reactors
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US9997336B2 (en) 2016-04-26 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone gas distribution plate (GDP) and a method for designing the multi-zone GDP
US10900122B2 (en) * 2016-05-27 2021-01-26 Asm Ip Holding B.V. Apparatus for semiconductor wafer processing
WO2017210518A1 (en) * 2016-06-03 2017-12-07 Entegris, Inc. Vapor phase etching of hafnia and zirconia
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
CN107195526A (zh) * 2017-06-08 2017-09-22 上海华力微电子有限公司 一种减少机台的部件之间摩擦的方法
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11551909B2 (en) * 2017-10-02 2023-01-10 Tokyo Electron Limited Ultra-localized and plasma uniformity control in a plasma processing system
US10840066B2 (en) * 2018-06-13 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Adjustable fastening device for plasma gas injectors
US11600517B2 (en) * 2018-08-17 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Screwless semiconductor processing chambers
CN110838458B (zh) * 2018-08-17 2022-08-09 台湾积体电路制造股份有限公司 半导体制程系统以及方法
JP2022521941A (ja) * 2019-02-25 2022-04-13 コーニング インコーポレイテッド マルチシャワーヘッド式化学蒸着反応装置、方法および生成物
CN112071735B (zh) * 2019-06-10 2023-09-29 中微半导体设备(上海)股份有限公司 气体调节装置及应用该装置的等离子体刻蚀设备
CN114402416A (zh) * 2019-07-17 2022-04-26 朗姆研究公司 用于衬底处理的氧化分布调节
KR102409660B1 (ko) 2019-07-18 2022-06-22 주식회사 히타치하이테크 플라스마 처리 장치
CN110223904A (zh) * 2019-07-19 2019-09-10 江苏鲁汶仪器有限公司 一种具有法拉第屏蔽装置的等离子体处理系统
KR102360733B1 (ko) * 2019-11-19 2022-02-10 세메스 주식회사 기판 처리 방법 및 기판 처리 장치
WO2021159225A1 (en) 2020-02-10 2021-08-19 Yangtze Memory Technologies Co., Ltd. Metal contamination test apparatus and method
KR102571335B1 (ko) * 2020-02-19 2023-08-30 인투코어테크놀로지 주식회사 안테나 구조체 및 이를 이용한 플라즈마 발생 장치
CN115362538A (zh) * 2020-04-06 2022-11-18 朗姆研究公司 气体注射器的陶瓷增材制造技术
TWI767244B (zh) * 2020-05-29 2022-06-11 朗曦科技股份有限公司 半導體製程腔體之氣體噴頭
CN114242551B (zh) * 2020-09-09 2023-12-08 中微半导体设备(上海)股份有限公司 进气组件及其等离子体处理装置
JP7108983B1 (ja) * 2021-04-21 2022-07-29 Toto株式会社 半導体製造装置用部材及び半導体製造装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005056914A (ja) * 2003-08-05 2005-03-03 Hitachi Ltd プラズマエッチング装置およびプラズマエッチング方法
JP2011029645A (ja) * 2001-10-15 2011-02-10 Lam Research Corp 調整可能なマルチゾーンガス噴射システム
JP2013021050A (ja) * 2011-07-08 2013-01-31 Tokyo Electron Ltd 基板処理装置
US20130098554A1 (en) * 2011-10-25 2013-04-25 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5062386A (en) * 1987-07-27 1991-11-05 Epitaxy Systems, Inc. Induction heated pancake epitaxial reactor
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH10506150A (ja) * 1994-08-01 1998-06-16 フランツ ヘーマン、 非平衡軽量合金及び製品のために選択される処理
US5544524A (en) * 1995-07-20 1996-08-13 The United States Of America As Represented By The Secretary Of The Navy Apparatus and method for predicting flow characteristics
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US5600060A (en) * 1996-02-22 1997-02-04 The United States Of America As Represented By The Secretary Of The Navy Apparatus and method for computing unsteady flows by direct solution of the vorticity equation
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6183565B1 (en) * 1997-07-08 2001-02-06 Asm International N.V Method and apparatus for supporting a semiconductor wafer during processing
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
JP3965258B2 (ja) * 1999-04-30 2007-08-29 日本碍子株式会社 半導体製造装置用のセラミックス製ガス供給構造
US6377437B1 (en) * 1999-12-22 2002-04-23 Lam Research Corporation High temperature electrostatic chuck
NL1013984C2 (nl) * 1999-12-29 2001-07-02 Asm Int Werkwijze en inrichting voor het behandelen van substraten.
TW576873B (en) * 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
US6679951B2 (en) * 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6890861B1 (en) * 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6424923B1 (en) * 2000-09-29 2002-07-23 The United States Of America As Represented By The Secretary Of The Navy Method for computing three dimensional unsteady flows by solution of the vorticity equation on a Lagrangian mesh
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US8535759B2 (en) * 2001-09-04 2013-09-17 The Trustees Of Princeton University Method and apparatus for depositing material using a dynamic pressure
TW561068B (en) * 2002-11-29 2003-11-11 Au Optronics Corp Nozzle head with excellent corrosion resistance for dry etching process and anti-corrosion method thereof
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
KR100505367B1 (ko) * 2003-03-27 2005-08-04 주식회사 아이피에스 박막증착용 반응용기
US7045746B2 (en) * 2003-11-12 2006-05-16 Mattson Technology, Inc. Shadow-free shutter arrangement and method
US7879182B2 (en) * 2003-12-26 2011-02-01 Foundation For Advancement Of International Science Shower plate, plasma processing apparatus, and product manufacturing method
US7304010B2 (en) * 2004-02-23 2007-12-04 Kyocera Corporation Aluminum oxide sintered body, and members using same for semiconductor and liquid crystal manufacturing apparatuses
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
JP5068458B2 (ja) 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4895167B2 (ja) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US20070187363A1 (en) * 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
US8187415B2 (en) 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
JP5463536B2 (ja) * 2006-07-20 2014-04-09 北陸成型工業株式会社 シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US20080072821A1 (en) * 2006-07-21 2008-03-27 Dalton Jeremic J Small volume symmetric flow single wafer ald apparatus
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
CN101611472B (zh) * 2007-01-12 2015-03-25 威科仪器有限公司 气体处理系统
JP5188496B2 (ja) * 2007-03-22 2013-04-24 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
JP5357037B2 (ja) * 2007-03-23 2013-12-04 パナソニック株式会社 プラズマドーピング装置及び方法
US8199746B2 (en) 2007-07-20 2012-06-12 Cisco Technology, Inc. Using PSTN reachability to verify VoIP call routing information
JP5058727B2 (ja) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 天板構造及びこれを用いたプラズマ処理装置
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US20090142247A1 (en) * 2007-12-03 2009-06-04 Applied Materials, Inc. Chemical treatment to reduce machining-induced sub-surface damage in semiconductor processing components comprising silicon carbide
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
WO2009086013A2 (en) * 2007-12-21 2009-07-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
TWI498988B (zh) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
US20090221149A1 (en) 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US8236133B2 (en) * 2008-05-05 2012-08-07 Applied Materials, Inc. Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
JP5310283B2 (ja) * 2008-06-27 2013-10-09 東京エレクトロン株式会社 成膜方法、成膜装置、基板処理装置及び記憶媒体
CN102077320B (zh) * 2008-07-04 2013-01-23 东京毅力科创株式会社 等离子体处理装置、等离子体处理方法和介电体窗的温度调节机构
KR101174277B1 (ko) * 2008-07-09 2012-08-16 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8465802B2 (en) * 2008-07-17 2013-06-18 Gang Li Chemical vapor deposition reactor and method
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US20100263588A1 (en) * 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
JP5416837B2 (ja) * 2009-05-26 2014-02-12 アイメック 有機材料層を基板上に形成する方法
US20110023775A1 (en) * 2009-07-31 2011-02-03 E.I. Du Pont De Nemours And Company Apparatus for atomic layer deposition
CN102473634B (zh) * 2009-08-20 2015-02-18 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
JP5740203B2 (ja) * 2010-05-26 2015-06-24 東京エレクトロン株式会社 プラズマ処理装置及びその処理ガス供給構造
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
GB2486778B (en) * 2010-12-23 2013-10-23 Element Six Ltd Controlling doping of synthetic diamond material
KR101937115B1 (ko) * 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9512520B2 (en) 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8440473B2 (en) 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
KR101243742B1 (ko) * 2011-06-24 2013-03-13 국제엘렉트릭코리아 주식회사 반도체 제조에 사용되는 분사부재 및 그것을 갖는 기판 처리 장치
US8960235B2 (en) * 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8482104B2 (en) * 2012-01-09 2013-07-09 Soraa, Inc. Method for growth of indium-containing nitride films
US20130316094A1 (en) * 2012-05-25 2013-11-28 Novellus Systems, Inc. Rf-powered, temperature-controlled gas diffuser
KR101385699B1 (ko) * 2012-06-11 2014-04-17 우범제 플라즈마 처리 장치의 리드 어셈블리
US9245761B2 (en) * 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9230819B2 (en) * 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US9966270B2 (en) * 2015-03-31 2018-05-08 Lam Research Corporation Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011029645A (ja) * 2001-10-15 2011-02-10 Lam Research Corp 調整可能なマルチゾーンガス噴射システム
JP2005056914A (ja) * 2003-08-05 2005-03-03 Hitachi Ltd プラズマエッチング装置およびプラズマエッチング方法
JP2013021050A (ja) * 2011-07-08 2013-01-31 Tokyo Electron Ltd 基板処理装置
US20130098554A1 (en) * 2011-10-25 2013-04-25 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber

Also Published As

Publication number Publication date
TW201616589A (zh) 2016-05-01
CN105225912A (zh) 2016-01-06
JP6646953B2 (ja) 2020-02-14
CN105225912B (zh) 2019-04-12
SG10201504792WA (en) 2016-01-28
US10249511B2 (en) 2019-04-02
TWI671841B (zh) 2019-09-11
KR102406469B1 (ko) 2022-06-07
KR102656327B1 (ko) 2024-04-09
KR20230086651A (ko) 2023-06-15
KR20160001661A (ko) 2016-01-06
KR20220080059A (ko) 2022-06-14
US20150380281A1 (en) 2015-12-31
JP2016021564A (ja) 2016-02-04

Similar Documents

Publication Publication Date Title
KR102542551B1 (ko) 반도체 기판 프로세싱 장치 내에서 튜닝가능한 대류-확산성 가스 플로우를 위한 중앙 가스 주입기를 포함하는 세라믹 샤워헤드
JP5364054B2 (ja) 調整可能なマルチゾーンガス噴射システム
CN108470669B (zh) 用于衬底处理室的温度受控的间隔件
US7879250B2 (en) Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US7832354B2 (en) Cathode liner with wafer edge gas injection in a plasma reactor chamber
US7785417B2 (en) Gas injection system for plasma processing
US9171702B2 (en) Consumable isolation ring for movable substrate support assembly of a plasma processing chamber
KR102411913B1 (ko) 배치대 및 플라즈마 처리 장치
TWI654645B (zh) 用於處理半導體工作件之裝置
KR100984121B1 (ko) 기판처리장치 및 방법
US11488804B2 (en) Shower head assembly and plasma processing apparatus having the same
US20220084797A1 (en) Plasma processing apparatus
US20150279623A1 (en) Combined inductive and capacitive sources for semiconductor process equipment
KR100716690B1 (ko) 반도체 시료의 처리 장치 및 처리 방법
US20230223292A1 (en) Flat bottom shadow ring
KR20180019796A (ko) 기판 처리 장치 및 기판 처리 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant