KR102510966B1 - 저온 고품질 유전체 막들 - Google Patents

저온 고품질 유전체 막들 Download PDF

Info

Publication number
KR102510966B1
KR102510966B1 KR1020217004525A KR20217004525A KR102510966B1 KR 102510966 B1 KR102510966 B1 KR 102510966B1 KR 1020217004525 A KR1020217004525 A KR 1020217004525A KR 20217004525 A KR20217004525 A KR 20217004525A KR 102510966 B1 KR102510966 B1 KR 102510966B1
Authority
KR
South Korea
Prior art keywords
substrate
sih
watts
dielectric film
bias
Prior art date
Application number
KR1020217004525A
Other languages
English (en)
Other versions
KR20210021115A (ko
Inventor
에스와라난드 벤카타수브라마니안
사무엘 이. 고트하임
프라밋 만나
아비짓 바수 말릭
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210021115A publication Critical patent/KR20210021115A/ko
Application granted granted Critical
Publication of KR102510966B1 publication Critical patent/KR102510966B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electromagnetism (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

패터닝 애플리케이션들을 위한 고밀도 유전체 막들의 증착을 위한 기술들이 설명된다. 보다 구체적으로, 기판을 처리하는 방법이 제공된다. 이 방법은 정전 척 상에 포지셔닝된 기판을 갖는 처리 챔버의 처리 볼륨 내로 전구체 함유 가스 혼합물을 유동시키는 단계를 포함한다. 기판은 약 0.1mTorr 내지 약 10Torr의 압력으로 유지된다. 기판 상에 유전체 막을 증착하기 위해, 정전 척에 제1 RF 바이어스를 인가함으로써 기판 레벨에서 플라즈마가 생성된다. 유전체 막은 약 1.5 내지 약 3 범위의 굴절률을 갖는다.

Description

저온 고품질 유전체 막들
[0002] 본 개시내용의 실시예들은 전자 디바이스 제조 분야에 관한 것으로, 특히 집적 회로(IC: integrated circuit) 제조에 관한 것이다. 보다 구체적으로, 본 개시내용의 실시예들은 패터닝 애플리케이션들에 사용될 수 있는 유전체 막들을 증착하는 방법들을 제공한다.
[0003] 집적 회로들은 단일 칩 상에 수백만 개의 트랜지스터들, 커패시터들 및 저항기들을 포함할 수 있는 복잡한 디바이스들로 발전했다. 칩 설계들의 발전은 지속적으로 더 빠른 회로망과 더 높은 회로 밀도를 필요로 한다. 더 큰 회로 밀도들을 가진 더 빠른 회로들에 대한 요구들은 그러한 집적 회로들을 제작하는 데 사용되는 재료들에 대한 대응하는 요구들을 부과한다. 특히, 집적 회로 컴포넌트들의 치수들이 감소함에 따라, 이러한 컴포넌트들로부터 적절한 전기적 성능을 얻기 위해서는 낮은 저항률의 전도성 재료들뿐만 아니라 낮은 유전 상수의 절연 재료들을 사용할 필요가 있다.
[0004] 더 큰 집적 회로 밀도들에 대한 요구들은 집적 회로 컴포넌트들의 제조에 사용되는 프로세스 시퀀스들에 대한 요구들을 또한 부과한다. 예를 들어, 종래의 포토리소그래피 기술들을 사용하는 프로세스 시퀀스들에서, 에너지 민감성 레지스트 층이 기판 상에 배치된 재료 층들의 스택 위에 형성된다. 에너지 민감성 레지스트 층은 패턴의 이미지에 노출되어 포토레지스트 마스크를 형성한다. 그 후, 마스크 패턴은 에칭 프로세스를 사용하여 스택의 재료 층들 중 하나 이상에 전사된다. 에칭 프로세스에 사용되는 화학적 에천트는 에너지 민감성 레지스트의 마스크보다 스택의 재료 층들에 대해 더 큰 에칭 선택도를 갖도록 선택된다. 즉, 화학적 에천트는 에너지 민감성 레지스트보다 훨씬 더 빠른 속도로 재료 스택의 하나 이상의 층들을 에칭한다. 레지스트 위의 스택의 하나 이상의 재료 층들에 대한 에칭 선택도는 패턴 전사의 완료 이전에 에너지 민감성 레지스트가 소비되는 것을 방지한다.
[0005] 패턴 치수들이 감소됨에 따라, 패턴 분해능을 제어하기 위해 에너지 민감성 레지스트의 두께가 대응하게 감소되어야 한다. 업계의 많은 새로운 애플리케이션들은 400℃ 미만의 매우 낮은 열 예산(예컨대, 교차점 메모리 흐름)을 갖는다. 따라서 막 품질을 희생하지 않으면서 이러한 엄격한 열 예산을 충족하는 패터닝 및 다른 애플리케이션들을 위한 고품질 유전체 막들을 증착할 필요가 있다.
[0006] 집적 회로를 제조하기 위한 장치들 및 방법들이 설명된다. 하나 이상의 실시예들에서, 기판 상에 막을 형성하는 방법이 설명된다. 일 실시예에서, 정전 척 상에 포지셔닝된 기판을 갖는 처리 챔버의 처리 볼륨 내로 전구체 함유 가스 혼합물을 유동시킴으로써 기판 상에 막이 형성된다. 기판은 약 0.1mTorr 내지 약 10Torr 범위의 압력으로 그리고 약 -50℃ 내지 약 150℃ 범위의 온도로 유지된다. 기판 상에 유전체 막을 증착하기 위해, 정전 척에 제1 RF 바이어스를 인가함으로써 기판 레벨에서 플라즈마가 생성되며, 유전체 막은 약 1.5 내지 약 3 범위의 굴절률을 갖는다.
[0007] 하나 이상의 실시예들에서, 기판 상에 막을 형성하는 방법이 설명된다. 일 실시예에서, 정전 척 상에 포지셔닝된 기판을 갖는 처리 챔버의 처리 볼륨 내로 전구체 함유 가스 혼합물을 유동시킴으로써 기판 상에 막이 형성되며, 여기서 전구체 함유 가스 혼합물은 실란(SiH4), 트리에톡시실란(SiH(OEt)3), 테트라에톡시실란(테트라에틸 오소실리케이트; Si(OEt)4 또는 TEOS), 디실란(Si2H6), SiH(CH3)3, 디메틸실란(SiH2(CH3)2), 메틸실란(SiH3CH3), 디클로로실란(SiH2Cl2), 실리콘 사염화물(SiCl4), 실리콘 사불화물(SiF4), 트리클로로실란(HSiCl3), 메틸실란(CH3SiH3), 트리메틸실란(C3H10Si), 1,1,3,3-테트라메틸디실록산(TMDZ), 1,3,5-트리실라펜탄(TSP), (비스(3차부틸아미노)실란(BTBAS), (비스(디에틸아미노)실란(BDEAS), 트리스(디메틸아미노)실란(TDMAS), (Si[N(tBu)CH=CHN(tBu)](OEt)2 (Si-TBES), Si[N(tBu)CH=CHN(tBu)](H)NH2 (Si-TBAS), 게르만(GeH4), 게르마늄 사염화물(GeCl4), 게르마늄 사불화물(GeF4), t-부틸게르만(GeH(CH3)3), N2O, O2, NH3, N2, H2, C2H2 또는 C3H6 중에서 선택된 하나 이상의 전구체를 포함한다. 기판은 약 0.1mTorr 내지 약 10Torr 범위의 압력으로 유지된다. 기판 상에 유전체 막을 증착하기 위해, 정전 척에 제1 RF 바이어스 및 제2 RF 바이어스를 인가함으로써 기판 레벨에서 플라즈마가 생성되며, 유전체 막은 약 1.5 내지 약 3 범위의 굴절률을 갖는다.
[0008] 하나 이상의 실시예들에서, 기판 상에 막을 형성하는 방법이 설명된다. 일 실시예에서, 정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 처리 볼륨 내로 전구체 함유 가스 혼합물을 유동시킴으로써 기판 상에 막이 형성된다. 처리 볼륨은 약 0.1mTorr 내지 약 10Torr 범위의 압력으로 유지된다. 기판 상에 유전체 막을 증착하기 위해, 정전 척에 제1 RF 바이어스 및 제2 RF 바이어스를 인가함으로써 기판 레벨에서 플라즈마가 생성되며, 유전체 막은 약 1.5 내지 약 3 범위의 굴절률을 갖는다. 패터닝된 포토레지스트 층이 유전체 막 위에 형성된다. 유전체 막은 패터닝된 포토레지스트 층에 부합하는 패턴으로 에칭된다. 패턴은 기판에 에칭된다. 유전체 막의 에칭된 부분들 내로 재료가 증착된다.
[0009] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다. 본 명세서에서 설명되는 실시예들은 유사한 참조들이 유사한 엘리먼트들을 표시하는 첨부 도면들의 도(figure)들에서 제한이 아닌 예로서 예시된다.
[0010] 도 1a는 본 명세서에서 설명되는 실시예들의 실시에 사용될 수 있는 증착 시스템의 개략적인 단면도를 예시한다.
[0011] 도 1b는 본 명세서에서 설명되는 실시예들의 실시에 사용될 수 있는 다른 증착 시스템의 개략적인 단면도를 도시한다.
[0012] 도 2는 본 명세서에서 설명되는 실시예들의 실시를 위해 도 1a 및 도 1b의 장치에 사용될 수 있는 정전 척의 개략적인 단면도를 도시한다.
[0013] 도 3은 하나 이상의 실시예들에 따라 기판 상에 유전체 막을 형성하기 위한 방법의 흐름도를 도시한다.
[0014] 도 4a - 도 4b는 하나 이상의 실시예들에 따라 기판 상에 형성된 막 스택 상에 유전체 막을 형성하기 위한 시퀀스의 일 실시예를 도시한다.
[0015] 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용은 다음 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들에 제한되지 않는다고 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하고 다양한 방식들로 실시 또는 실행될 수 있다.
[0016] 세부사항들, 치수들, 각도들, 그리고 도면들에 도시된 다른 특징들 중 다수는 단지 특정 실시예들의 예시일 뿐이다. 이에 따라, 다른 실시예들은 본 개시내용의 사상 또는 범위를 벗어나지 않으면서 다른 세부사항들, 컴포넌트들, 치수들, 각도들 및 특징들을 가질 수 있다. 추가로, 본 개시내용의 추가 실시예들은 아래에서 설명되는 세부사항들 중 몇몇 세부사항들 없이 실시될 수 있다.
[0017] 본 명세서에서 사용되는 "기판", "기판 표면" 등은 처리가 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 의미한다. 예를 들어, 처리가 수행될 수 있는 기판 표면은 애플리케이션에 따라, 실리콘, 실리콘 산화물, 변형된 실리콘, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어와 같은 재료들, 및 금속들, 금속 질화물들, 금속 합금들 및 다른 전도성 재료들과 같은 임의의 다른 재료들을 포함하지만, 이들에 제한되는 것은 아니다. 기판들은 제한 없이, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 연마, 에칭, 환원, 산화, 수산화(또는 화학적 기능을 부여하도록 표적 화학 부분(moiety)들을 다른 식으로 생성 또는 접목), 어닐링 및/또는 베이크(bake)하기 위한 전처리 프로세스에 노출될 수 있다. 본 개시내용에서는, 기판의 표면 자체에 대해 직접 처리하는 것 외에도, 아래에서 보다 상세히 개시되는 바와 같이 기판 상에 형성된 하층에 대해서도, 개시된 막 처리 단계들 중 임의의 단계가 또한 수행될 수 있으며, "기판 표면"이라는 용어는 맥락이 나타내는 것과 같은 그러한 하층을 포함하는 것으로 의도된다. 따라서 예를 들어, 막/층 또는 부분 막/층이 기판 표면 상에 증착된 경우, 새로 증착된 막/층의 노출된 표면이 기판 표면이 된다. 주어진 기판 표면이 무엇을 포함하는지는 어떤 재료들이 증착될지는 물론, 사용되는 특정 화학 물질에 좌우될 것이다.
[0018] 본 명세서 및 첨부된 청구항들에 사용되는 바와 같이, "반응성 화합물," "반응성 가스," "반응성 종," "전구체," "프로세스 가스" 등과 같은 용어들은 표면 반응(예컨대, 화학 흡착, 산화, 환원)에서 기판 표면 또는 기판 표면 상의 재료와 반응할 수 있는 종을 가진 물질을 의미하는 데 상호 교환 가능하게 사용된다. 예를 들어, 제1 "반응성 가스"는 단순히 기판의 표면에 흡착될 수 있으며 제2 반응성 가스와의 추가 화학 반응에 이용 가능할 수 있다.
[0019] 본 명세서 및 첨부된 청구항들에 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등의 용어들은 기판 표면과 반응할 수 있는 임의의 가스 종을 의미하는 데 상호 교환 가능하게 사용된다.
[0020] 본 명세서에서 사용되는 바와 같이, "화학 기상 증착"은 기판 표면이 동시에 또는 실질적으로 동시에 전구체들 및/또는 공동 시약들에 노출되는 프로세스를 의미한다. 본 명세서에서 사용되는 바와 같이, "실질적으로 동시에"는 공동 유동, 또는 전구체들의 대부분의 노출들에 대해 중첩이 있는 경우를 의미한다.
[0021] 비용 효율성 및 막 특성의 다양성으로 인해 플라즈마 강화 화학 기상 증착(PECVD: plasma enhanced chemical vapor deposition)이 막들을 증착하는 데 널리 사용된다. PECVD 프로세스에서, 캐리어(carrier) 가스에 비말 동반된 액상 탄화수소의 증기 또는 가스상(gas-phase) 탄화수소와 같은 탄화수소 소스가 PECVD 챔버 내에 도입된다. 플라즈마 개시 가스, 통상적으로 헬륨이 또한 챔버 내에 도입된다. 그 다음, 챔버 내에서 플라즈마가 개시되어, 여기된 CH-라디칼들을 생성한다. 여기된 CH-라디칼들은 챔버 내에 포지셔닝된 기판의 표면에 화학적으로 결합되어, 그 위에 원하는 비정질 탄소막을 형성한다. PECVD 프로세스를 참조하여 본 명세서에서 설명되는 실시예들은 임의의 적절한 박막 증착 시스템을 사용하여 실행될 수 있다. 본 명세서에서 설명되는 임의의 장치 설명은 예시적인 것이며, 본 명세서에서 설명되는 실시예들의 범위를 제한하는 것으로 해석되거나 이해되지 않아야 한다.
[0022] 반도체 산업의 많은 애플리케이션들은 400℃ 미만, 어떤 상황에서는 심지어 300℃ 미만의 매우 낮은 열 예산을 갖는다. 통상적으로, PECVD 프로세스에서, 막 품질은 낮은 온도들에서 크게 저하된다. 본 명세서에서 설명되는 실시예들은 유리하게는, 막 품질을 희생하지 않으면서 이러한 엄격한 열 예산을 충족하는 패터닝 및 다른 애플리케이션들을 위한 고품질 유전체 막들을 증착하기 위한 방법들을 제공한다.
[0023] 본 명세서에서 설명되는 실시예들은 고밀도(예컨대, > 1.8g/cc), 높은 굴절률(예컨대, > 1.5) 및 낮은 응력(예컨대, < -500㎫)을 갖는 유전체 막들을 제작하는 개선된 방법들을 포함한다. 하나 이상의 실시예들에서, 밀도 및 응력은 제작되는 특정 막에 의존하지만, 하나 이상의 실시예들의 막들은 훨씬 더 높은 온도들에서 제작된 막들과 비교할 때 유사한 또는 개선된 밀도들 및 응력을 갖는다. 본 명세서에서 설명되는 실시예들에 따라 제작된 유전체 막들은 본래 비정질이고, 현재 패터닝 막들보다 더 낮은 응력(< -500㎫)과 함께 훨씬 더 큰 밀도(예컨대 > 1.8g/cc)로 더 높은 에칭 선택성을 갖는다. 일반적으로, 본 명세서에서 설명되는 증착 프로세스는 하드마스크 애플리케이션들을 위한 현재 통합 방식들과도 또한 완벽하게 호환된다.
[0024] 일부 실시예들에서, 본 명세서에서 설명되는 유전체 막들은 실란(SiH4), 트리에톡시실란(SiH(OEt)3), 테트라에톡시실란(테트라에틸 오소실리케이트; Si(OEt)4 또는 TEOS), 디실란(Si2H6), SiH(CH3)3, 디메틸실란(SiH2(CH3)2), 메틸실란(SiH3CH3), 디클로로실란(SiH2Cl2), 실리콘 사염화물(SiCl4), 실리콘 사불화물(SiF4), 트리클로로실란(HSiCl3), 메틸실란(CH3SiH3), 트리메틸실란(C3H10Si), 1,1,3,3-테트라메틸디실록산(TMDZ), 1,3,5-트리실라펜탄(TSP), (비스(3차부틸아미노)실란(BTBAS), (비스(디에틸아미노)실란(BDEAS), 트리스(디메틸아미노)실란(TDMAS), (Si[N(tBu)CH=CHN(tBu)](OEt)2 (Si-TBES), Si[N(tBu)CH=CHN(tBu)](H)NH2 (Si-TBAS), 게르만(GeH4), 게르마늄 사염화물(GeCl4), 게르마늄 사불화물(GeF4), t-부틸게르만(GeH(CH3)3), N2O, O2, NH3, N2, H2, C2H2 또는 C3H6 중에서 선택된 하나 이상의 전구체를 포함하는 전구체 함유 가스 혼합물들을 사용하여 화학 기상 증착(플라즈마 강화 및/또는 열) 프로세스들에 의해 형성될 수 있다.
[0025] 증착 프로세스는 약 -50℃, 약 -45℃, 약 -40℃, 약 -35℃, 약 -30℃, 약 -25℃, 약 -20℃, 약 -15℃, 약 -10℃, 약 -5℃, 약 0℃, 약 5℃, 약 10℃, 약 15℃, 약 20℃, 약 25℃, 약 30℃, 약 35℃, 약 40℃, 약 45℃, 약 50℃, 약 55℃, 약 60℃, 약 65℃, 약 70℃, 약 75℃, 약 80℃, 약 85℃, 약 90℃, 약 95℃, 약 100℃, 약 105℃, 약 110℃, 약 115℃, 약 120℃, 약 125℃, 약 130℃, 약 135℃, 약 140℃, 약 145℃ 및 약 150℃를 포함하는, 약 -50℃ 내지 약 150℃ 범위의 온도들에서 실행될 수 있다.
[0026] 증착 프로세스는 프로세스 볼륨에서 약 0.1mTorr, 약 1mTorr, 약 10mTorr, 약 100mTorr, 약 500mTorr, 약 1Torr, 약 2Torr, 약 3Torr, 약 4Torr, 약 5Torr, 약 6Torr, 약 7Torr, 약 8Torr, 약 9Torr 및 약 10Torr의 압력을 포함하는, 0.1mTorr 내지 10Torr 범위의 압력들에서 실행될 수 있다.
[0027] 전구체 함유 가스 혼합물은 헬륨(He), 아르곤(Ar), 크세논(Xe), 질소(N2) 또는 수소(H2) 중에서 선택된 희석 가스 중 하나 이상을 더 포함할 수 있다. 일부 실시예들의 희석 가스는 반응물들 및 기판 재료들에 대해 불활성 가스인 화합물을 포함한다.
[0028] 전구체 함유 가스 혼합물은 막 품질을 향상시키기 위해, Cl2, CF4 또는 NF3와 같은 에천트 가스들을 더 포함할 수 있다.
[0029] 플라즈마(예컨대, 용량 결합 플라즈마)는 상부 전극과 하부 전극 또는 측면 전극들로부터 형성될 수 있다. 전극들은 단일 전원 전극, 이중 전원 전극들, 또는 더 많은 전극들로 형성될 수 있으며, 유전체 박막을 증착하기 위해 본 명세서에 열거된 반응물 가스들 중 임의의 또는 모든 반응물 가스와 함께 CVD 시스템에서 350㎑, 2㎒, 13.56㎒, 27㎒, 40㎒, 60㎒ 및 100㎒와 같은(그러나 이에 제한되는 것은 아님) 다수의 주파수들이 택일적으로(alternatively) 또는 동시에 사용된다. 일부 실시예들에서, 플라즈마는 용량 결합 플라즈마(CCP: capacitively coupled plasma)이다. 일부 실시예들에서, 플라즈마는 유도 결합 플라즈마(ICP: inductively coupled plasma)이다. 일부 실시예들에서, 플라즈마는 마이크로파 플라즈마이다.
[0030] 일부 실시예들에서는, 기판 페디스털이 10℃로 유지되고 압력이 2mTorr로 유지되는 챔버 내에서 유전체 막이 증착되며, 정전 척에 2500와트(13.56㎒)의 바이어스를 인가함으로써 웨이퍼 레벨에서 플라즈마가 생성된다(즉, 직접 플라즈마). 일부 실시예에서, 2㎒에서 1000와트의 추가 RF 전력도 또한 정전 척에 전달되어, 웨이퍼 레벨에서 이중 바이어스 플라즈마를 생성하였다.
[0031] 도 1a는 본 명세서에서 설명되는 실시예들에 따라 유전체 막 증착을 수행하는 데 사용될 수 있는 기판 처리 시스템(132)의 개략적인 예시를 도시한다. 기판 처리 시스템(132)은 가스 패널(130)에 결합된 프로세스 챔버(100) 및 제어기(110)를 포함한다. 프로세스 챔버(100)는 일반적으로 처리 볼륨(126)을 한정하는 상단 벽(124), 측벽(101) 및 하단 벽(122)을 포함한다. 기판 지지 어셈블리(146)가 프로세스 챔버(100)의 처리 볼륨(126)에 제공된다. 기판 지지 어셈블리(146)는 일반적으로 스템(stem)(160)에 의해 지지되는 정전 척(150)을 포함한다. 정전 척(150)은 통상적으로 알루미늄, 세라믹 및 다른 적절한 재료들로 제작될 수 있다. 정전 척(150)은 (도시되지 않은) 변위 메커니즘을 사용하여 프로세스 챔버(100) 내부에서 수직 방향으로 이동될 수 있다.
[0032] 진공 펌프(102)가 프로세스 챔버(100)의 바닥에 형성된 포트에 결합된다. 진공 펌프(102)는 프로세스 챔버(100) 내에서 원하는 가스 압력을 유지하는 데 사용된다. 진공 펌프(102)는 또한, 프로세스 챔버(100)로부터의 프로세스의 부산물들 및 처리-후 가스들을 진공배기한다.
[0033] 기판 처리 시스템(132)은 챔버 압력을 제어하기 위한 추가 장비, 예를 들어 프로세스 챔버(100)와 진공 펌프(102) 사이에 포지셔닝되어 챔버 압력을 제어하는 밸브들(예컨대, 스로틀 밸브들 및 격리 밸브들)을 더 포함할 수 있다.
[0034] 복수의 개구들(128)을 갖는 가스 분배 어셈블리(120)가 정전 척(150) 위의 프로세스 챔버(100)의 최상부에 배치된다. 가스 분배 어셈블리(120)의 개구들(128)은 프로세스 가스들을 프로세스 챔버(100)에 도입하는 데 이용된다. 개구들(128)은 서로 다른 프로세스 요건들에 대해 다양한 프로세스 가스들의 유동을 가능하게 하기 위해 서로 다른 크기들, 수, 분포들, 형상, 설계 및 직경들을 가질 수 있다. 가스 분배 어셈블리(120)는 처리 중에 다양한 가스들이 처리 볼륨(126)에 공급되게 허용하는 가스 패널(130)에 연결된다. 기판(190)의 표면(191) 상에 재료의 증착을 야기하는 프로세스 가스들의 열분해를 향상시키기 위해, 가스 분배 어셈블리(120)를 빠져나가는 프로세스 가스 혼합물로부터 플라즈마가 형성된다.
[0035] 가스 분배 어셈블리(120)와 정전 척(150)은 처리 볼륨(126)에 한 쌍의 이격된 전극들을 형성할 수 있다. 가스 분배 어셈블리(120)와 정전 척(150) 사이에서 플라즈마의 생성을 가능하게 하기 위해 하나 이상의 RF 전원(140)이 선택적인 정합 회로망(138)을 통해 가스 분배 어셈블리(120)에 바이어스 전위를 제공한다. 대안으로, RF 전원(140) 및 선택적인 정합 회로망(138)은 가스 분배 어셈블리(120)나 정전 척(150)에 결합되거나, 가스 분배 어셈블리(120)와 정전 척(150) 모두에 결합되거나, 프로세스 챔버(100) 외부에 배치된 (도시되지 않은) 안테나에 결합될 수 있다. 일부 실시예들에서, RF 전원(140)은 350㎑, 2㎒, 13.56㎒, 27㎒, 40㎒, 60㎒ 또는 100㎒의 주파수에서 전력을 생성할 수 있다. 일 실시예에서, RF 전원(140)은 약 50㎑ 내지 약 13.56㎒의 주파수에서 약 100와트 내지 약 3,000와트를 제공할 수 있다. 다른 실시예에서, RF 전원(140)은 약 50㎑ 내지 약 13.56㎒의 주파수에서 약 500와트 내지 약 1,800와트를 제공할 수 있다.
[0036] 제어기(110)는 CPU(central processing unit)(112), 메모리(116), 및 프로세스 시퀀스를 제어하고 가스 패널(130)로부터의 가스 유동들을 조절하는 데 이용되는 지원 회로(114)를 포함한다. CPU(central processing unit)(112)는 산업 환경에서 사용될 수 있는 범용 컴퓨터 프로세서의 임의의 형태일 수 있다. 소프트웨어 루틴들이 메모리(116), 이를테면 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 저장소에 저장될 수 있다. 지원 회로(114)는 종래 방식으로 CPU(central processing unit)(112)에 결합되며, 캐시, 클록 회로들, 입력/출력 시스템들, 전원 공급 장치들 등을 포함할 수 있다. 기판 처리 시스템(132)의 다양한 컴포넌트들과 제어기(110) 사이의 양방향 통신들은, 집합적으로 신호 버스들(118)로 지칭되는 다수의 신호 케이블들을 통해 처리되며, 그러한 신호 케이블들 중 일부가 도 1a에 예시된다.
[0037] 도 1b는 본 명세서에서 설명되는 실시예들의 실시에 사용될 수 있는 다른 기판 처리 시스템(180)의 개략적인 단면도를 도시한다. 기판 처리 시스템(180)이 가스 패널(130)로부터의 처리 가스들을 측벽(101)을 통해 기판(190)의 표면(191)에 걸쳐 유동시키도록 구성된다는 점을 제외하면, 기판 처리 시스템(180)은 도 1a의 기판 처리 시스템(132)과 유사하다. 또한, 도 1a에 도시된 가스 분배 어셈블리(120)는 전극(182)으로 대체된다. 전극(182)은 2차 전자 생성을 위해 구성될 수 있다. 일 실시예에서, 전극(182)은 실리콘 함유 전극이다.
[0038] 도 2는 본 명세서에서 설명되는 실시예들의 실시에 사용될 수 있는, 도 1a 및 도 1b의 처리 시스템들에 사용되는 기판 지지 어셈블리(146)의 개략적인 단면도를 도시한다. 도 2를 참조하면, 정전 척(150)은 정전 척(150)의 상부 표면(192) 상에 지지된 기판(190)의 온도를 제어하기에 적합한 내장형 히터 엘리먼트(170)를 포함할 수 있다. 정전 척(150)은 히터 전원(106)으로부터 히터 엘리먼트(170)로 전류를 인가함으로써 저항식으로 가열될 수 있다. 히터 전원(106)은 RF 필터(216)를 통해 결합될 수 있다. RF 필터(216)는 RF 에너지로부터 히터 전원(106)을 보호하는 데 사용될 수 있다. 히터 엘리먼트(170)는 니켈-철-크롬 합금(예컨대, INCOLOY®) 시스 튜브(sheath tube)에 캡슐화된 니켈-크롬 와이어로 만들어질 수 있다. 히터 전원(106)으로부터 공급되는 전류는 히터 엘리먼트(170)에 의해 발생되는 열을 제어하여, 막 증착 동안 기판(190) 및 정전 척(150)을 실질적으로 일정한 온도로 유지하도록 제어기(110)에 의해 조절된다. 공급되는 전류는 정전 척(150)의 온도를 약 -50℃ 내지 약 150℃로 선택적으로 제어하도록 조정될 수 있다.
[0039] 도 1a 및 도 1b를 참조하면, 열전쌍과 같은 온도 센서(172)는 종래의 방식으로 정전 척(150)의 온도를 모니터링하도록 정전 척(150)에 내장될 수 있다. 측정된 온도는 기판을 원하는 온도로 유지하도록 히터 엘리먼트(170)에 공급되는 전력을 제어하기 위해 제어기(110)에 의해 사용된다.
[0040] 도 2를 참조하면, 정전 척(150)은 전도성 재료의 메시일 수 있는 척킹 전극(210)을 포함한다. 척킹 전극(210)은 정전 척(150)에 내장될 수 있다. 척킹 전극(210)은 에너지가 공급될 때 기판(190)을 정전 척(150)의 상부 표면(192)에 정전기적으로 클램핑하는 척킹 전원(212)에 결합된다.
[0041] 척킹 전극(210)은 모노폴라 또는 바이폴라 전극으로서 구성될 수 있거나, 다른 적절한 배열을 가질 수 있다. 척킹 전극(210)은 RF 필터(214)를 통해 척킹 전원(212)에 결합될 수 있으며, 이는 DC(direct current) 전력을 제공하여 기판(190)을 정전 척(150)의 상부 표면(192)에 정전기적으로 고정한다. RF 필터(214)는 프로세스 챔버(100) 내에 플라즈마를 형성하는 데 이용되는 RF전력이 전기 장비를 손상시키거나 챔버 외부에 전기적 위험을 제시하는 것을 방지한다. 정전 척(150)은 AlN 또는 Al2O3와 같은 세라믹 재료로 제작될 수 있다. 대안으로, 정전 척(150)은 폴리이미드, 폴리에테르에테르케톤(PEEK), 폴리아릴에테르케톤(PAEK) 등과 같은 중합체로 제작될 수 있다.
[0042] 전력 인가 시스템(220)이 기판 지지 어셈블리(146)에 결합된다. 전력 인가 시스템(220)은 히터 전원(106), 척킹 전원(212), 제1 RF(radio frequency) 전원(230) 및 제2 RF 전원(240)을 포함할 수 있다. 전력 인가 시스템(220)의 실시예들은 제어기(110), 및 제어기(110) 그리고 제1 RF(radio frequency) 전원(230)과 제2 RF 전원(240) 모두와 통신하는 센서 디바이스(250)를 추가로 포함할 수 있다.
[0043] 제어기(110)는 또한 기판(190) 상에 재료 층을 증착하기 위해 제1 RF(radio frequency) 전원(230) 및 제2 RF 전원(240)으로부터의 RF 전력의 인가에 의해 처리 가스로부터의 플라즈마를 제어하는 데 이용될 수 있다.
[0044] 앞서 설명한 바와 같이, 정전 척(150)은, 일 양상에서는 기판(190)을 척킹하도록 기능할 수 있는 한편, 제1 RF 전극으로서도 또한 기능하는 척킹 전극(210)을 포함한다. 정전 척(150)은 또한 제2 RF 전극(260)을 포함할 수 있고, 척킹 전극(210)과 함께 RF 전력을 인가하여 플라즈마를 조정할 수 있다. 제1 RF(radio frequency) 전원(230)은 제2 RF 전극(260)에 결합될 수 있는 한편, 제2 RF 전원(240)은 척킹 전극(210)에 결합될 수 있다. 제1 정합 회로망 및 제2 정합 회로망이 제1 RF(radio frequency) 전원(230) 및 제2 RF 전원(240)에 각각 제공될 수 있다. 제2 RF 전극(260)은 도시된 바와 같이 전도성 재료의 고체 금속판일 수 있다. 대안으로, 제2 RF 전극(260)은 전도성 재료의 메시일 수 있다.
[0045] 제1 RF(radio frequency) 전원(230)과 제2 RF 전원(240)은 동일한 주파수 또는 서로 다른 주파수에서 전력을 생성할 수 있다. 일부 실시예들에서, 제1 RF(radio frequency) 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 다는 350㎑, 2㎒, 13.56㎒, 27㎒, 40㎒, 60㎒ 또는 100㎒를 포함하여(그러나 이에 제한되는 것은 아님) 약 350㎑ 내지 약 100㎒ 범위의 주파수에서 독립적으로 전력을 생성할 수 있다. 일부 실시예들에서, 제1 RF(radio frequency) 전원(230)은 13.56㎒의 주파수에서 전력을 생성할 수 있고 제2 RF 전원(240)은 2㎒의 주파수에서 전력을 생성할 수 있거나, 그 반대일 수 있다. 플라즈마를 조정하기 위해 제1 RF(radio frequency) 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 다로부터의 RF 전력이 변경될 수 있다. 예를 들어, 센서 디바이스(250)가 제1 RF(radio frequency) 전원(230) 및 제2 RF 전원(240) 중 하나 또는 둘 다로부터의 RF 에너지를 모니터링하는 데 사용될 수 있다. 센서 디바이스(250)로부터의 데이터는 제어기(110)로 전달될 수 있고, 제어기(110)는 제1 RF(radio frequency) 전원(230) 및 제2 RF 전원(240)에 의해 인가되는 전력을 변경하는 데 이용될 수 있다.
[0046] 일반적으로, 다음의 예시적인 증착 프로세스 파라미터들은 증착된 그대로의(as-deposited) 유전체 막을 형성하는 데 사용될 수 있다. 웨이퍼 온도는 약 10℃ 내지 약 100℃, 또는 약 10℃ 내지 약 50℃를 포함하여(그러나 이에 제한되는 것은 아님) 약 -50℃ 내지 약 150℃ 범위일 수 있다. 챔버 압력은 약 2mTorr 내지 약 50mTorr, 또는 약 2mTorr 내지 약 10mTorr를 포함하여(그러나 이에 제한되는 것은 아님) 약 0.1mTorr 내지 약 10Torr 범위의 챔버 압력으로부터의 범위일 수 있다. 전구체 함유 가스 혼합물의 유량은 약 100sccm 내지 약 200sccm, 또는 약 150sccm 내지 약 200sccm을 포함하여(그러나 이에 제한되는 것은 아님) 약 10sccm 내지 약 1,000sccm의 범위에 있을 수 있다. 희석 가스의 유량은 개별적으로, 약 50sccm 내지 약 1000sccm, 또는 약 50sccm 내지 약 100sccm을 포함하여(그러나 이에 제한되는 것은 아님) 약 50sccm 내지 약 50,000sccm의 범위일 수 있다.
[0047] 유전체 막은 약 300Å 내지 약 10,000Å 범위, 약 2000Å 내지 약 3000Å 범위, 또는 약 5Å 내지 약 200Å 범위를 포함하여 약 5Å 내지 약 60,000Å 범위의 두께로 증착될 수 있다.
[0048] 증착된 그대로의 유전체 막은 약 1.5, 약 1.6, 약 1.7, 약 1.8, 약 1.9, 약 2.0, 약 2.1, 약 2.2, 약 2.3, 약 2.4, 약 2.5, 약 2.6, 약 2.7, 약 2.8, 약 2.9 또는 약 3.0을 포함하여 약 1.5보다 큰, 예를 들어 약 1.6 내지 약 3.0의 굴절률 또는 n-값((633㎚에서의) n)을 가질 수 있다. 하나 이상의 실시예들에서, 막은 실리콘 산화물이고 굴절률은 약 1.5이다. 추가 실시예에서, 막은 실리콘 질화물이고 굴절률은 약 1.9 내지 약 2.0이다. 하나 이상의 실시예들의 방법들은 유리하게는 저온 및 고품질 막들의 제작을 가능하게 하는데, 이러한 막들은 고온 CVD 또는 고온 PECVD에 의해 준비된 막들과 유사하거나 그러한 막들에 비해 개선된 특성들을 갖는다. 증착된 그대로의 유전체 막은 약 0.2, 약 0.21, 약 0.22, 약 0.23, 약 0.24, 약 0.25, 약 0.26, 약 0.27, 약 0.28, 약 0.29, 약 0.30을 포함하여 0.1보다 큰, 예를 들어 약 0.2 내지 약 0.3의 흡광 계수 또는 k-값((633㎚에서의) k)을 가질 수 있다. 증착된 그대로의 유전체 막은 약 -600㎫, 약 -575㎫, 약 -550㎫, 약 -525㎫, 약 -500㎫, 약 -475㎫, 약 -450㎫, 약 -425㎫, 약 -400㎫, 약 -375㎫, 약 -350㎫, 약 -325㎫ 또는 약 -300㎫를 포함하여 약 -300㎫ 미만의, 예를 들어 약 -600㎫ 내지 약 -300㎫, 약 -600㎫ 내지 약 -500㎫의 응력(㎫)을 가질 수 있다.
[0049] 하나 이상의 실시예들에서, 유전체 막의 밀도는 1.9g/cc 초과를 포함하여 그리고 2.0g/cc 초과를 포함하여 1.8g/cc를 초과한다. 하나 이상의 실시예들에서, 유전체 막의 밀도는 약 2.1g/cc이다. 하나 이상의 실시예들에서, 유전체 막의 밀도는 약 1.8g/cc 초과 내지 약 2.2g/cc의 범위에 있다. 하나 이상의 실시예들에서, 유전체 막의 밀도는 약 2.2g/cc를 초과한다.
[0050] 하나 이상의 실시예들의 방법의 다른 이점은 원하는 밀도 및 투명도를 갖는 유전체 막을 생성하는 데 보다 저온 프로세스가 사용될 수 있다는 점이다. 대개, 증착 중에 더 높은 기판 온도는 보다 고밀도 막의 형성을 촉진하는 데 사용되는 프로세스 파라미터이다. 하나 이상의 실시예들의 방법 및 전구체들이 함께 사용될 때, 놀랍게도 기판 온도는 증착 중에 예를 들어, 약 -40℃ 미만, 그리고 약 0℃ 미만, 약 10℃ 미만, 약 실온 미만, 또는 약 22℃ 내지 약 26℃ 미만으로 낮게 저하될 수 있으며, 여전히 원하는 밀도의 막, 즉 약 1.9g/cc 초과를 포함하여 그리고 약 2.0g/cc 초과를 포함하여 약 1.8g/cc를 초과하는 밀도를 갖는 유전체 막을 생성할 수 있다. 그러므로 하나 이상의 실시예들의 방법은 약 0.04만큼 낮은 흡수 계수를 갖는 비교적 고밀도 막, 특히 고밀도 탄소 막을 생성할 수 있다.
[0051] 도 3은 본 개시내용의 일 실시예에 따라 기판 상에 배치된 막 스택 상에 유전체 막을 형성하기 위한 방법(300)의 흐름도를 도시한다. 막 스택 상에 형성된 유전체 막은 예를 들어, 막 스택에서 절연 층으로서 이용될 수 있다.
[0052] 도 4a - 도 4b는 방법(300)에 따라 기판 상에 배치된 막 스택 상에 유전체 막을 형성하기 위한 시퀀스를 예시하는 개략적인 단면도들이다. 이 방법(300)은 3차원 반도체 디바이스들을 위한 막 스택에서 계단형 구조들을 제조하는 데 이용되는, 막 스택 상에 형성될 수 있는 유전체 층과 관련하여 아래에서 설명되지만, 이 방법(300)은 또한 다른 디바이스 제조 애플리케이션들에서 유리하게 사용될 수 있다. 추가로, 도 3에 도시된 동작들은 동시에 그리고/또는 도 3에 도시된 순서와는 다른 순서로 수행될 수 있다고 또한 이해되어야 한다.
[0053] 이 방법(300)은 동작(310)에서, 도 4a에 도시된 기판(400)과 같은 기판을 도 1a 또는 도 1b에 도시된 프로세스 챔버(100)와 같은 프로세스 챔버에 포지셔닝함으로써 시작된다. 기판(400)은 도 1a, 도 1b 및 도 2에 도시된 기판(190)일 수 있다. 기판(400)은 정전 척, 예를 들어 정전 척(150)의 상부 표면(192) 상에 포지셔닝될 수 있다. 기판(400)― 기판(400) 상에 막 스택(404)이 배치됨 ―은 필요에 따라, 막 스택(404)으로 계단형 구조들과 같은 구조(402)를 형성하는 데 이용될 수 있는 실리콘계 재료 또는 임의의 적절한 절연 재료 또는 전도성 재료일 수 있다.
[0054] 도 4a에 도시된 예시적인 실시예에 도시된 바와 같이, 기판(400)은 실질적으로 평평한 표면, 고르지 않은 표면, 또는 그 위에 구조가 형성되는 실질적으로 평평한 표면을 가질 수 있다. 막 스택(404)은 기판(400) 상에 형성된다. 일 실시예에서, 막 스택(404)은 프론트 엔드 또는 백 엔드 프로세스에서 게이트 구조, 접촉 구조 또는 상호 접속 구조를 형성하는 데 이용될 수 있다. 이 방법(300)은 낸드(NAND) 구조와 같은 메모리 구조에 사용되는 계단형 구조들을 막 스택(404) 내에 형성하도록 막 스택(404)에 대해 수행될 수 있다. 일 실시예에서, 기판(400)은 결정질 실리콘(예컨대, Si(100) 또는 Si(111)), 실리콘 산화물, 변형 실리콘, 실리콘 게르마늄, 도핑 또는 비도핑 폴리실리콘, 도핑 또는 비도핑 실리콘 기판들 및 패터닝된 또는 패터닝되지 않은 기판들인 SOI(silicon on insulator), 탄소 도핑 실리콘 산화물들, 실리콘 질화물, 도핑 실리콘, 게르마늄, 갈륨 비화물, 유리, 사파이어와 같은 재료일 수 있다. 기판(400)은 200㎜, 300㎜ 및 450㎜, 또는 다른 직경의 기판들과 같은 다양한 치수들뿐만 아니라 직사각형 또는 정사각형 패널들을 가질 수 있다. 달리 언급되지 않는 한, 본 명세서에서 설명되는 실시예들 및 예들은 200㎜ 직경, 300㎜ 직경 또는 450㎜ 직경의 기판을 갖는 기판들에 대해 수행된다. SOI 구조가 기판(400)에 이용되는 실시예에서, 기판(400)은 실리콘 결정질 기판 상에 배치된 매립 유전체 층을 포함할 수 있다. 본 명세서에 도시된 실시예에서, 기판(400)은 결정질 실리콘 기판일 수 있다.
[0055] 일 실시예에서, 기판(400) 상에 배치된 막 스택(404)은 수직으로 적층된 다수의 층들을 가질 수 있다. 막 스택(404)은 막 스택(404)으로 반복적으로 형성된 (408a1, 408a2, 408a3,…, 408an으로서 도시된) 제1 층 및 (408b1, 408b2, 408b3,…, 408bn으로서 도시된) 제2 층을 포함하는 쌍들을 포함할 수 있다. 이 쌍들은 번갈아 나오는 (408a1, 408a2, 408a3,…, 408an으로서 도시된) 제1 층과 (408b1, 408b2, 408b3,…, 408bn으로서 도시된) 제2 층을 포함하는데, 이들은 제1 층들과 제2 층들의 미리 결정된 수의 쌍들에 도달할 때까지 반복적으로 형성된다.
[0056] 막 스택(404)은 3차원 메모리 칩과 같은 반도체 칩의 일부일 수 있다. 도 4a - 도 4b에는 (408a1, 408a2, 408a3,…, 408an으로서 도시된) 제1 층들과 (408b1, 408b2, 408b3,…, 408bn으로서 도시된) 제2 층들의 3개의 반복하는 층들이 도시되어 있지만, 필요에 따라 제1 및 제2 층들의 임의의 원하는 수의 반복 쌍들이 이용될 수 있다는 점이 주목된다.
[0057] 일 실시예에서, 막 스택(404)은 3차원 메모리 칩에 대한 다수의 게이트 구조들을 형성하는 데 이용될 수 있다. 막 스택(404)으로 형성된 제1 층들(408a1, 408a2, 408a3,…, 408an)은 하나 이상의 실시예들에 따른 제1 유전체 층일 수 있고, 제2 층들(408b1, 408b2, 408b3,…, 408bn)은 하나 이상의 실시예들에 따른 제2 유전체 층일 수 있다. 하나 이상의 실시예들에 따른 적절한 유전체 막들은 무엇보다도, 실리콘, 실리콘 질화물, 실리콘 탄화물, 실리콘 산화물, 실리콘 산탄화물, 실리콘 산탄질화물, 실리콘 산질화물, 티타늄 질화물, 또는 산화물과 질화물의 합성물, 질화물 층을 샌드위치하는 적어도 하나 이상의 산화물 층들, 및 이들의 조합들 중 하나 이상을 포함하는(그러나 이에 제한되는 것은 아님) 제1 층들(408a1, 408a2, 408a3,…, 408an) 및/또는 제2 층들(408b1, 408b2, 408b3,…, 408bn)을 형성하는 데 이용될 수 있다.
[0058] 일부 실시예들에서, 유전체 층들은 4보다 더 큰 유전 상수를 갖는 고-κ 재료일 수 있다. 고-κ 재료의 적절한 예들은 무엇보다도, 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2), 하프늄 실리콘 산화물(HfSiO2), 하프늄 알루미늄 산화물(HfAlO), 지르코늄 실리콘 산화물(ZrSiO2), 탄탈 이산화물(TaO2), 알루미늄 산화물, 알루미늄 도핑 하프늄 이산화물, 비스무스 스트론튬 티타늄(BST: bismuth strontium titanium) 및 플래티늄 지르코늄 티타늄(PZT: platinum zirconium titanium)을 포함하지만, 이에 제한되는 것은 아니다.
[0059] 하나의 특정 예에서, 제1 층들(408a1, 408a2, 408a3,…, 408an)은 실리콘 산화물 층들이고, 제2 층들(408b1, 408b2, 408b3,…, 408bn)은 제1 층들(408a1, 408a2, 408a3,…, 408an) 상에 배치된 실리콘 질화물 층들 또는 폴리실리콘 층들이다. 일 실시예에서, 제1 층들(408a1, 408a2, 408a3,…, 408an)의 두께는 약 50Å 내지 약 1000Å, 이를테면 약 500Å으로 제어될 수 있고, 각각의 제2 층들(408b1, 408b2, 408b3,…, 408bn)의 두께는 약 50Å 내지 약 1000Å, 이를테면 약 500Å으로 제어될 수 있다. 막 스택(404)은 약 100Å 내지 약 2000Å의 총 두께를 가질 수 있다. 일 실시예에서, 막 스택(404)의 총 두께는 약 3미크론 내지 약 10미크론이며, 기술이 발전함에 따라 변할 것이다.
[0060] 하나 이상의 실시예들의 유전체 막은, 기판(400) 상에 존재하는 막 스택(404)을 갖거나 갖지 않는, 기판(400)의 임의의 표면들 또는 임의의 부분 상에 형성될 수 있다.
[0061] 동작(320)에서, 척킹 전압이 정전 척에 인가되어 기판(400)을 정전 척에 클램핑한다. 일부 실시예들에서, 기판(400)이 정전 척(150)의 상부 표면(192) 상에 포지셔닝되는 경우, 상부 표면(192)은 지지부를 제공하며 처리 동안 기판(400)을 클램핑한다. 정전 척(150)은 기판(400)을 상부 표면(192)에 밀착되게 딱 붙여, 후면 증착을 방지한다. 척킹 전극(210)을 통해 기판(400)에 전기적 바이어스가 제공된다. 척킹 전극(210)은 척킹 전극(210)에 바이어싱 전압을 공급하는 척킹 전원(212)과 전자 통신할 수 있다. 일 실시예에서, 척킹 전압은 약 10볼트 내지 약 3000볼트이다. 일 실시예에서, 척킹 전압은 약 100볼트 내지 약 2000볼트이다. 일 실시예에서, 척킹 전압은 약 200볼트 내지 약 1000볼트이다.
[0062] 동작(320) 중에, 여러 프로세스 파라미터들이 조절될 수 있다. 300㎜ 기판을 처리하기에 적합한 일 실시예에서, 처리 볼륨의 프로세스 압력은 약 2mTorr 내지 약 50mTorr 또는 약 5mTorr 내지 약 20mTorr를 포함하여 약 0.1mTorr 내지 약 10Torr로 유지될 수 있다. 300㎜ 기판을 처리하기에 적합한 일 실시예에서, 처리 온도 및/또는 기판 온도는 약 0℃ 내지 약 50℃; 또는 약 10℃ 내지 약 20℃를 포함하여 약 -50℃ 내지 약 250℃로 유지될 수 있다.
[0063] 일 실시예에서, 일정한 척킹 전압이 기판(400)에 인가된다. 일 실시예에서, 척킹 전압은 정전 척(150)으로 펄스화될 수 있다. 일부 실시예들에서, 기판의 온도를 제어하기 위해 척킹 전압을 인가하면서 후면 가스가 기판(400)에 인가될 수 있다. 후면 가스들은 헬륨(He), 아르곤(Ar) 등을 포함할 수 있지만 이에 제한되는 것은 아니다.
[0064] 동작(330)에서, 정전 척에 제1 RF 바이어스를 인가함으로써 기판 레벨에서 플라즈마가 생성된다. 기판 레벨에서 생성된 플라즈마는 기판과 정전 척 사이의 플라즈마 영역에서 생성될 수 있다. 제1 RF 바이어스는 350㎑, 2㎒, 13.56㎒, 27㎒, 40㎒, 60㎒ 또는 100㎒를 포함하여(그러나 이에 제한되는 것은 아님) 약 350㎑ 내지 약 100㎒ 범위의 주파수에서 약 10와트 내지 약 3000와트일 수 있다. 일 실시예에서, 제1 RF 바이어스는 약 13.56㎒의 주파수에서 약 2500와트 내지 약 3000와트의 전력으로 제공된다. 일 실시예에서, 제1 RF 바이어스는 제2 RF 전극(260)을 통해 정전 척(150)에 제공된다. 제2 RF 전극(260)은 제2 RF 전극(260)에 바이어싱 전압을 공급하는 제1 RF(radio frequency) 전원(230)과 전자 통신할 수 있다. 일 실시예에서, 바이어스 전력은 약 10와트 내지 약 3000와트이다. 일 실시예에서, 바이어스 전력은 약 2000와트 내지 약 3000와트이다. 일 실시예에서, 바이어스 전력은 약 2500와트 내지 약 3000와트이다. 제1 RF(radio frequency) 전원(230)은 350㎑, 2㎒, 13.56㎒, 27㎒, 40㎒, 60㎒ 또는 100㎒를 포함하여(그러나 이에 제한되는 것은 아님) 약 350㎑ 내지 약 100㎒ 범위의 주파수에서 전력을 생성할 수 있다.
[0065] 일부 실시예들에서, 동작(330)은 정전 척에 제2 RF 바이어스를 인가하는 것을 더 포함한다. 제2 RF 바이어스는 350㎑, 2㎒, 13.56㎒, 27㎒, 40㎒, 60㎒ 또는 100㎒를 포함하여(그러나 이에 제한되는 것은 아님) 약 350㎑ 내지 약 100㎒ 범위의 주파수에서 약 10와트 내지 약 3000와트일 수 있다. 일 실시예에서, 제2 RF 바이어스는 약 2㎒의 주파수에서 약 800와트 내지 약 1200와트의 전력으로 제공된다. 일 실시예에서, 제2 RF 바이어스는 척킹 전극(210)을 통해 기판(400)에 제공된다. 척킹 전극(210)은 척킹 전극(210)에 바이어싱 전압을 공급하는 제2 RF 전원(240)과 전자 통신할 수 있다. 일 실시예에서, 바이어스 전력은 약 10와트 내지 약 3000와트이다. 일 실시예에서, 바이어스 전력은 약 500와트 내지 약 1500와트이다. 일 실시예에서, 바이어스 전력은 약 800와트 내지 약 1200와트이다. 제2 RF 전원(240)은 350㎑, 2㎒, 13.56㎒, 27㎒, 40㎒, 60㎒ 또는 100㎒를 포함하여(그러나 이에 제한되는 것은 아님) 약 350㎑ 내지 약 100㎒ 범위의 주파수에서 전력을 생성할 수 있다. 일 실시예에서는, 동작(320)에서 공급된 척킹 전압이 동작(330) 중에 유지된다.
[0066] 일부 실시예들에서, 동작(330) 동안, 제1 RF 바이어스는 척킹 전극(210)을 통해 기판(400)에 제공되고, 제2 RF 바이어스는 제2 RF 전극(260)을 통해 기판(400)에 제공될 수 있다. 일 실시예에서, 제1 RF 바이어스는 약 2500와트(13.56㎒)이고 제2 RF 바이어스는 약 1000와트(2㎒)이다.
[0067] 동작(340) 동안, 전구체 함유 가스 혼합물이 처리 볼륨(126)으로 유동되어 막 스택 상에 유전체 막을 형성한다. 전구체 함유 가스 혼합물은 가스 분배 어셈블리(120)를 거쳐 또는 측벽(101)을 통해 가스 패널(130)로부터 처리 볼륨(126)으로 유동될 수 있다. 전구체 함유 가스 혼합물은 본 명세서에서 설명되는 바와 같은 하나 이상의 전구체를 포함할 수 있다. 전구체 함유 가스 혼합물은 불활성 가스, 희석 가스, 질소 함유 가스, 에천트 가스, 또는 이들의 조합들을 더 포함할 수 있다. 전구체는 액체 또는 가스일 수 있지만, 바람직한 전구체는 재료 계량, 제어 및 챔버로의 전달에 필요한 하드웨어를 단순화하기 위해 실온에서 증기일 것이다. 일부 실시예들에서는, 동작(320) 중에 공급된 척킹 전압이 동작(340) 동안 유지된다. 일부 실시예들에서, 동작(320) 동안 설정된 프로세스 조건들 및 동작(330) 동안 형성된 플라즈마는 동작(340) 동안 유지된다.
[0068] 일부 실시예들에서, 전구체 함유 가스 혼합물은 하나 이상의 희석 가스들을 더 포함한다. 무엇보다도, 헬륨(He), 아르곤(Ar), 크세논(Xe), 수소(H2), 질소(N2), 암모니아(NH3), 또는 이들의 조합들과 같은 적절한 희석 가스들이, 필요한 경우, 가스 혼합물에 첨가될 수 있다. 아르곤(Ar), 헬륨(He) 및 질소(N2)는 유전체 막의 밀도와 증착률을 제어하는 데 사용된다. 일부 경우들에, N2 및/또는 NH3의 첨가는 아래에서 논의되는 바와 같이 유전체 막의 수소 비율을 제어하는 데 사용될 수 있다. 대안으로, 증착 중에 희석 가스들은 사용되지 않을 수 있다.
[0069] 일부 실시예들에서, 전구체 함유 가스 혼합물은 하나 이상의 질소 함유 가스들을 더 포함한다. 적절한 질소 함유 화합물들은 예를 들어, 피리딘, 지방족 아민, 아민류, 니트릴류, 암모니아 및 유사한 화합물들을 포함한다.
[0070] 일부 실시예들에서, 전구체 함유 가스 혼합물은 불활성 가스를 더 포함한다. 일부 실시예들에서, 아르곤(Ar) 및/또는 헬륨(He)과 같은 불활성 가스가 전구체 함유 가스 혼합물과 함께 처리 볼륨(126)으로 공급될 수 있다. 질소(N2) 및 산화질소(NO)와 같은 다른 불활성 가스들이 유전체 막의 밀도와 증착률을 제어하는 데 또한 사용될 수 있다. 추가로, 유전체 막 재료의 특성들을 수정하기 위해 다양한 다른 처리 가스들이 전구체 함유 가스 혼합물에 첨가될 수 있다. 일 실시예에서, 다른 처리 가스들은 수소(H2), 암모니아(NH3), 수소(H2)와 질소(N2)의 혼합물, 또는 이들의 조합들과 같은 반응성 가스들일 수 있다. H2 및/또는 NH3의 첨가는 증착된 유전체 막의 수소 비율을 제어하는 데 사용될 수 있다. 유전체 막에 존재하는 수소 비율은 반사율과 같은 층 특성들에 대한 제어를 제공한다.
[0071] 일부 실시예들에서, 전구체 함유 가스 혼합물은 에천트 가스를 더 포함한다. 적절한 에천트 가스들은 염소(Cl2), 탄소 사불화물(CF4), 질소 삼불화물(NF3), 또는 이들의 조합들을 포함한다.
[0072] 일부 실시예들에서, 동작(340) 동안 기판 상에 유전체 막(412)이 형성된 후, 유전체 막(412)은 수소 라디칼들에 노출된다. 일부 실시예들에서, 유전체 막(412)은 동작(340)의 증착 프로세스 동안 수소 라디칼들에 노출된다. 일부 실시예들에서, 수소 라디칼들은 RPS에서 형성되고 처리 영역으로 전달된다.
[0073] 동작(350)에서는, 유전체 막(412)이 기판 상에 형성된 후, 기판이 디척킹(de-chuck)된다. 동작(350) 중에, 척킹 전압은 차단된다. 반응성 가스들은 차단되고 선택적으로 처리 챔버로부터 퍼지된다. 일 실시예에서는, 동작(350) 중에 RF 전력이 감소된다(예컨대, ~200W). 선택적으로, 제어기(110)는 임피던스 변화를 모니터링하여 정전하들이 RF 경로를 통해 접지로 소산되는지 여부를 결정한다. 정전 척으로부터 기판이 디척킹되면, 나머지 가스들은 처리 챔버로부터 퍼지된다. 처리 챔버는 펌핑(pump down)되고 기판은 리프트 핀들 위로 이동되어 챔버 밖으로 이송된다.
[0074] 유전체 막(412)이 기판 상에 형성된 후, 유전체 막(412)이 에칭 프로세스에서 패터닝 마스크로서 이용되어 계단형 구조와 같은 3차원 구조를 형성할 수 있다. 유전체 막(412)은 표준 포토레지스트 패터닝 기술을 사용하여 패터닝될 수 있다. (도시되지 않은) 패터닝된 포토레지스트가 유전체 막(412) 위에 형성될 수 있다. 유전체 막(412)은 패터닝된 포토레지스트 층에 부합하는 패턴으로 에칭될 수 있으며, 이어서 기판(400) 내로 패턴을 에칭할 수 있다. 유전체 막(412)의 에칭된 부분들 내로 재료가 증착될 수 있다. 과산화수소 및 황산을 포함하는 용액을 사용하여 유전체 막(412)이 제거될 수 있다. 과산화수소 및 황산을 포함하는 하나의 예시적인 용액은 피라냐(Piranha) 용액 또는 피라냐 에칭으로 알려져 있다. 유전체 막(412)은 또한 염소(Cl), 불소(F), 요오드(I), 브롬(Br) 및 아스타틴(At)을 포함하여(그러나 이에 제한되는 것은 아님) 산소 및 할로겐을 함유하는 에칭 화학 물질들을 사용하여 제거될 수 있다. 예를 들어, 유전체 막(412)은 Cl2/O2, CF4/O2 또는 Cl2/O2/CF4를 함유하는 에칭 화학 물질들을 사용하여 제거될 수 있다. 유전체 막(412)은 CMP(chemical mechanical polishing) 프로세스에 의해 제거될 수 있다.
[0075] 프로세스들은 일반적으로, 프로세서에 의해 실행될 때, 프로세스 챔버로 하여금 본 개시내용의 프로세스들을 수행하게 하는 소프트웨어 루틴으로서 메모리에 저장될 수 있다. 소프트웨어 루틴은 또한, 프로세서에 의해 제어되고 있는 하드웨어로부터 원격 위치된 (도시되지 않은) 제2 프로세서에 의해 저장 및/또는 실행될 수 있다. 본 개시내용의 방법의 일부 또는 전부는 또한 하드웨어로 수행될 수 있다. 이에 따라, 프로세스는 소프트웨어로 구현되고 컴퓨터 시스템을 사용하여, 예컨대 주문형 집적 회로 또는 다른 타입의 하드웨어 구현으로서 하드웨어로, 또는 소프트웨어와 하드웨어의 조합으로서 실행될 수 있다. 소프트웨어 루틴은 프로세서에 의해 실행될 때, 프로세스들이 수행되도록 챔버 동작을 제어하는 특수 목적 컴퓨터(제어기)로 범용 컴퓨터를 변환한다.
[0076] 본 개시내용은 이제 다음의 예들을 참조하여 설명된다. 본 개시내용의 여러 예시적인 실시예들을 설명하기 전에, 본 개시내용은 다음 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들에 제한되지 않는다고 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하고 다양한 방식들로 실시 또는 실행될 수 있다.
[0077] 예들
[0078] 예 1
[0079] 희석 가스들로서 Ar(g) 및 He(g)를 사용하여 CVD 반응기에서 기판 페디스털(정전 척)에 걸쳐 200와트 RF(13.56㎒) 전력을 인가하여, 100℃의 온도, 400mTorr의 압력에서 프로세스 가스들로서 30sccm SiH4, 100sccm NH3 및 N2를 유동시킴으로써 저온 고품질 실리콘 질화물 유전체 막이 제작되었다. 결과적인 유전체 막은 1.82(633㎚)의 굴절률(RI: refractive index)을 갖는데, 이는 동일한 온도에서 PECVD로부터 형성된 유전체 막보다 훨씬 더 높다. RI는 전력을 (더 높게) 그리고 압력을 (더 낮게) 조정함으로써 개선되었다. 1차 및 2차 RF는 350㎑, 2㎒, 13.56㎒, 27㎒, 40㎒, 60㎒, 100㎒의 임의의 조합일 수 있다.
[0080] 본 명세서에서 논의된 재료들 및 방법들을 설명하는 맥락에서(특히 다음의 청구항들의 맥락에서) 단수 표현들 및 유사한 지시 대상들의 사용은 본 명세서에서 달리 지시되거나 맥락상 명백하게 모순되지 않는 한 단수와 복수 모두를 커버하는 것으로 해석되어야 한다. 본 명세서에서 달리 지시하지 않는 한, 본 명세서에서 값들의 범위들의 언급은 단지, 범위 내에 속하는 각각의 개별 값을 개별적으로 언급하는 간단한 전달법(shorthand method)의 역할을 하는 것으로 의도되며, 각각의 개별 값은 이것이 마치 본 명세서에서 개별적으로 언급된 것처럼 명세서에 포함된다. 본 명세서에서 설명되는 모든 방법들은 본 명세서에서 달리 지시되거나 아니면 맥락상 명백하게 모순되지 않는 한 임의의 적절한 순서로 수행될 수 있다. 본 명세서에서 제공되는 임의의 그리고 모든 예들 또는 예시 언어(예컨대, "이를테면")의 사용은 단지 재료들 및 방법들을 더 잘 분명히 하는 것으로 의도되며, 달리 청구되지 않는 한 범위에 제한을 두지 않는다. 본 명세서의 어떤 언어도 개시된 재료들 및 방법들의 실시에 필수적인 임의의 청구되지 않은 엘리먼트를 나타내는 것으로 해석되지 않아야 한다.
[0081] 본 명세서 전반에 걸쳐 "일 실시예," "특정 실시예들," "하나 이상의 실시예들" 또는 "한 실시예"에 대한 언급은 실시예와 관련하여 설명된 특정한 특징, 구조, 재료 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서 본 명세서 전반에 걸쳐 다양한 위치들에서 "하나 이상의 실시예들에서," "특정 실시예들에서," "일 실시예에서" 또는 "한 실시예에서"와 같은 문구들의 출현들이 반드시 본 개시내용의 동일한 실시예를 의미하는 것은 아니다. 게다가, 특정한 특징들, 구조들, 재료들 또는 특성들은 하나 이상의 실시예들에서 임의의 적당한 방식으로 결합될 수 있다.
[0082] 본 명세서의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 이러한 실시예들은 단지 본 개시내용의 원리들 및 적용들의 예시일 뿐이라고 이해되어야 한다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있음이 당해 기술분야에서 통상의 지식을 가진 자들에게 명백할 것이다. 따라서 본 개시내용은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변형들을 포함하는 것으로 의도된다.

Claims (15)

  1. 기판을 처리하는 방법으로서,
    정전 척 상에 포지셔닝된 기판을 갖는 처리 챔버의 처리 볼륨 내로 전구체 함유 가스 혼합물을 유동시키는 단계;
    상기 기판을 약 0.1mTorr 내지 약 10Torr 범위의 압력으로 그리고 약 -50℃ 내지 약 150℃ 범위의 온도로 유지하는 단계; 및
    상기 정전 척에 제1 RF 바이어스를 인가함으로써 기판 레벨에서 플라즈마를 생성하여 상기 기판 상에 유전체 막을 증착하는 단계
    를 포함하고,
    상기 유전체 막은 633 nm에서 약 1.5 내지 약 3 범위의 굴절률을 갖고 4 초과의 유전 상수를 갖는 고-k 유전체 막인,
    기판을 처리하는 방법.
  2. 제1 항에 있어서,
    상기 기판 레벨에서 상기 플라즈마를 생성하기 위해 상기 정전 척에 제2 RF 바이어스를 인가하는 단계를 더 포함하며,
    상기 제2 RF 바이어스는 약 350㎑ 내지 약 100㎒ 범위의 주파수에서 약 10와트 내지 약 3000와트 범위의 전력으로 제공되거나, 또는
    상기 제2 RF 바이어스는 약 2㎒의 주파수에서 약 800와트 내지 약 1200와트 범위의 전력으로 제공되는,
    기판을 처리하는 방법.
  3. 제1 항에 있어서,
    상기 제1 RF 바이어스는 약 350㎑ 내지 약 100㎒ 범위의 주파수에서 약 10와트 내지 약 3000와트 범위의 전력으로 제공되거나, 또는
    상기 제1 RF 바이어스는 약 13.56㎒의 주파수에서 약 2500와트 내지 약 3000와트 범위의 전력으로 제공되는,
    기판을 처리하는 방법.
  4. 제1 항에 있어서,
    상기 정전 척 상에 포지셔닝된 상기 기판에 척킹 전압을 인가하는 단계를 더 포함하는,
    기판을 처리하는 방법.
  5. 제1 항에 있어서,
    상기 전구체 함유 가스 혼합물은 실란(SiH4), 트리에톡시실란(SiH(OEt)3), 테트라에톡시실란(테트라에틸 오소실리케이트; Si(OEt)4 또는 TEOS), 디실란(Si2H6), SiH(CH3)3, 디메틸실란(SiH2(CH3)2), 메틸실란(SiH3CH3), 디클로로실란(SiH2Cl2), 실리콘 사염화물(SiCl4), 실리콘 사불화물(SiF4), 트리클로로실란(HSiCl3), 메틸실란(CH3SiH3), 트리메틸실란(C3H10Si), 1,1,3,3-테트라메틸디실록산(TMDZ), 1,3,5-트리실라펜탄(TSP), (비스(3차부틸아미노)실란(BTBAS), (비스(디에틸아미노)실란(BDEAS), 트리스(디메틸아미노)실란(TDMAS), (Si[N(tBu)CH=CHN(tBu)](OEt)2 (Si-TBES), Si[N(tBu)CH=CHN(tBu)](H)NH2 (Si-TBAS), 게르만(GeH4), 게르마늄 사염화물(GeCl4), 게르마늄 사불화물(GeF4), t-부틸게르만(GeH(CH3)3), N2O, O2, NH3, N2, H2, C2H2 또는 C3H6 중에서 선택된 하나 이상의 전구체를 포함하는,
    기판을 처리하는 방법.
  6. 제1 항에 있어서,
    상기 전구체 함유 가스 혼합물은 헬륨(He), 아르곤(Ar), 크세논(Xe), 크립톤(Kr), 질소(N2) 또는 수소(H2) 중에서 선택된 하나 이상의 희석 가스를 포함하는,
    기판을 처리하는 방법.
  7. 제1 항에 있어서,
    상기 유전체 막은 실리콘, 실리콘 질화물, 실리콘 탄화물, 실리콘 산화물, 실리콘 산탄화물, 실리콘 산탄질화물, 실리콘 산질화물, 티타늄 질화물, 또는 산화물과 질화물의 합성물 중 하나 이상을 포함하는,
    기판을 처리하는 방법.
  8. 기판을 처리하는 방법으로서,
    정전 척 상에 포지셔닝된 기판을 갖는 처리 챔버의 처리 볼륨 내로 전구체 함유 가스 혼합물을 유동시키는 단계 ― 상기 전구체 함유 가스 혼합물은 실란(SiH4), 트리에톡시실란(SiH(OEt)3), 테트라에톡시실란(테트라에틸 오소실리케이트; Si(OEt)4 또는 TEOS), 디실란(Si2H6), SiH(CH3)3, 디메틸실란(SiH2(CH3)2), 메틸실란(SiH3CH3), 디클로로실란(SiH2Cl2), 실리콘 사염화물(SiCl4), 실리콘 사불화물(SiF4), 트리클로로실란(HSiCl3), 메틸실란(CH3SiH3), 트리메틸실란(C3H10Si), 1,1,3,3-테트라메틸디실록산(TMDZ), 1,3,5-트리실라펜탄(TSP), (비스(3차부틸아미노)실란(BTBAS), (비스(디에틸아미노)실란(BDEAS), 트리스(디메틸아미노)실란(TDMAS), (Si[N(tBu)CH=CHN(tBu)](OEt)2 (Si-TBES), Si[N(tBu)CH=CHN(tBu)](H)NH2 (Si-TBAS), 게르만(GeH4), 게르마늄 사염화물(GeCl4), 게르마늄 사불화물(GeF4), t-부틸게르만(GeH(CH3)3), N2O, O2, NH3, N2, H2, C2H2 또는 C3H6 중에서 선택된 하나 이상의 전구체를 포함함 ―;
    상기 기판을 약 0.1mTorr 내지 약 10Torr 범위의 압력으로 그리고 약 -50℃ 내지 약 150℃ 범위의 온도로 유지하는 단계; 및
    상기 정전 척에 제1 RF 바이어스 및 제2 RF 바이어스를 인가함으로써 기판 레벨에서 플라즈마를 생성하여 상기 기판 상에 유전체 막을 증착하는 단계
    를 포함하고,
    상기 유전체 막은 633 nm에서 약 1.5 내지 약 3 범위의 굴절률을 갖고 4 초과의 유전 상수를 갖는 고-k 유전체 막인,
    기판을 처리하는 방법.
  9. 제8 항에 있어서,
    상기 제1 RF 바이어스는 약 13.56㎒의 주파수에서 약 2500와트 내지 약 3000와트 범위의 전력으로 제공되는,
    기판을 처리하는 방법.
  10. 제8 항에 있어서,
    상기 제2 RF 바이어스는 약 2㎒의 주파수에서 약 800와트 내지 약 1200와트 범위의 전력으로 제공되는,
    기판을 처리하는 방법.
  11. 기판을 처리하는 방법으로서,
    정전 척 상에 포지셔닝된 기판을 갖는 프로세스 챔버의 처리 볼륨 내로 전구체 함유 가스 혼합물을 유동시키는 단계;
    상기 처리 볼륨을 약 0.1mTorr 내지 약 10Torr 범위의 압력으로 유지하고 그리고 상기 기판을 약 -50℃ 내지 약 150℃ 범위의 온도로 유지하는 단계;
    상기 정전 척에 제1 RF 바이어스 및 제2 RF 바이어스를 인가함으로써 기판 레벨에서 플라즈마를 생성하여 상기 기판 상에 유전체 막을 증착하는 단계 ― 상기 유전체 막은 633 nm에서 약 1.5 내지 약 3 범위의 굴절률을 갖고 4 초과의 유전 상수를 갖는 고-k 유전체 막임 ―;
    상기 유전체 막 위에 패터닝된 포토레지스트 층을 형성하는 단계;
    상기 유전체 막의 에칭된 부분들을 제공하도록, 상기 패터닝된 포토레지스트 층에 대응하는 패턴으로 상기 유전체 막을 에칭하는 단계;
    상기 기판 내로 상기 패턴을 에칭하는 단계; 및
    상기 유전체 막의 에칭된 부분들 내로 재료를 증착하는 단계
    를 포함하는,
    기판을 처리하는 방법.
  12. 제11 항에 있어서,
    상기 제1 RF 바이어스는 약 350㎑ 내지 약 100㎒의 주파수에서 약 10와트 내지 약 3000와트 범위의 전력으로 제공되는,
    기판을 처리하는 방법.
  13. 제11 항에 있어서,
    상기 제2 RF 바이어스는 약 350㎑ 내지 약 100㎒ 범위의 주파수에서 약 10와트 내지 약 3000와트 범위의 전력으로 제공되는,
    기판을 처리하는 방법.
  14. 제11 항에 있어서,
    상기 전구체 함유 가스 혼합물은 실란(SiH4), 트리에톡시실란(SiH(OEt)3), 테트라에톡시실란(테트라에틸 오소실리케이트; Si(OEt)4 또는 TEOS), 디실란(Si2H6), SiH(CH3)3, 디메틸실란(SiH2(CH3)2), 메틸실란(SiH3CH3), 디클로로실란(SiH2Cl2), 실리콘 사염화물(SiCl4), 실리콘 사불화물(SiF4), 트리클로로실란(HSiCl3), 메틸실란(CH3SiH3), 트리메틸실란(C3H10Si), 1,1,3,3-테트라메틸디실록산(TMDZ), 1,3,5-트리실라펜탄(TSP), (비스(3차부틸아미노)실란(BTBAS), (비스(디에틸아미노)실란(BDEAS), 트리스(디메틸아미노)실란(TDMAS), (Si[N(tBu)CH=CHN(tBu)](OEt)2 (Si-TBES), Si[N(tBu)CH=CHN(tBu)](H)NH2 (Si-TBAS), 게르만(GeH4), 게르마늄 사염화물(GeCl4), 게르마늄 사불화물(GeF4), t-부틸게르만(GeH(CH3)3), N2O, O2, NH3, N2, H2, C2H2 또는 C3H6 중에서 선택된 하나 이상의 전구체를 포함하는,
    기판을 처리하는 방법.
  15. 제11 항에 있어서,
    상기 전구체 함유 가스 혼합물은 헬륨(He), 아르곤(Ar), 크세논(Xe), 크립톤(Kr), 질소(N2) 또는 수소(H2) 중에서 선택된 하나 이상의 희석 가스를 포함하는,
    기판을 처리하는 방법.
KR1020217004525A 2018-07-19 2019-07-15 저온 고품질 유전체 막들 KR102510966B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862700482P 2018-07-19 2018-07-19
US62/700,482 2018-07-19
PCT/US2019/041781 WO2020018411A1 (en) 2018-07-19 2019-07-15 Low temperature high-quality dielectric films

Publications (2)

Publication Number Publication Date
KR20210021115A KR20210021115A (ko) 2021-02-24
KR102510966B1 true KR102510966B1 (ko) 2023-03-15

Family

ID=69163080

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217004525A KR102510966B1 (ko) 2018-07-19 2019-07-15 저온 고품질 유전체 막들

Country Status (7)

Country Link
US (2) US10840088B2 (ko)
JP (1) JP2021531648A (ko)
KR (1) KR102510966B1 (ko)
CN (1) CN112437971A (ko)
SG (1) SG11202012854SA (ko)
TW (1) TWI830751B (ko)
WO (1) WO2020018411A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10665493B1 (en) * 2018-11-06 2020-05-26 Mikro Mesa Technology Co., Ltd. Micro device electrostatic chuck
US11538677B2 (en) 2020-09-01 2022-12-27 Applied Materials, Inc. Systems and methods for depositing high density and high tensile stress films
US20220115227A1 (en) * 2020-10-14 2022-04-14 Changxin Memory Technologies, Inc. Semiconductor structure preparation process and semiconductor structure
TWI742902B (zh) * 2020-10-30 2021-10-11 台灣奈米碳素股份有限公司 利用電漿輔助原子層沉積技術製造半導體裝置的方法
CN116497340B (zh) * 2023-06-21 2023-09-12 上海陛通半导体能源科技股份有限公司 一种形成低温碳氧化硅薄膜的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040200417A1 (en) * 2002-06-05 2004-10-14 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4454675B2 (ja) * 1996-07-09 2010-04-21 アプライド マテリアルズ インコーポレイテッド ウェハ上に窒化メタル膜を構築する方法
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6521546B1 (en) * 2000-06-14 2003-02-18 Applied Materials, Inc. Method of making a fluoro-organosilicate layer
US6465366B1 (en) * 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
KR100853903B1 (ko) * 2001-03-20 2008-08-25 맷슨 테크놀로지, 인크. 비교적 높은 유전율을 갖는 코팅을 기판 상에 증착하는 방법
US6709714B2 (en) * 2001-10-23 2004-03-23 Tien Tsai Lin Method and apparatus of producing high-density polyidimide (HPI) film
KR101159070B1 (ko) * 2003-03-11 2012-06-25 삼성전자주식회사 고유전율 산화막 형성방법, 이 방법으로 형성된 유전막이구비된 커패시터 및 그 제조방법
US7611758B2 (en) * 2003-11-06 2009-11-03 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film
JP5960384B2 (ja) * 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
US8361906B2 (en) * 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
JP5896595B2 (ja) 2010-10-20 2016-03-30 ラム リサーチ コーポレーションLam Research Corporation 2層rf構造のウエハ保持体
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10246772B2 (en) * 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
DE102015106368B4 (de) * 2015-04-24 2017-03-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Beschichteter Gegenstand und Verfahren zur Herstellung eines beschichteten Gegenstands
JP7235683B2 (ja) * 2017-06-08 2023-03-08 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度低温炭素膜

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040200417A1 (en) * 2002-06-05 2004-10-14 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices

Also Published As

Publication number Publication date
SG11202012854SA (en) 2021-01-28
KR20210021115A (ko) 2021-02-24
WO2020018411A1 (en) 2020-01-23
US10840088B2 (en) 2020-11-17
US20200027726A1 (en) 2020-01-23
CN112437971A (zh) 2021-03-02
JP2021531648A (ja) 2021-11-18
TWI830751B (zh) 2024-02-01
US11430655B2 (en) 2022-08-30
TW202025212A (zh) 2020-07-01
US20210043450A1 (en) 2021-02-11

Similar Documents

Publication Publication Date Title
KR102510966B1 (ko) 저온 고품질 유전체 막들
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
US11043372B2 (en) High-density low temperature carbon films for hardmask and other patterning applications
CN109643639B (zh) 用于间隔件和硬掩模应用的硼烷介导的从硅烷和烷基硅烷物质脱氢的工艺
KR102384484B1 (ko) 펄싱된 플라즈마 노출을 사용하여 플라즈마 강화된 원자층 증착
US20160329206A1 (en) Methods of modulating residual stress in thin films
US10403502B2 (en) Boron doped tungsten carbide for hardmask applications
US20240087894A1 (en) High density carbon films for patterning applications
US11393678B2 (en) Low-k dielectric films
US10593543B2 (en) Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
US11859275B2 (en) Techniques to improve adhesion and defects for tungsten carbide film
KR20180124788A (ko) 트렌치의 측벽 또는 평탄면 상에 실리콘 질화물막을 선택적으로 형성하기 위한 방법
WO2022005700A1 (en) Methods for producing high-density doped-carbon films for hardmask and other patterning applications
TW202415796A (zh) 用於圖案化應用的高密度碳膜

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant