KR102462630B1 - 피처리체를 처리하는 방법 - Google Patents

피처리체를 처리하는 방법 Download PDF

Info

Publication number
KR102462630B1
KR102462630B1 KR1020197006414A KR20197006414A KR102462630B1 KR 102462630 B1 KR102462630 B1 KR 102462630B1 KR 1020197006414 A KR1020197006414 A KR 1020197006414A KR 20197006414 A KR20197006414 A KR 20197006414A KR 102462630 B1 KR102462630 B1 KR 102462630B1
Authority
KR
South Korea
Prior art keywords
degrees
angle
holding structure
axis
layer
Prior art date
Application number
KR1020197006414A
Other languages
English (en)
Other versions
KR20190034645A (ko
Inventor
슈헤이 오가와
게이고 도요다
요시히데 기하라
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190034645A publication Critical patent/KR20190034645A/ko
Application granted granted Critical
Publication of KR102462630B1 publication Critical patent/KR102462630B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20214Rotation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

일 실시형태에 관한 방법은, (a) 제1 방향과 제2 방향이 제1 각도를 이루도록 유지된 상태에 있어서, 유지 구조체에 의하여 유지된 피처리체를, 처리 용기 내에서 발생시킨 플라즈마에 의하여 에칭하는 제1 공정과, (b) 제1 공정의 실시 후에 있어서, 제1 방향과 제2 방향이 제2 각도를 이루도록 유지된 상태에 있어서, 유지 구조체에 의하여 유지된 피처리체를, 처리 용기 내에서 발생시킨 플라즈마에 의하여 에칭하는 제2 공정을 구비한다.

Description

피처리체를 처리하는 방법
본 발명의 실시형태는, 피(被)처리체를 처리하는 방법에 관한 것이다.
반도체 디바이스와 같은 전자 디바이스의 제조 프로세스에서는, 피처리층 상에 마스크를 형성하고, 당해 마스크의 패턴을 당해 피처리층에 전사하기 위하여 에칭이 행해진다. 마스크로서는, 일반적으로 레지스트 마스크가 이용된다. 레지스트 마스크는, 포토리소그래피 기술에 의하여 형성된다. 따라서, 피에칭층에 형성되는 패턴의 한계 치수는, 포토리소그래피 기술에 의하여 형성되는 레지스트 마스크의 해상 한계나 패턴 밀도 등에 의하여 영향을 받는데, 최근에는 전자 디바이스의 고집적화에 따라, 레지스트 마스크의 해상 한계보다 작은 치수의 패턴을 형성할 것이 요구되게 되었다. 이로 인하여, 특허문헌 1에 기재되어 있는 바와 같이, 레지스트 마스크 상에 실리콘 산화막을 형성함으로써, 당해 레지스트 마스크의 치수를 조절하고, 당해 레지스트 마스크에 의하여 제공되는 개구의 폭을 축소하는 기술이 제안되고 있다.
특허문헌 1에 개시되어 있는 미세 패턴 형성 방법에서는, 미세 패턴을 형성하고자 하는 물질막 상에 포토레지스트 패턴을 형성하고, 그 위에 실리콘 산화막을 증착하는데, 하부의 포토레지스트 패턴에 손상을 가하지 않는데 컨포멀(conformal)하게 얇게 형성해야 한다. 또한 이후에, 하부막에 대하여 드라이 에칭을 실시하는데, 초기에는 포토레지스트 패턴의 측벽에 스페이서를 형성하고, 다음으로 포토레지스트 패턴 상에 폴리머막을 형성한다.
특허문헌 1: 일본 공개특허공보 2004-80033호
한편, 최근의 전자 디바이스의 고집적화에 따른 미세화에 의하여, 피처리체 상의 패턴 형성에 있어서, 최소 선폭(CD: Critical Dimension)이나 패턴 형상에 대한 고정밀의 제어가 요구되는 경우가 있지만, 특허문헌 1의 방법과 같이 프로세스의 복잡화를 수반할 수 있다. 특히, 이온에 의한 에칭이 지배적인 재료의 층과 라디칼에 의한 에칭이 지배적인 재료의 층을 프로세스의 복잡화를 수반하지 않고 비교적 높은 선택비로 에칭하기 위한 기술이 요망되고 있다.
일 양태에 있어서는, 플라즈마 처리 장치를 이용하여 피처리체를 에칭하는 방법이 제공된다. 플라즈마 처리 장치는, 피처리체를 유지하는 유지 구조체와 유지 구조체를 수용하는 처리 용기를 구비한다. 유지 구조체는, 처리 용기 내에 있어서 처리 용기에 마련된 경사축 중심으로 360도의 회전 운동이 가능하다. 경사축은, 유지 구조체와 교차하고 있다. 경사축의 축선은, 처리 용기 내의 천장 측에 마련된 상부 전극의 전극판의 표면과, 유지 구조체에 유지되어 있는 피처리체의 표면에 평행하다. 유지 구조체에 의하여 유지되어 있는 피처리체의 표면과 전극판의 표면이 평행하게 되어 있고 또한 서로 마주보고 있는 상태에서는, 피처리체의 제1 중심 축선과 처리 용기의 제2 중심 축선이 중첩됨과 아울러, 제1 중심 축선의 제1 방향과 제2 중심 축선의 제2 방향이 동일해진다. 제1 중심 축선은, 피처리체의 표면에 수직이다. 제1 방향은, 피처리체의 표면의 상방을 향하고 있다. 제2 중심 축선은, 전극판의 표면에 수직이다. 제2 방향은, 처리 용기의 바닥부 측으로부터, 처리 용기의 천장 측에 마련된 전극판을 향하고 있다. 제1 중심 축선은, 유지 구조체가 경사축 중심으로 회전 운동함으로써, 제2 중심 축선에 대하여 경사진다. 당해 방법은, (a) 제1 방향과 제2 방향이 제1 각도를 이루도록 유지된 상태에 있어서, 피처리체가 유지 구조체에 의하여 유지되어 있는 처리 용기 내에서 플라즈마를 발생시키는 제1 공정과, (b) 제1 공정의 실시 후에 있어서, 제1 방향과 제2 방향이 제2 각도를 이루도록 유지된 상태에 있어서, 피처리체가 유지 구조체에 의하여 유지되어 있는 처리 용기 내에서 플라즈마를 발생시키는 제2 공정을 구비한다. 제1 각도 및 제2 각도는, -180도 이상 +180도 이하이고, 제1 각도의 절댓값과 제2 각도의 절댓값은 다르다.
상기 방법에서는, 제1 공정과 제2 공정에 있어서, 피처리체를 유지하는 유지 구조체의 방향이 다르므로, 각 공정에 있어서는, 처리 용기 내에 있어서 발생하는 플라즈마에 의한 이온 밀도의 차가, 처리 프로세스를 복잡화하지 않고, 용이하게 이용될 수 있다. 특히, 처리 용기 내에 있어서, 가스 상태나 플라즈마 상태 등을 변화시키지 않고, 유지 구조체의 방향을 변경함으로써, 이온 밀도의 차가 용이하게 이용 가능해진다.
일 실시형태에서는, 피처리체는, 적층 영역과 적층 영역의 위에 마련된 마스크를 구비하고, 적층 영역은, 복수의 제1 층과 복수의 제2 층을 구비하며, 제1 층과 제2 층은, 적층 방향을 따라 교대로 적층되고, 제1 층은, 제2 층과 비교하여 등방성 에칭이 지배적인 층이며, 제1 각도의 절댓값은, 제2 각도의 절댓값보다 작고, 제1 공정에서는, 마스크를 이용하여 적층 방향으로 적층 영역을 에칭하며, 에칭에 의하여 적층 영역 내에 홈을 형성하고, 제2 공정에서는, 홈의 내측에 노출된 제1 층을 에칭할 수 있다. 이와 같이, 제1 공정과 제2 공정에 있어서, 피처리체를 유지하는 유지 구조체의 방향이 다르므로, 처리 용기 내에 있어서의 이온 밀도의 차가 이용될 수 있게 되고, 따라서 유지 구조체의 방향을 변경함으로써, 등방성 에칭이 지배적인 층에 대한 에칭과, 이방성 에칭이 지배적인 층에 대한 에칭을 용이하게 전환하는 것이 가능해진다.
일 실시형태에서는, 피처리체는, 반사 방지막과 반사 방지막 상에 마련된 마스크를 구비하고, 제1 각도의 절댓값은, 제2 각도의 절댓값보다 크며, 마스크는, 등방성 에칭이 지배적인 층이고, 제1 공정에서는, 마스크의 표면을 개질하며, 제2 공정에서는, 마스크를 이용하여, 반사 방지막을 에칭한다. 이와 같이, 등방성 에칭이 지배적인 마스크의 표면을 개질하는 제1 공정에서, 제1 각도의 절댓값은, 제2 각도의 절댓값보다 크고, 따라서 피처리체가 전극판으로부터 멀어지므로, 피처리체의 부근의 이온 밀도가 낮아져, 이와 같은 제1 공정의 실시에 의한 마스크의 형상의 열화가 회피될 수 있다.
일 실시형태에서는, 제1 각도 및 제2 각도 중, 절댓값이 작은 쪽의 각도는, -30도 이상 +30도 이하의 범위에 있고, 절댓값이 큰 쪽의 각도는, -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위에 있다. 처리 용기 내에 있어서 발생하는 플라즈마에 의한 이온 밀도의 차는, 유지 구조체에 관한 제1 방향과 제2 방향이 이루는 각도가, -30도 이상 +30도 이하의 범위에 있는 경우와, -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위에 있는 경우에서, 충분히 다를 수 있다.
이상에서 설명한 바와 같이, 이온에 의한 에칭이 지배적인 재료의 층과 라디칼에 의한 에칭이 지배적인 재료의 층을 프로세스의 복잡화를 수반하지 않고 비교적 높은 선택비로 에칭하기 위한 기술이 제공된다.
도 1은, 일 실시형태에 관한 피처리체를 처리하는 방법을 설명하는 흐름도이다.
도 2는, 일 실시형태에 관한 플라즈마 처리 장치를 개략적으로 나타내는 도이다.
도 3은, 일 실시형태에 관한 플라즈마 처리 장치를 개략적으로 나타내는 도이다.
도 4는, 펄스 변조된 바이어스 전압을 나타내는 도이다.
도 5는, 일 실시형태의 플라즈마원을 나타내는 도이다.
도 6은, 일 실시형태의 플라즈마원을 나타내는 도이다.
도 7은, 일 실시형태에 관한 유지 구조체를 나타내는 단면도이다.
도 8은, 일 실시형태에 관한 유지 구조체를 나타내는 단면도이다.
도 9는, 도 1에 나타내는 방법의 일 실시예를 나타내는 흐름도이다.
도 10은, (a)부~(c)부를 구비하고, 도 10의 (a)부는, 도 9에 나타내는 방법의 처리 전의 웨이퍼의 단면 구조를 나타내며, 도 10의 (b)부~(c)부는, 도 9에 나타내는 방법의 각 처리 후의 웨이퍼의 단면 구조를 나타낸다.
도 11은, 도 1에 나타내는 방법의 일 실시예를 나타내는 흐름도이다.
도 12는, (a)부~(c)부를 구비하고, 도 12의 (a)부는, 도 11에 나타내는 방법의 처리 전의 웨이퍼의 단면 구조를 나타내며, 도 12의 (b)부~(c)부는, 도 11에 나타내는 방법의 각 처리 후의 웨이퍼의 단면 구조를 나타낸다.
도 13은, 도 1에 나타내는 방법의 일 실시예를 나타내는 흐름도이다.
도 14는, (a)부~(c)부를 구비하고, 도 14의 (a)부는, 도 13에 나타내는 방법의 처리 전의 웨이퍼의 단면 구조를 나타내며, 도 14의 (b)부~(c)부는, 도 13에 나타내는 방법의 각 처리 후의 웨이퍼의 단면 구조를 나타낸다.
이하, 도면을 참조하여 다양한 실시형태에 대하여 상세하게 설명한다. 또한, 각 도면에 있어서 동일 또는 상당 부분에 대해서는 동일한 부호를 붙이는 것으로 한다.
도 1은, 일 실시형태의 방법을 나타내는 흐름도이다. 도 1에 나타내는 일 실시형태의 방법(MT)은, 피처리체(이하, "웨이퍼"라고 하는 경우가 있음)를 처리하는 방법이다. 방법(MT)은, 도 1에 나타내는 바와 같이, 공정 ST1~ST3을 구비한다.
도 2 및 도 3은, 플라즈마 처리 장치의 일례(플라즈마 처리 장치(10))를 나타내는 도이다. 도 2에 있어서는, 후술하는 유지 구조체가 경사져 있지 않은 상태의 플라즈마 처리 장치가 나타나 있고, 도 3에 있어서는, 유지 구조체가 경사져 있는 상태의 플라즈마 처리 장치가 나타나 있다. 도 2 및 도 3은, 일 실시형태에 관한 플라즈마 처리 장치를 개략적으로 나타내는 도이다. 보다 구체적으로는, 도 2 및 도 3에는, 피처리체를 처리하는 방법의 다양한 실시형태에서 이용 가능한 플라즈마 처리 장치의 단면 구조가 개략적으로 나타나 있고, 연직 방향으로 뻗는 축선(PX)(처리 용기(12)의 제2 중심 축선)을 포함하는 일 평면에 있어서 처리 용기를 파단하여, 당해 플라즈마 처리 장치를 나타내고 있다. 도 2 및 도 3에 나타내는 바와 같이, 플라즈마 처리 장치(10)는, 용량 결합형 플라즈마 에칭 장치이다.
도 2 및 도 3에 나타내는 플라즈마 처리 장치(10)는, 처리 용기(12), 가스 공급계(14), 플라즈마원(16)(상부 전극), 유지 구조체(18), 배기계(20), 바이어스 전력 공급부(22), 및 제어부(Cnt)를 구비하고 있다. 처리 용기(12)는, 대략 원통 형상을 갖고 있다. 처리 용기(12)의 중심 축선은, 축선(PX)과 일치한다. 이 처리 용기(12)는, 웨이퍼(W)에 대하여 플라즈마 처리를 행하기 위한 공간(S)을 제공하고 있다.
축선(PX)은, 플라즈마원(16)(상부 전극)의 유전체판(194)(전극판) 등이 마련된 처리 용기(12)의 천장 측으로부터, 유지 구조체(18)나 자동 압력 제어기(20a) 등이 마련된 처리 용기(12)의 바닥부 측을 향하는 방향으로 뻗어 있다. 또한, 도 2 및 도 3에는, 처리 용기(12)의 내부의 위치를 특정하기 위한 XYZ 직교 좌표계가 나타나 있다(특정이란 찾아낸다는 의미이고, 이하 동일하다). XYZ 직교 좌표계는, 서로 수직인 X축, Y축, Z축과, 이들 3개의 축이 교차하는 원점(OR)을 구비한다. 도 2는, 플라즈마 처리 장치(10)의 내부를 Y방향을 향하여 본 도라고 하고, 도 3은, 플라즈마 처리 장치(10)의 내부를 X방향과 역방향을 향하여 본 도라고 할 수 있다. 축선(PX)은, Z축에 일치하고, YZ면에 있으며, XY면에 직교한다.
일 실시형태에서는, 처리 용기(12)는, 처리 용기(12)의 높이 방향의 중간 부분(12a), 즉 유지 구조체(18)를 수용하는 부분에 있어서 대략 일정한 폭을 갖고 있다. 또, 처리 용기(12)는, 중간 부분(12a)의 하단으로부터 바닥부를 향함에 따라 서서히 폭이 좁아지는 테이퍼 형상을 이루고 있다. 또, 처리 용기(12)의 바닥부는, 배기구(12e)를 제공하고 있고, 배기구(12e)는 축선(PX)에 대하여 축대칭으로 형성되어 있다.
가스 공급계(14)는, 처리 용기(12) 내에 가스를 공급하도록 구성되어 있다. 가스 공급계(14)는, 가스 공급부(14a) 및 가스 토출 구멍(14e)을 갖고 있다. 가스 공급계(14)의 상세에 대해서는, 후술한다.
플라즈마원(16)은, 처리 용기(12) 내에 공급된 가스를 여기시키도록 구성되어 있다. 일 실시형태에서는, 플라즈마원(16)은, 처리 용기(12)의 천장부에 마련되어 있다. 플라즈마원(16)의 중심 축선은, 축선(PX)과 일치한다. 또한, 플라즈마원(16)의 일례에 관한 상세에 대해서는 후술한다.
유지 구조체(18)는, 처리 용기(12) 내에 있어서 웨이퍼(W)를 유지하도록 구성되어 있다. 이 유지 구조체(18)는, 축선(PX)에 직교하는 제1 축선(AX1) 중심으로 회전 운동 가능하게 구성되어 있다. 제1 축선(AX1)의 축선은, 처리 용기(12) 내의 천장 측에 마련된 플라즈마원(16)(상부 전극)의 유전체판(194)(전극판)의 표면(194a)과, 유지 구조체(18)에 유지되어 있는 웨이퍼(W)의 표면(FS)에 평행하다. 제1 축선(AX1)은, 경사축부(50)(경사축)의 중심 축선에 일치한다. 또, 유지 구조체(18)는, 제1 축선(AX1)에 직교하는 제2 축선(AX2)(웨이퍼(W)의 제1 중심 축선) 중심으로 웨이퍼(W)를 회전시키도록 구성되어 있다. 유지 구조체(18)는, 제1 축선(AX1) 중심의 회전에 의하여, 축선(PX)에 대하여 경사지는 것이 가능하다. 유지 구조체(18)는, 처리 용기(12) 내에 있어서 처리 용기(12)에 마련된 경사축부(50) 중심으로 360도의 회전 운동(자전)이 가능하다. 유지 구조체(18)를 경사시키기 위하여, 플라즈마 처리 장치(10)는, 구동 장치(24)를 갖고 있다. 구동 장치(24)는, 처리 용기(12)의 외부에 마련되어 있으며, 제1 축선(AX1) 중심의 유지 구조체(18)의 회전 운동을 위한 구동력을 발생한다. 또한, 웨이퍼(W)는, 예를 들면 후술하는 도 10의 (a)부에 나타내는 웨이퍼(W1), 도 12의 (a)부에 나타내는 웨이퍼(W2), 도 14의 (a)부에 나타내는 웨이퍼(W3) 중 어느 하나에 대응한다.
제1 축선(AX1)은, 수평 기준면(FA1), XY면, 및 X축에 평행하게 뻗어 있고, Y축 및 Z축에 직교하며, 연직 기준면(FA2)에 직교한다. 수평 기준면(FA1)은, 축선(PX)에 직교하여, 원점(OR)을 포함하고, Z축에 직교하여, XY면에 중첩된다. 수평 기준면(FA1)은, 유지 구조체(18)의 유지부(30) 상에 재치된 웨이퍼(W)의 표면(FS)을 포함한다. 연직 기준면(FA2)은, YZ면에 일치하고, 수평 기준면(FA1), XY면, X축, 제1 축선(AX1)에 직교하며, 축선(PX), 제2 축선(AX2), 원점(OR)을 포함한다. 제1 축선(AX1)은, 축선(PX), 제2 축선(AX2), 연직 기준면(FA2)에 직교하고, 경사축부(50)의 중심 축선에 일치한다. 웨이퍼(W)의 표면(FS)은, 연직 기준면(FA2), 제2 축선(AX2)에 직교하고, X축, 제1 축선(AX1)에 평행하게 뻗는다. 제2 축선(AX2)은, 웨이퍼(W)의 표면(FS)에 수직이다. 제2 축선(AX2)은, 웨이퍼(W)의 중심 축선에 일치한다. 제2 축선(AX2)은, 웨이퍼(W)의 표면(FS)의 중심(CE)을 통과한다. 축선(PX)과 제1 축선(AX1)은, 일점의 교차점(XO)에서 교차한다. 제2 축선(AX2)이 축선(PX)에 대하여 경사져 있는 경우, 축선(PX)과, 제1 축선(AX1)과, 제2 축선(AX2)은, 교차점(XO)에서 교차한다. 교차점(XO)은, 제1 축선(AX1)에 있고, 연직 기준면(FA2), YZ면, 및 ZX면에 있다.
유지 구조체(18)가 경사져 있지 않은 상태에서는, 도 2에 나타내는 바와 같이, 제2 축선(AX2)은 축선(PX)에 일치한다. 이 상태의 경우, 웨이퍼(W)의 표면(FS)은, 축선(PX)에 직교하여, 수평 기준면(FA1), XY면과 중첩되고, 원점(OR)을 포함한다. 표면(FS)의 중심(CE)은, 원점(OR)에 일치하여, 축선(PX), 제2 축선(AX2) 각각에 있다. 제2 축선(AX2)은, 축선(PX)과 중첩되어, 원점(OR)을 포함한다. 웨이퍼(W)의 표면(FS)의 중심(CE)으로부터 유전체판(194)의 표면(194a)까지의 거리가 L[mm]이고, 중심(CE)으로부터 교차점(XO)까지의 거리가 R[mm]이다. 또한, 원점(OR)은, 일 실시형태에 있어서는, 설명의 편의상, 상기와 같이 웨이퍼(W)의 표면(FS)의 중심(CE)에 일치하는 것으로 했지만, 이에 한정되는 것은 아니다.
유지 구조체(18)가 경사져 있지 않은 상태에서는, 유지 구조체(18)에 의하여 유지되어 있는 웨이퍼(W)의 표면(FS)과 유전체판(194)의 표면(194a)이 평행하게 되어 있고 또한 서로 마주보고 있으며, 웨이퍼(W)의 중심 축선(제2 축선(AX2))과 처리 용기(12)의 중심 축선(축선(PX))이 중첩됨과 아울러, 제2 축선(AX2)의 제1 방향(VL1)과 축선(PX)의 제2 방향(VL2)이 동일해진다. 제2 축선(AX2)은, 웨이퍼(W)의 표면(FS)에 수직이다. 제1 방향(VL1)은, 웨이퍼(W)의 표면(FS)의 상방을 향하고 있다. 축선(PX)은, 처리 용기(12)의 천장 측에 있는 유전체판(194)의 표면(194a)에 수직이다. 제2 방향(VL2)은, 처리 용기(12)의 바닥부 측으로부터 처리 용기(12)의 천장 측에 마련된 유전체판(194)을 향하고 있다.
한편, 도 3에 나타내는 바와 같이, 유지 구조체(18)가 경사져 있는 상태에서는, 제2 축선(AX2)은 축선(PX)에 대하여 경사진다. 제2 축선(AX2)은, 유지 구조체(18)가 제1 축선(AX1) 중심으로 회전 운동(자전)함으로써, 제2 축선(AX2)에 대하여 경사진다. 제2 축선(AX2)의 경사각(AN)은, 제2 축선(AX2)과 축선(PX)이 이루는 각도이다. 경사각(AN)은, YZ면 내의 각이다. 제2 축선(AX2)과 축선(PX)은, YZ면에 있다. 제2 축선(AX2)의 경사각(AN)의 값 φ는, 도 3에 나타내는 바와 같이, 웨이퍼(W)의 표면(FS)이 -Y방향을 향하도록 유지 구조체(18)가 제1 축선(AX1) 중심으로 회전 운동하여 경사진 경우에 양의 값을 취하도록 정한다. 웨이퍼(W)의 표면(FS)이 +Y방향을 향하도록 유지 구조체(18)가 제1 축선(AX1) 중심으로 회전 운동하여 경사진 경우에 음의 값을 취한다. 즉, φ는, -180도 이상 +180 이하의 범위에 있다. 또, 제2 축선(AX2)의 경사각(AN)의 값 φ는, 제2 축선(AX2)의 제1 방향(VL1)과 축선(PX)의 제2 방향(VL2)이 이루는 각도의 값이기도 하다. 제2 축선(AX2)이 축선(PX)에 일치하는 경우(유지 구조체(18)가 경사져 있지 않은 경우), 제2 축선(AX2)의 경사각(AN)의 값 φ는 제로이다. 유지 구조체(18)의 상세에 대해서는 후술한다.
웨이퍼(W)의 표면(FS)의 중심(CE)으로부터 유전체판(194)의 표면(194a)까지의 거리를 H[mm]로 하면, H는, H=L+R×(1-cos(φ))[mm]에 의하여 나타낼 수 있다. φ가, 0도 이상 +180도 이하의 범위에 있어서 단조롭게 증가하면, H도 단조롭게 증가하고, φ가, -180도 이상 0도 이하의 범위에 있어서 단조롭게 증가하면, H는 단조롭게 감소한다. φ가 0도인 경우, H는 최솟값(H=L[mm])이 되고, φ가 ±180도인 경우, H는 최댓값(H=L+2×R[mm])이 된다.
공간(S) 내에 플라즈마가 생성되어 있는 경우에 있어서, 웨이퍼(W)의 표면(FS)의 중심(CE)의 전자 밀도의 값(NE)은, 글로벌 모델에 의하여 예측될 수 있다. 글로벌 모델이란, 균일한 원통 형상의 플라즈마를 근사한 모델이다. 원통 형상 내에 있어서는, 이온의 생성 속도와 손실 속도가 동일하기 때문에, 원통 형상의 구조와 압력이 정해지면, 전자 온도가 산출되고, 전자 온도와 입력 전력이 정해지면, 전자 밀도의 값(NE)이 산출될 수 있다. 이 모델을 이용하면, 전자 밀도의 값(NE)은, 대략 1/H에 비례할 수 있다(NE∝1/H). 따라서, 1/H=1/(L+R×(1-cos(φ)))인 것을 고려하면, 웨이퍼(W)의 표면(FS)의 중심(CE)의 전자 밀도의 값(NE)은, φ가, 0도 이상 +180도 이하의 범위에 있어서 단조롭게 증가하면, NE는 단조롭게 감소하고, φ가, -180도 이상 0도 이하의 범위에 있어서 단조롭게 증가하면, NE도 단조롭게 증가한다. φ가 0도인 경우, NE는 최댓값이 되고, φ가 ±180도인 경우, NE는 최솟값이 된다. 즉, φ가 0도 부근에 있는 경우(예를 들면, -30도 이상 +30도 이하의 범위에 있는 경우)에는 NE가 비교적 크기 때문에, 이방성 에칭에 적합하고, φ가 ±180도 부근에 있는 경우(예를 들면, -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위에 있는 경우)에는 NE가 비교적 작기 때문에, 등방성 에칭에 적합하다.
배기계(20)는, 처리 용기(12) 내의 공간을 감압하도록 구성되어 있다. 일 실시형태에서는, 배기계(20)는, 자동 압력 제어기(20a), 터보 분자 펌프(20b), 및 드라이 펌프(20c)를 갖고 있다. 터보 분자 펌프(20b)는, 자동 압력 제어기(20a)의 하류에 마련되어 있다. 드라이 펌프(20c)는, 밸브(20d)를 개재하여 처리 용기(12) 내의 공간에 직결되어 있다. 또, 드라이 펌프(20c)는, 밸브(20e)를 개재하여 터보 분자 펌프(20b)의 하류에 마련되어 있다.
자동 압력 제어기(20a) 및 터보 분자 펌프(20b)를 포함하는 배기계는, 처리 용기(12)의 바닥부에 장착되어 있다. 또, 자동 압력 제어기(20a) 및 터보 분자 펌프(20b)를 포함하는 배기계는, 유지 구조체(18)의 바로 아래에 마련되어 있다. 따라서, 이 플라즈마 처리 장치(10)에서는, 유지 구조체(18)의 주위로부터 배기계(20)까지의 균일한 배기의 흐름을 형성할 수 있다. 이로써, 효율이 좋은 배기가 달성될 수 있다. 또, 처리 용기(12) 내에서 생성되는 플라즈마를 균일하게 확산시키는 것이 가능하다.
일 실시형태에 있어서, 처리 용기(12) 내에는, 정류(整流) 부재(26)가 마련되어 있어도 된다. 정류 부재(26)는, 하단에 있어서 폐쇄된 대략 통 형상을 갖고 있다. 이 정류 부재(26)는, 유지 구조체(18)를 측방 및 하방으로부터 둘러싸도록, 처리 용기(12)의 내벽면을 따라 뻗어 있다. 일례에 있어서, 정류 부재(26)는, 상부(26a) 및 하부(26b)를 갖고 있다. 상부(26a)는, 일정한 폭의 원통 형상을 갖고 있고, 처리 용기(12)의 중간 부분(12a)의 내벽면을 따라 뻗어 있다. 또, 하부(26b)는, 상부(26a)의 하방에 있어서 상부(26a)에 연속되어 있다. 하부(26b)는, 처리 용기(12)의 내벽면을 따라 서서히 폭이 좁아지는 테이퍼 형상을 갖고 있고, 그 하단에 있어서 평판 형상을 이루고 있다. 이 하부(26b)에는, 다수의 개구(관통 구멍)가 형성되어 있다. 이 정류 부재(26)에 의하면, 정류 부재(26)의 내측, 즉 웨이퍼(W)가 수용되는 공간과, 정류 부재(26)의 외측, 즉 배기 측의 공간과의 사이에 압력차를 형성할 수 있어, 웨이퍼(W)가 수용되는 공간에 있어서의 가스의 체류 시간을 조정하는 것이 가능해진다. 또, 균등한 배기가 실현될 수 있다.
바이어스 전력 공급부(22)는, 웨이퍼(W)에 이온을 끌어들이기 위한 바이어스 전압 및 고주파 바이어스 전력을 선택적으로 유지 구조체(18)에 인가하도록 구성되어 있다. 일 실시형태에서는, 바이어스 전력 공급부(22)는, 제1 전원(22a) 및 제2 전원(22b)을 갖고 있다. 제1 전원(22a)은, 유지 구조체(18)에 인가하는 바이어스 전압으로서, 펄스 변조된 직류 전압(이하, "변조 직류 전압"이라고 함)을 발생한다. 도 4는, 펄스 변조된 직류 전압을 나타내는 도이다. 도 4에 나타내는 바와 같이, 변조 직류 전압은, 전압값이 고레벨을 취하는 기간 TH와 저레벨을 취하는 기간 TL이 교대로 반복되는 전압이다. 변조 직류 전압은, 예를 들면 0~1200[V]의 범위 내의 전압값으로 설정될 수 있다. 변조 직류 전압의 고레벨의 전압값은, 당해 전압값의 범위 내에 있어서 설정되는 전압값이고, 변조 직류 전압의 고레벨의 전압값은, 당해 고레벨의 전압값보다 낮은 전압값이다. 도 4에 나타내는 바와 같이, 기간 TH과 기간 TH에 연속하는 기간 TL과의 합계가 1주기 TC를 구성한다. 또, 변조 직류 전압의 펄스 변조의 주파수는, 1/TC이다. 펄스 변조의 주파수는, 임의로 설정될 수 있지만, 이온의 가속을 가능하게 하는 시스(sheath)를 형성하는 것이 가능한 주파수이고, 예를 들면 400[kHz]이다. 또, 온·듀티비, 즉 1주기 TC에 있어서 기간 TH가 차지하는 비율은, 10~90%의 범위 내의 비율이다.
제2 전원(22b)은, 웨이퍼(W)에 이온을 끌어들이기 위한 고주파 바이어스 전력을 유지 구조체(18)에 공급하도록 구성되어 있다. 이 고주파 바이어스 전력의 주파수는, 이온을 웨이퍼(W)에 끌어들이는 데에 적합한 임의의 주파수이고, 400[kHz] 이상 13[MHz] 이하가 바람직하며, 예를 들면 400[kHz]이다. 플라즈마 처리 장치(10)에서는, 제1 전원(22a)으로부터의 변조 직류 전압과 제2 전원(22b)으로부터의 고주파 바이어스 전력을 선택적으로 유지 구조체(18)에 공급할 수 있다. 변조 직류 전압과 고주파 바이어스 전력의 선택적인 공급은, 제어부(Cnt)에 의하여 제어될 수 있다.
제어부(Cnt)는, 예를 들면 프로세서, 기억부, 입력 장치, 표시 장치 등을 구비하는 컴퓨터이다. 제어부(Cnt)는, 입력된 레시피에 근거하는 프로그램에 따라 동작하여, 제어 신호를 송출한다. 플라즈마 처리 장치(10)의 각부는, 제어부(Cnt)로부터의 제어 신호에 의하여 제어된다. 제어부(Cnt)는, 특히, 도 1의 흐름도에 나타내는 방법(MT)을, 플라즈마 처리 장치(10)의 각부를 이용하여, 실행한다.
이하, 가스 공급계(14), 플라즈마원(16), 유지 구조체(18)의 각각에 대하여 설명한다.
[가스 공급계]
가스 공급계(14)는, 상술한 바와 같이 가스 공급부(14a)를 갖고 있다. 가스 공급부(14a)는, 1 이상의 가스 토출 구멍(14e)을 개재하여 처리 용기(12) 내에 처리 가스를 공급한다. 도 2에 있어서는, 가스 토출 구멍(14e)의 개수는, "1"이지만, 복수의 가스 토출 구멍(14e)이 마련되어 있어도 된다. 복수의 가스 토출 구멍(14e)은, 축선(PX)에 대하여 둘레 방향으로 균등하게 배열되어 있어도 된다.
가스 공급부(14a)는, 1 이상의 가스 소스, 1 이상의 유량 제어기, 1 이상의 밸브를 가질 수 있다. 따라서, 가스 공급부(14a)의 1 이상의 가스 소스로부터의 처리 가스의 유량은 조정 가능하게 되어 있다. 가스 공급부(14a)로부터의 처리 가스의 유량 및 당해 처리 가스의 공급의 타이밍은, 제어부(Cnt)에 의하여 개별적으로 조정된다.
[플라즈마원]
도 5는, 일 실시형태의 플라즈마원을 나타내는 도이고, 도 2의 Y방향으로부터 본 플라즈마원을 나타내는 도이다. 또, 도 6은, 일 실시형태의 플라즈마원을 나타내는 도이고, 연직 방향으로부터 본 플라즈마원을 나타내고 있다. 도 2 및 도 5에 나타내는 바와 같이, 처리 용기(12)의 천장부에는 개구가 마련되어 있고, 당해 개구는, 유전체판(194)에 의하여 폐쇄되어 있다. 유전체판(194)은, 판 형상체이고, 석영 유리, 또는 세라믹으로 구성되어 있다. 플라즈마원(16)은, 이 유전체판(194) 상에 마련되어 있다.
보다 구체적으로는, 도 5 및 도 6에 나타내는 바와 같이, 플라즈마원(16)은, 고주파 안테나(140) 및 실드 부재(160)를 갖고 있다. 고주파 안테나(140)는, 실드 부재(160)에 의하여 덮여 있다. 일 실시형태에서는, 고주파 안테나(140)는, 내측 안테나 소자(142A), 및 외측 안테나 소자(142B)를 포함하고 있다. 내측 안테나 소자(142A)는, 외측 안테나 소자(142B)보다 축선(PX) 가까이에 마련되어 있다. 환언하면, 외측 안테나 소자(142B)는, 내측 안테나 소자(142A)를 둘러싸도록, 내측 안테나 소자(142A)의 외측에 마련되어 있다. 내측 안테나 소자(142A) 및 외측 안테나 소자(142B)의 각각은, 예를 들면 구리, 알루미늄, 스테인리스 등의 도체로 구성되어 있고, 축선(PX)을 중심으로 나선 형상으로 뻗어 있다.
내측 안테나 소자(142A) 및 외측 안테나 소자(142B)는 모두, 복수의 협지체(144)에 협지되어 일체로 되어 있다. 복수의 협지체(144)는, 예를 들면 봉 형상의 부재이고, 축선(PX)에 대하여 방사상으로 배치되어 있다.
실드 부재(160)는, 내측 실드벽(162A) 및 외측 실드벽(162B)을 갖고 있다. 내측 실드벽(162A)은, 연직 방향으로 뻗어 있는 통 형상을 갖고 있고, 내측 안테나 소자(142A)와 외측 안테나 소자(142B)의 사이에 마련되어 있다. 이 내측 실드벽(162A)은, 내측 안테나 소자(142A)를 둘러싸고 있다. 또, 외측 실드벽(162B)은, 연직 방향으로 뻗어 있는 통 형상을 갖고 있고, 외측 안테나 소자(142B)를 둘러싸도록 마련되어 있다.
내측 안테나 소자(142A) 상에는, 내측 실드판(164A)이 마련되어 있다. 내측 실드판(164A)은, 원반 형상을 갖고 있고, 내측 실드벽(162A)의 개구를 막도록 마련되어 있다. 또, 외측 안테나 소자(142B) 상에는, 외측 실드판(164B)이 마련되어 있다. 외측 실드판(164B)은, 환 형상 판이고, 내측 실드벽(162A)과 외측 실드벽(162B)의 사이의 개구를 막도록 마련되어 있다.
내측 안테나 소자(142A), 외측 안테나 소자(142B)에는 각각, 고주파 전원(150A), 고주파 전원(150B)이 접속되어 있다. 고주파 전원(150A) 및 고주파 전원(150B)은, 플라즈마 생성용 고주파 전원이다. 고주파 전원(150A) 및 고주파 전원(150B)은, 내측 안테나 소자(142A) 및 외측 안테나 소자(142B)의 각각에, 동일한 주파수 또는 다른 주파수의 고주파 전력을 공급한다. 예를 들면, 내측 안테나 소자(142A)에 고주파 전원(150A)으로부터 소정의 주파수(예를 들면 40[MHz])의 고주파 전력을 소정의 파워로 공급하면, 처리 용기(12) 내에 형성된 유도 자계에 의하여, 처리 용기(12) 내에 도입된 처리 가스가 여기되어, 웨이퍼(W) 상의 중앙부에 도넛형의 플라즈마가 생성된다. 또, 외측 안테나 소자(142B)에 고주파 전원(150B)으로부터 소정의 주파수(예를 들면 60[MHz])의 고주파를 소정의 파워로 공급하면, 처리 용기(12) 내에 형성된 유도 자계에 의하여, 처리 용기(12) 내에 도입된 처리 가스가 여기되어, 웨이퍼(W) 상의 둘레 가장자리부에 다른 도넛형의 플라즈마가 생성된다. 이들 플라즈마에 의하여, 처리 가스로부터 라디칼이 생성된다.
또한, 고주파 전원(150A) 및 고주파 전원(150B)으로부터 출력되는 고주파 전력의 주파수는, 상술한 주파수에 한정되는 것은 아니다. 예를 들면, 고주파 전원(150A) 및 고주파 전원(150B)으로부터 출력되는 고주파 전력의 주파수는, 13.56[MHz], 27[MHz], 40[MHz], 60[MHz]와 같은 다양한 주파수여도 된다. 단, 고주파 전원(150A) 및 고주파 전원(150B)으로부터 출력되는 고주파에 따라 내측 안테나 소자(142A) 및 외측 안테나 소자(142B)의 전기적 길이를 조정할 필요가 있다.
이 플라즈마원(16)은, 1[mTorr](0.1333[Pa])의 압력의 환경하에 있어서도 처리 가스의 플라즈마를 착화하는 것이 가능하다. 저압 환경하에서는, 플라즈마 중의 이온의 평균 자유 행정이 커진다. 따라서, 희가스 원자의 이온의 스퍼터링에 의한 에칭이 가능해진다. 또, 저압 환경하에서는, 에칭된 물질이 웨이퍼(W)에 재부착되는 것을 억제하면서, 당해 물질을 배기하는 것이 가능하다.
[유지 구조체]
도 7 및 도 8은, 일 실시형태에 관한 유지 구조체를 나타내는 단면도이다. 도 7에는, Y방향(도 2 참조)으로부터 본 유지 구조체의 단면도가 나타나 있고, 도 8에는, X방향(도 2 참조)으로부터 본 유지 구조체의 단면도가 나타나 있다. 도 7 및 도 8에 나타내는 바와 같이, 유지 구조체(18)는, 유지부(30), 용기부(40), 및 경사축부(50)를 갖고 있다.
유지부(30)는, 웨이퍼(W)를 유지하고, 제2 축선(AX2) 중심으로 회전함으로써, 웨이퍼(W)를 회전시키는 기구이다. 또한, 상술한 바와 같이, 제2 축선(AX2)은, 유지 구조체(18)가 경사져 있지 않은 상태에서는, 축선(PX)과 일치한다. 이 유지부(30)는, 정전 척(32), 하부 전극(34), 회전축부(36), 및 절연 부재(35)를 갖고 있다.
정전 척(32)은, 그 상면에 있어서 웨이퍼(W)를 유지하도록 구성되어 있다. 정전 척(32)은, 제2 축선(AX2)을 그 중심 축선으로 하는 대략 원반 형상을 갖고 있고, 절연막의 내층으로서 마련된 전극막을 갖고 있다. 정전 척(32)은, 전극막에 전압이 인가됨으로써, 정전력을 발생한다. 이 정전력에 의하여, 정전 척(32)은, 그 상면에 재치된 웨이퍼(W)를 흡착한다. 이 정전 척(32)과 웨이퍼(W)의 사이에는, He가스와 같은 전열 가스가 공급되도록 되어 있다. 또, 정전 척(32) 내에는, 웨이퍼(W)를 가열하기 위한 히터가 내장되어 있어도 된다. 이러한 정전 척(32)은, 하부 전극(34) 상에 마련되어 있다.
하부 전극(34)은, 제2 축선(AX2)을 그 중심 축선으로 하는 대략 원반 형상을 갖고 있다. 일 실시형태에서는, 하부 전극(34)은, 제1 부분(34a) 및 제2 부분(34b)을 갖고 있다. 제1 부분(34a)은, 제2 축선(AX2)을 따라 뻗어 있는 하부 전극(34)의 중앙 측의 부분이고, 제2 부분(34b)은, 제1 부분(34a)보다 제2 축선(AX2)으로부터 떨어져, 즉 제1 부분(34a)보다 외측으로 뻗어 있는 부분이다. 제1 부분(34a)의 상면 및 제2 부분(34b)의 상면은 연속해 있고, 제1 부분(34a)의 상면 및 제2 부분(34b)의 상면에 의하여 하부 전극(34)의 대략 평탄한 상면이 구성되어 있다. 이 하부 전극(34)의 상면에는, 정전 척(32)이 접하고 있다. 또, 제1 부분(34a)은, 제2 부분(34b)보다 하방으로 돌출하여, 원기둥 형상을 이루고 있다. 즉, 제1 부분(34a)의 하면은, 제2 부분(34b)의 하면보다 하방에 있어서 뻗어 있다. 이 하부 전극(34)은, 알루미늄과 같은 도체로 구성되어 있다. 하부 전극(34)은, 상술한 바이어스 전력 공급부(22)와 전기적으로 접속된다. 즉, 하부 전극(34)에는, 제1 전원(22a)으로부터의 변조 직류 전압, 및 제2 전원(22b)으로부터의 고주파 바이어스 전력이 선택적으로 공급 가능하게 되어 있다. 또, 하부 전극(34)에는, 냉매 유로(34f)가 마련되어 있다. 이 냉매 유로(34f)에 냉매가 공급됨으로써, 웨이퍼(W)의 온도가 제어되도록 되어 있다. 이 하부 전극(34)은, 절연 부재(35) 상에 마련되어 있다.
절연 부재(35)는, 석영, 알루미나와 같은 절연체로 구성되어 있고, 중앙에 있어서 개구한 대략 원반 형상을 갖고 있다. 일 실시형태에서는, 절연 부재(35)는, 제1 부분(35a) 및 제2 부분(35b)을 갖고 있다. 제1 부분(35a)은, 절연 부재(35)의 중앙 측의 부분이며, 제2 부분(35b)은, 제1 부분(35a)보다 제2 축선(AX2)으로부터 떨어져, 즉 제1 부분(35a)보다 외측으로 뻗어 있는 부분이다. 제1 부분(35a)의 상면은, 제2 부분(35b)의 상면보다 하방으로 뻗어 있고, 또, 제1 부분(35a)의 하면도 제2 부분(35b)의 하면보다 하방으로 뻗어 있다. 절연 부재(35)의 제2 부분(35b)의 상면은, 하부 전극(34)의 제2 부분(34b)의 하면에 접하고 있다. 한편, 절연 부재(35)의 제1 부분(35a)의 상면은, 하부 전극(34)의 하면으로부터 이간되어 있다.
회전축부(36)는, 대략 원기둥 형상을 갖고 있고, 하부 전극(34)의 하면에 결합되어 있다. 구체적으로는, 하부 전극(34)의 제1 부분(34a)의 하면에 결합되어 있다. 회전축부(36)의 중심 축선은, 제2 축선(AX2)과 일치하고 있다. 이 회전축부(36)에 대하여 회전력이 부여됨으로써, 유지부(30)가 회전하도록 되어 있다.
이와 같은 다양한 요소에 의하여 구성되는 유지부(30)는, 용기부(40)와 함께 유지 구조체(18)의 내부 공간으로서 중공의 공간을 형성하고 있다. 용기부(40)는, 상측 용기부(42), 및 외측 용기부(44)를 포함하고 있다. 상측 용기부(42)는, 대략 원반 형상을 갖고 있다. 상측 용기부(42)의 중앙에는, 회전축부(36)가 통과하는 관통 구멍이 형성되어 있다. 이 상측 용기부(42)는, 절연 부재(35)의 제2 부분(35b)의 하방에 있어서, 제2 부분(35b)에 대하여 약간의 간극을 제공하도록 마련되어 있다. 또, 상측 용기부(42)의 하면 둘레 가장자리에는, 외측 용기부(44)의 상단이 결합하고 있다. 외측 용기부(44)는, 하단에 있어서 폐색된 대략 원통 형상을 갖고 있다.
용기부(40)와 회전축부(36)의 사이에는, 자성 유체 시일부(52)가 마련되어 있다. 자성 유체 시일부(52)는, 내륜부(52a) 및 외륜부(52b)를 갖고 있다. 내륜부(52a)는, 회전축부(36)와 동축으로 뻗어 있는 대략 원통 형상을 갖고 있고, 회전축부(36)에 대하여 고정되어 있다. 또, 내륜부(52a)의 상단부는, 절연 부재(35)의 제1 부분(35a)의 하면에 결합하고 있다. 이 내륜부(52a)는, 회전축부(36)와 함께 제2 축선(AX2) 중심으로 회전하도록 되어 있다. 외륜부(52b)는, 대략 원통 형상을 갖고 있고, 내륜부(52a)의 외측에 있어서 내륜부(52a)와 동축에 마련되어 있다. 외륜부(52b)의 상단부는, 상측 용기부(42)의 중앙 측 부분의 하면에 결합하고 있다. 이들 내륜부(52a)와 외륜부(52b)의 사이에는, 자성 유체(52c)가 개재되어 있다. 또, 자성 유체(52c)의 하방에 있어서, 내륜부(52a)와 외륜부(52b)의 사이에는, 베어링(53)이 마련되어 있다. 이 자성 유체 시일부(52)는, 유지 구조체(18)의 내부 공간을 기밀하게 밀봉하는 밀봉 구조를 제공하고 있다. 이 자성 유체 시일부(52)에 의하여, 유지 구조체(18)의 내부 공간은, 플라즈마 처리 장치(10)의 공간(S)으로부터 분리된다. 또한, 플라즈마 처리 장치(10)에서는, 유지 구조체(18)의 내부 공간은 대기압으로 유지된다.
일 실시형태에서는, 자성 유체 시일부(52)와 회전축부(36)의 사이에, 제1 부재(37) 및 제2 부재(38)가 마련되어 있다. 제1 부재(37)는, 회전축부(36)의 외주면의 일부분, 즉 후술하는 제3 통 형상부(36d)의 상측 부분의 외주면 및 하부 전극(34)의 제1 부분(34a)의 외주면을 따라 뻗어 있는 대략 원통 형상을 갖고 있다. 또, 제1 부재(37)의 상단은, 하부 전극(34)의 제2 부분(34b)의 하면을 따라 뻗어 있는 환 형상 판 형상을 갖고 있다. 이 제1 부재(37)는, 제3 통 형상부(36d)의 상측 부분의 외주면과, 하부 전극(34)의 제1 부분(34a)의 외주면 및 제2 부분(34b)의 하면에 접하고 있다.
제2 부재(38)는, 회전축부(36)의 외주면, 즉 제3 통 형상부(36d)의 외주면, 및 제1 부재(37)의 외주면을 따라 뻗어 있는 대략 원통 형상을 갖고 있다. 제2 부재(38)의 상단은, 절연 부재(35)의 제1 부분(35a)의 상면을 따라 뻗어 있는 환 형상 판 형상을 갖고 있다. 제2 부재(38)는, 제3 통 형상부(36d)의 외주면, 제1 부재(37)의 외주면, 절연 부재(35)의 제1 부분(35a)의 상면, 및 자성 유체 시일부(52)의 내륜부(52a)의 내주면에 접하고 있다. 이 제2 부재(38)와 절연 부재(35)의 제1 부분(35a)의 상면과의 사이에는, O링과 같은 밀봉 부재(39a)가 개재되어 있다. 또, 제2 부재(38)와 자성 유체 시일부(52)의 내륜부(52a)의 내주면과의 사이에는, O링과 같은 밀봉 부재(39b) 및 밀봉 부재(39c)가 개재되어 있다. 이러한 구조에 의하여, 회전축부(36)와 자성 유체 시일부(52)의 내륜부(52a)와의 사이가 밀봉된다. 이로써, 회전축부(36)와 자성 유체 시일부(52)의 사이에 간극이 존재하고 있어도, 유지 구조체(18)의 내부 공간이, 플라즈마 처리 장치(10)의 공간(S)으로부터 분리된다.
외측 용기부(44)에는, 제1 축선(AX1)을 따라 개구가 형성되어 있다. 외측 용기부(44)에 형성된 개구에는, 경사축부(50)의 내측 단부가 끼워 넣어져 있다. 경사축부(50)는, 대략 원통 형상을 갖고 있고, 그 중심 축선은 제1 축선(AX1)과 일치하고 있다. 경사축부(50)는, 유지 구조체(18)와 교차하고 있다. 경사축부(50)는, 도 2에 나타내는 바와 같이, 처리 용기(12)의 외측까지 뻗어 있다. 경사축부(50)의 양쪽 모두의 외측 단부에는, 상술한 구동 장치(24)가 결합되어 있다. 구동 장치(24)는, 경사축부(50)의 양쪽 모두의 외측 단부를 축지지하고 있다. 구동 장치(24)에 의하여 경사축부(50)가 회전됨으로써, 유지 구조체(18)가 제1 축선(AX1) 중심으로 회전 운동하고, 그 결과 유지 구조체(18)가 축선(PX)에 대하여 경사지도록 되어 있다. 유지 구조체(18)는, 축선(PX)에 대한 제2 축선(AX2)의 경사각(AN)의 값 φ[도]가 -180도 이상 +180도 이하[도]인 범위의 각도를 이루도록 경사질 수 있다(즉 유지 구조체(18)가 제1 축선(AX1) 중심으로 일회전하는 것(360도의 회전 운동)이 가능하다).
일 실시형태에서는, 제1 축선(AX1)은, 제2 축선(AX2) 방향에 있어서의 유지 구조체(18)의 중심 위치를 포함하고 있다. 이 실시형태에서는, 경사축부(50)는, 유지 구조체(18)의 당해 중심을 통과하는 제1 축선(AX1) 상에서 뻗어 있다.
다른 실시형태에서는, 제1 축선(AX1)은, 제2 축선(AX2) 방향에 있어서의 유지 구조체(18)의 중심과 유지부(30)의 상면과의 사이의 위치를 포함하고 있다. 즉, 이 실시형태에서는, 경사축부(50)는, 유지 구조체(18)의 중심보다 유지부(30) 측에 편향된 위치에서 뻗어 있다. 이 실시형태에 의하면, 유지 구조체(18)의 경사 시에, 플라즈마원(16)으로부터 웨이퍼(W)의 각 위치까지의 거리차를 저감시킬 수 있다. 따라서, 에칭의 면내 균일성이 더 향상된다.
또 다른 실시형태에서는, 제1 축선(AX1)은, 유지 구조체(18)의 무게 중심을 포함하고 있다. 이 실시형태에서는, 경사축부(50)는, 당해 무게 중심을 포함하는 제1 축선(AX1) 상에서 뻗어 있다. 이 실시형태에 의하면, 구동 장치(24)에 요구되는 토크가 작아져, 당해 구동 장치(24)의 제어가 용이해진다.
도 7 및 도 8로 되돌아와, 경사축부(50) 내측 구멍에는, 다양한 전기 계통용 배선, 전열 가스용 배관, 및 냉매용 배관이 통과하고 있다. 이들 배선 및 배관은, 회전축부(36)에 연결되어 있다.
회전축부(36)는, 기둥 형상부(36a), 제1 통 형상부(36b), 제2 통 형상부(36c), 및 제3 통 형상부(36d)를 갖고 있다. 기둥 형상부(36a)는, 대략 원기둥 형상을 갖고 있고, 제2 축선(AX2) 상에서 뻗어 있다. 기둥 형상부(36a)는, 정전 척(32)의 전극막에 전압을 인가하기 위한 배선이다. 기둥 형상부(36a)는, 슬립 링과 같은 로터리 커넥터(54)를 개재하여 배선(60)에 접속되어 있다. 배선(60)은, 유지 구조체(18)의 내부 공간으로부터 경사축부(50) 내측 구멍을 통과하여, 처리 용기(12)의 외부까지 뻗어 있다. 이 배선(60)은, 처리 용기(12)의 외부에 있어서 스위치를 개재하여 전원(62)(도 2 참조)에 접속되어 있다.
제1 통 형상부(36b)는, 기둥 형상부(36a)의 외측에 있어서 기둥 형상부(36a)와 동축에 마련되어 있다. 제1 통 형상부(36b)는, 하부 전극(34)에 변조 직류 전압 및 고주파 바이어스 전력을 공급하기 위한 배선이다. 제1 통 형상부(36b)는, 로터리 커넥터(54)를 개재하여 배선(64)에 접속되어 있다. 배선(64)은, 유지 구조체(18)의 내부 공간으로부터 경사축부(50)의 내측 구멍을 통과하여, 처리 용기(12)의 외부까지 뻗어 있다. 이 배선(64)은, 처리 용기(12)의 외부에 있어서 바이어스 전력 공급부(22)의 제1 전원(22a) 및 제2 전원(22b)에 접속되어 있다. 또한, 제2 전원(22b)과 배선(64)의 사이에는, 임피던스 매칭용 정합기가 마련될 수 있다.
제2 통 형상부(36c)는, 제1 통 형상부(36b)의 외측에 있어서 제1 통 형상부(36b)와 동축에 마련되어 있다. 일 실시형태에서는, 상술의 로터리 커넥터(54) 내에는 베어링(55)이 마련되어 있고, 베어링(55)은 제2 통 형상부(36c)의 외주면을 따라 뻗어 있다. 이 베어링(55)은, 제2 통 형상부(36c)를 개재하여 회전축부(36)를 지지하고 있다. 상술한 베어링(53)은 회전축부(36)의 상측 부분을 지지하고 있는 것에 반하여, 베어링(55)은 회전축부(36)의 하측 부분을 지지하고 있다. 이와 같이 2개의 베어링(53) 및 베어링(55)에 의하여, 회전축부(36)가 그 상측 부분 및 하측 부분의 쌍방에 있어서 지지되므로, 회전축부(36)를 제2 축선(AX2) 중심으로 안정적으로 회전시키는 것이 가능하다.
제2 통 형상부(36c)에는, 전열 가스 공급용 가스 라인이 형성되어 있다. 이 가스 라인은, 스위블(swivel) 조인트와 같은 회전 조인트를 개재하여 배관(66)에 접속되어 있다. 배관(66)은, 유지 구조체(18)의 내부 공간으로부터 경사축부(50)의 내측 구멍을 통과하여, 처리 용기(12)의 외부까지 뻗어 있다. 이 배관(66)은, 처리 용기(12)의 외부에 있어서 전열 가스의 소스(68)(도 2 참조)에 접속되어 있다.
제3 통 형상부(36d)는, 제2 통 형상부(36c)의 외측에 있어서 제2 통 형상부(36c)와 동축에 마련되어 있다. 이 제3 통 형상부(36d)에는, 냉매 유로(34f)에 냉매를 공급하기 위한 냉매 공급 라인, 및 냉매 유로(34f)에 공급된 냉매를 회수하는 냉매 회수 라인이 형성되어 있다. 냉매 공급 라인은, 스위블 조인트와 같은 회전 조인트(70)를 개재하여 배관(72)에 접속되어 있다. 또, 냉매 회수 라인은 회전 조인트(70)를 개재하여 배관(74)에 접속되어 있다. 배관(72) 및 배관(74)은, 유지 구조체(18)의 내부 공간으로부터 경사축부(50)의 내측 구멍을 통과하여, 처리 용기(12)의 외부까지 뻗어 있다. 그리고, 배관(72) 및 배관(74)은, 처리 용기(12)의 외부에 있어서 칠러(chiller) 유닛(76)(도 2 참조)에 접속되어 있다.
또, 도 8에 나타내는 바와 같이, 유지 구조체(18)의 내부 공간에는, 회전 모터(78)가 마련되어 있다. 회전 모터(78)는, 회전축부(36)를 회전시키기 위한 구동력을 발생한다. 일 실시형태에서는, 회전 모터(78)는, 회전축부(36)의 측방에 마련되어 있다. 이 회전 모터(78)는, 회전축부(36)에 장착된 풀리(80)에 전도 벨트(82)를 개재하여 연결되어 있다. 이로써, 회전 모터(78)의 회전 구동력이 회전축부(36)에 전달되어, 유지부(30)가 제2 축선(AX2) 중심으로 회전한다. 유지부(30)의 회전수는, 예를 들면 50[rpm] 이하의 범위 내에 있다. 예를 들면, 유지부(30)는, 프로세스 중에 10[rmp]의 회전수로 회전된다. 또한, 회전 모터(78)에 전력을 공급하기 위한 배선은, 경사축부(50)의 내측 구멍을 통과하여 처리 용기(12)의 외부까지 인출되어, 처리 용기(12)의 외부에 마련된 모터용 전원에 접속된다. 이하의 설명에 있어서, 특별히 언급하지 않는 한, 유지부(30)의 회전수[rpm]는 동일한 값(예를 들면 10[rpm])으로 한다.
이와 같이, 유지 구조체(18)는, 대기압으로 유지 가능한 내부 공간에 다양한 기구를 마련하는 것이 가능하다. 또, 유지 구조체(18)는, 그 내부 공간에 수용한 기구와 처리 용기(12)의 외부에 마련한 전원, 가스 소스, 칠러 유닛 등의 장치를 접속하기 위한 배선 또는 배관을 처리 용기(12)의 외부까지 인출하는 것이 가능하게 구성되어 있다. 또한, 상술한 배선 및 배관에 더하여, 처리 용기(12)의 외부에 마련된 히터 전원과 정전 척(32)에 마련된 히터를 접속하는 배선이, 유지 구조체(18)의 내부 공간으로부터 처리 용기(12)의 외부까지 경사축부(50)의 내측 구멍을 개재하여 인출되어 있어도 된다.
[피처리체를 처리하는 방법]
이하, 일 실시형태에 관한 피처리체를 처리하는 방법을 설명한다. 도 1은, 일 실시형태에 관한 피처리체를 처리하는 방법(방법(MT))의 일례를 나타내는 흐름도이다. 방법(MT)의 공정 ST1은, 처리 대상이 되는 웨이퍼(W)를 플라즈마 처리 장치(10)의 유지 구조체(18)에 유지하도록 웨이퍼(W)를 준비한다. 웨이퍼(W)는, 이방성 에칭이 지배적인 층과, 등방성 에칭이 지배적인 층을 구비한다.
공정 ST1에 이어지는 공정 ST2(제1 공정)에서는, 제1 방향(VL1)과 제2 방향(VL2)이 제1 각도 φ1로 유지된 상태(φ=φ1)에 있어서, 웨이퍼(W)가 유지 구조체(18)에 의하여 유지되어 있는 처리 용기(12) 내에서 플라즈마를 발생시킨다. 공정 ST2에 이어지는 공정 ST3(제2 공정)에서는, 제1 방향(VL1)과 제2 방향(VL2)이 제2 각도 φ2로 유지된 상태(φ=φ2)에 있어서, 웨이퍼(W)가 유지 구조체(18)에 의하여 유지되어 있는 처리 용기(12) 내에서 플라즈마를 발생시킨다.
제1 각도 φ1 및 제2 각도 φ2는, -180도 이상 +180도 이하이다. 제1 각도 φ1의 절댓값과 제2 각도 φ2의 절댓값은 다르다. 제1 각도 φ1 및 제2 각도 φ2 중, 절댓값이 작은 쪽의 각도는, -30도 이상 +30도 이하의 범위에 있고, 절댓값의 큰 쪽의 각도는, -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위에 있다.
웨이퍼(W)에 대하여 이방성 에칭을 실시하는 경우에는, 제1 방향(VL1)과 제2 방향(VL2)의 사이의 각도(경사각(AN))의 값 φ를 30도 이상 +30도 이하의 범위로 한다.
웨이퍼(W)에 대하여 등방성 에칭을 실시하는 경우에는, 제1 방향(VL1)과 제2 방향(VL2)의 사이의 각도(경사각(AN))의 값 φ를 -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위로 한다.
(실시예 1)
상기한 방법(MT)은, 도 10의 (a)부에 나타내는 웨이퍼(W1)의 다층막을 에칭하는 경우에 적용될 수 있다. 도 9에 나타내는 방법(MT1)은, 상기한 방법(MT)의 일례이고, 웨이퍼(W1)를 처리한다. 도 10의 (a)~(c)부에 나타내는 웨이퍼(W1)(피처리체)는, 상기한 웨이퍼(W)의 일례이다.
도 10의 (a)부에 나타내는 웨이퍼(W1)는, 3D-NAND 소자의 제작에 이용되는 웨이퍼이다. 웨이퍼(W1)는, 기체(LB), 적층 영역(LA), 마스크(LM)를 구비한다. 적층 영역(LA)은, 질화물 영역(L11), 복수의 폴리실리콘 영역(L21)(복수의 제1 층), 복수의 산화물 영역(L31)(복수의 제2 층)을 구비한다. 적층 영역(LA)의 질화물 영역(L11)은, 한층만으로 이루어진다. 마스크(LM)는, 유기 재료로 구성되어 있고, 예를 들면, 레지스트 마스크이다. 질화물 영역(L11)의 재료는, 예를 들면 SiN일 수 있다. 폴리실리콘 영역(L21)은, 산화물 영역(L31)과 비교하여 등방성 에칭이 지배적인 층이다. 폴리실리콘 영역(L21)의 재료는, 어모퍼스(amorphous) 실리콘일 수 있다. 산화물 영역(L31)의 재료는, 예를 들면 SiO2일 수 있다.
기체(LB) 상에 적층 영역(LA)이 마련되어 있다. 기체(LB) 상에 적층 영역(LA)의 산화물 영역(L31)이 배치되어 있다. 적층 영역(LA)에 있어서, 폴리실리콘 영역(L21)과 산화물 영역(L31)은, 적층 방향을 따라 교대로 적층되어 있다. 적층 영역(LA) 상에 마스크(LM)가 마련되어 있다. 적층 영역(LA)에 있어서, 질화물 영역(L11)은, 폴리실리콘 영역(L21) 상에 배치되어 있다. 마스크(LM)는, 적층 영역(LA)의 질화물 영역(L11) 상에 배치되어 있다.
도 9에 나타내는 방법(MT1)은, 공정 ST11, 공정 ST21, 및 공정 ST31을 구비한다. 공정 ST11은, 도 1에 나타내는 공정 ST1에 대응한다. 공정 ST21은, 도 1에 나타내는 공정 ST2에 대응한다. 공정 ST31은, 도 1에 나타내는 공정 ST3에 대응한다. 먼저, 방법(MT1)의 공정 ST11은, 처리 대상이 되는 도 10의 (a)부에 나타내는 웨이퍼(W1)를 플라즈마 처리 장치(10)의 유지 구조체(18)에 유지하도록, 웨이퍼(W)를 준비한다.
공정 ST11에 이어지는 공정 ST21(제1 공정)에서는, 도 10의 (b)부에 나타내는 바와 같이, 제1 방향(VL1)과 제2 방향(VL2)이 제1 각도 φ1로 유지된 상태(φ=φ1)에 있어서, 유지 구조체(18)에 의하여 유지된 웨이퍼(W1)를, 처리 용기(12) 내에서 발생시킨 플라즈마에 의하여 에칭한다. 보다 구체적으로는, 제1 각도 φ1을, 이방성 에칭에 적합한 -30도 이상 +30도 이하의 범위로 유지한 상태에 있어서, 마스크(LM)를 이용하여 적층 방향으로 적층 영역(LA)을 에칭하고, 당해 에칭에 의하여 적층 영역(LA) 내에 홈(TL1)을 형성한다.
공정 ST21에 이어지는 공정 ST31(제2 공정)에서는, 도 10의 (c)부에 나타내는 바와 같이, 제1 방향(VL1)과 제2 방향(VL2)이 제2 각도 φ2로 유지된 상태(φ=φ2)에 있어서, 유지 구조체(18)에 의하여 유지된 웨이퍼(W1)를, 처리 용기(12) 내에서 발생시킨 플라즈마에 의하여 에칭한다. 보다 구체적으로는, 제2 각도 φ2를, 등방성 에칭에 적합한 -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위로 유지한 상태에 있어서, 홈(TL1)의 내측에 노출된 폴리실리콘 영역(L21)을 에칭한다. 제1 각도 φ1의 절댓값은, 제2 각도 φ2의 절댓값보다 작다. 폴리실리콘 영역(L21)은, 산화물 영역(L31)과 비교하여 등방성 에칭이 지배적인 층이므로, 공정 ST31에서는, 폴리실리콘 영역(L21)과 산화물 영역(L31) 중, 비교적 높은 선택비로, 폴리실리콘 영역(L21)만이 선택적으로 에칭될 수 있다.
공정 ST21, ST31의 프로세스 조건의 실시예를 나타낸다.
<공정 ST21>
공정 ST21에서는, 처리 용기(12)에 H2 가스, CF4 가스, CHF3 가스, 및 NF3 가스를 도입하고, 고주파 전원(150A) 및 고주파 전원(150B)을 이용하여 고주파 전력을 인가하여 플라즈마를 생성한다. 공정 ST21에서는, 고애스펙트비(aspect ratio)의 가공이 필요하므로, 제2 전원(22b)에 의하여, 예를 들면 3[MHz]의 주파수에서 4000[W]의 전력을 인가한다.
<공정 ST31>
공정 ST31에서는, 폴리실리콘 영역(L21)을 에칭하기 위하여, 등방성 에칭이 필요하다. 구체적으로는, 공정 ST31에서는, 처리 용기(12)에 NF3 가스, Ar 가스, 및 O2 가스를 도입하여, 압력을 예를 들면 고압인 400[mT]로 유지하고, 고주파 전원(150A) 및 고주파 전원(150B)을 이용하여 고주파 전력을 인가하여 플라즈마를 생성한다. 또한, 공정 ST31에서는, 등방성 에칭을 행하기 위하여, 바이어스 전압을 인가하지 않거나, 또는 저전압의 바이어스 전압을 인가한다. 예를 들면, 공정 ST31에서는, 제1 전원(22a) 및 제2 전원(22b)의 각각에 의하여, 0[V]의 전압과 0[W]의 전력을 인가하여, 에칭 처리를 행한다.
(실시예 2)
상기한 방법(MT)은, 도 12의 (a)부에 나타내는 웨이퍼(W2)의 다층막을 에칭하는 경우에 적용될 수 있다. 도 11에 나타내는 방법(MT2)은, 상기한 방법(MT)의 일례이고, 웨이퍼(W2)를 처리한다. 도 12의 (a)~(c)부에 나타내는 웨이퍼(W2)(피처리체)는, 상기한 웨이퍼(W)의 일례이다.
도 12의 (a)부에 나타내는 웨이퍼(W2)는, 3D-NAND 소자의 제작에 이용되는 웨이퍼이다. 웨이퍼(W2)는, 기체(LB), 적층 영역(LA), 마스크(LM)를 구비한다. 적층 영역(LA)은, 실리콘 산 질화 영역(L12), 어모퍼스 카본 영역(L22), 복수의 질화물 영역(L32)(복수의 제1 층), 복수의 산화물 영역(L42)(복수의 제2 층)을 구비한다. 적층 영역(LA)에 있어서, 실리콘 산 질화 영역(L12)은, 한층만으로 이루어진다. 적층 영역(LA)에 있어서, 어모퍼스 카본 영역(L22)은, 한층만으로 이루어진다. 실리콘 산 질화 영역(L12)의 재료는, 예를 들면 SiON일 수 있다. 어모퍼스 카본 영역(L22)의 재료는, 어모퍼스 카본으로 이루어진다. 질화물 영역(L32)은, 산화물 영역(L42)과 비교하여 등방성 에칭이 지배적인 층이다. 질화물 영역(L32)의 재료는, 예를 들면 SiN일 수 있다. 산화물 영역(L42)의 재료는, 예를 들면 SiO2일 수 있다.
기체(LB) 상에 적층 영역(LA)이 마련되어 있다. 기체(LB) 상에 적층 영역(LA)의 질화물 영역(L32)이 배치되어 있다. 적층 영역(LA)에 있어서, 질화물 영역(L32)과 산화물 영역(L42)은, 적층 방향을 따라 교대로 적층되어 있다. 적층 영역(LA) 상에 마스크(LM)가 마련되어 있다. 적층 영역(LA)에 있어서, 어모퍼스 카본 영역(L22)은, 질화물 영역(L32) 상에 배치되어 있다. 적층 영역(LA)에 있어서, 실리콘 산 질화 영역(L12)은, 어모퍼스 카본 영역(L22) 상에 배치되어 있다. 마스크(LM)는, 적층 영역(LA)의 실리콘 산 질화 영역(L12) 상에 배치되어 있다.
도 11에 나타내는 방법(MT2)은, 공정 ST12, 공정 ST22, 및 공정 ST32를 구비한다. 공정 ST12는, 도 1에 나타내는 공정 ST1에 대응한다. 공정 ST22는, 도 1에 나타내는 공정 ST2에 대응한다. 공정 ST32는, 도 1에 나타내는 공정 ST3에 대응한다. 먼저, 방법(MT2)의 공정 ST12는, 처리 대상이 되는 도 12의 (a)부에 나타내는 웨이퍼(W2)를 플라즈마 처리 장치(10)의 유지 구조체(18)에 유지하도록, 웨이퍼(W)를 준비한다.
공정 ST12에 이어지는 공정 ST22(제1 공정)에서는, 도 12의 (b)부에 나타내는 바와 같이, 제1 방향(VL1)과 제2 방향(VL2)이 제1 각도 φ1로 유지된 상태(φ=φ1)에 있어서, 유지 구조체(18)에 의하여 유지된 웨이퍼(W1)를, 처리 용기(12) 내에서 발생시킨 플라즈마에 의하여 에칭한다. 보다 구체적으로는, 제1 각도 φ1을, 이방성 에칭에 적합한 -30도 이상 +30도 이하의 범위로 유지한 상태에 있어서, 마스크(LM)를 이용하여 적층 방향으로 적층 영역(LA)을 에칭하고, 당해 에칭에 의하여 적층 영역(LA) 내에 홈(TL2)을 형성한다.
공정 ST22에 이어지는 공정 ST32(제2 공정)에서는, 도 12의 (c)부에 나타내는 바와 같이, 제1 방향(VL1)과 제2 방향(VL2)이 제2 각도 φ2로 유지된 상태(φ=φ2)에 있어서, 유지 구조체(18)에 의하여 유지된 웨이퍼(W1)를, 처리 용기(12) 내에서 발생시킨 플라즈마에 의하여 에칭한다. 보다 구체적으로는, 제2 각도 φ2를, 등방성 에칭에 적합한 -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위로 유지한 상태에 있어서, 홈(TL2)의 내측에 노출된 질화물 영역(L32)을 에칭한다. 제1 각도 φ1의 절댓값은, 제2 각도 φ2의 절댓값보다 작다. 질화물 영역(L32)은, 산화물 영역(L42)과 비교하여 등방성 에칭이 지배적인 층이므로, 공정 ST32에서는, 질화물 영역(L32)과 산화물 영역(L42) 중, 비교적 높은 선택비로, 질화물 영역(L32)만이 선택적으로 에칭될 수 있다.
공정 ST22, ST32의 프로세스 조건의 실시예를 나타낸다.
<공정 ST22>
공정 ST22에서는, 재료가 다른 복수의 영역이 적층된 적층 영역(LA)을 에칭하기 위하여, 각 영역마다 처리를 한다. 실리콘 산 질화 영역(L12)을 에칭하는 경우에는, 처리 용기(12)에 CF4 가스, CHF3 가스, 및 O2 가스를 도입하고, 고주파 전원(150A) 및 고주파 전원(150B)을 이용하여 고주파 전력을 인가하여 플라즈마를 생성한다. 또한, 제2 전원(22b)을 이용하여 바이어스 전력을 인가한다. 어모퍼스 카본 영역(L22)을 에칭하는 경우에는, 처리 용기(12)에 O2 가스 및 COS 가스를 도입하고, 고주파 전원(150A) 및 고주파 전원(150B)을 이용하여 고주파 전력을 인가하여 플라즈마를 생성한다. 또한, 제2 전원(22b)을 이용하여 바이어스 전력을 인가한다. 질화물 영역(L32)과 산화물 영역(L42)을 에칭하는 경우에는, 질화물 영역(L32) 및 산화물 영역(L42)의 각각에 대한 에칭 조건에서 처리 용기(12)에 C4F6 가스, C4F8 가스, CH2F2 가스, CHF3 가스, Ar 가스, 및 O2 가스를 도입하고, 질화물 영역(L32) 및 산화물 영역(L42)의 각각에 대한 에칭 조건에서 고주파 전원(150A) 및 고주파 전원(150B)을 이용하여 고주파 전력을 인가하여 플라즈마를 생성한다. 또한, 질화물 영역(L32) 및 산화물 영역(L42)의 각각에 대한 에칭 조건에서 제2 전원(22b)을 이용하여 바이어스 전력을 인가한다. 공정 ST22에 있어서의 질화물 영역(L32) 및 산화물 영역(L42)에 대한 에칭에서는, 사이클 에칭의 방식을 이용한다. 또, 공정 ST22에서 행하는 에칭에서는, 고애스펙트비의 가공이 필요해지므로, 제2 전원(22b)에 의하여, 예를 들면 3[MHz]의 주파수에서 5000[W]의 바이어스 전력을 인가한다.
<공정 ST32>
공정 ST32는, 질화물 영역(L32)을 에칭하기 위하여, 등방성 에칭이 필요하다. 구체적으로는, 공정 ST32에서는, 처리 용기(12)에 NF3 가스, Ar 가스, 및 O2 가스를 도입하여, 압력을 예를 들면 고압인 400[mT]로 유지하고, 고주파 전원(150A) 및 고주파 전원(150B)을 이용하여 고주파 전력을 인가하여 플라즈마를 생성한다. 또한, 공정 ST32에서는, 등방성 에칭을 행하기 위하여, 바이어스 전압을 인가하지 않거나, 또는 저전압의 바이어스 전압을 인가한다. 예를 들면, 공정 ST32에서는, 제1 전원(22a) 및 제2 전원(22b)의 각각에 의하여, 0[V]의 전압과 0[W]의 전력을 인가하여, 에칭 처리를 행한다.
(실시예 3)
상기한 방법(MT)은, 도 14의 (a)부에 나타내는 웨이퍼(W3)의 다층막을 에칭하는 경우에 적용될 수 있다. 도 13에 나타내는 방법(MT3)은, 상기한 방법(MT)의 일례이고, 웨이퍼(W3)를 처리한다. 도 14의 (a)~(c)부에 나타내는 웨이퍼(W3)(피처리체)는, 상기한 웨이퍼(W)의 일례이다.
웨이퍼(W3)는, 기체(LB), 적층 영역(LA), 마스크(LM)를 구비한다. 적층 영역(LA)은, 반사 방지막(L13), 유기막(L23), 피에칭층(L33)을 구비한다. 마스크(LM)는, 반사 방지막(L13)과 비교하여 등방성 에칭이 지배적인 층이다. 반사 방지막(L13)의 재료는, 예를 들면 산화 실리콘을 함유할 수 있다. 유기막(L23)은, 예를 들면 탄소 또는 실리콘을 포함하는 층이고, SOH(스핀 온 하드마스크)층일 수 있다. 피에칭층(L33)은, 산화 실리콘(SiO2)으로 구성되는 절연막일 수 있다.
기체(LB) 상에 적층 영역(LA)이 마련되어 있다. 기체(LB) 상에 적층 영역(LA)의 피에칭층(L33)이 배치되어 있다. 적층 영역(LA)에 있어서, 유기막(L23)은, 피에칭층(L33) 상에 배치되어 있다. 적층 영역(LA)에 있어서, 반사 방지막(L13)은, 유기막(L23) 상에 배치되어 있다. 적층 영역(LA) 상에 마스크(LM)가 마련되어 있다. 마스크(LM)는, 적층 영역(LA)의 반사 방지막(L13) 상에 배치되어 있다.
도 13에 나타내는 방법(MT3)은, 공정 ST13, 공정 ST23, 및 공정 ST33을 구비한다. 공정 ST13은, 도 1에 나타내는 공정 ST1에 대응한다. 공정 ST23은, 도 1에 나타내는 공정 ST2에 대응한다. 공정 ST33은, 도 1에 나타내는 공정 ST3에 대응한다. 먼저, 방법(MT3)의 공정 ST13은, 처리 대상이 되는 도 14의 (a)부에 나타내는 웨이퍼(W3)를 플라즈마 처리 장치(10)의 유지 구조체(18)에 유지하도록, 웨이퍼(W)를 준비한다.
공정 ST13에 이어지는 공정 ST23(제1 공정)에서는, 도 14의 (b)부에 나타내는 바와 같이, 제1 방향(VL1)과 제2 방향(VL2)이 제1 각도 φ1로 유지된 상태(φ=φ1)에 있어서, 유지 구조체(18)에 의하여 유지된 웨이퍼(W1)를, 처리 용기(12) 내에서 발생시킨 플라즈마에 의하여 에칭한다. 보다 구체적으로는, 제1 각도 φ1을, 등방성 에칭에 적합한 -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위로 유지한 상태에 있어서, 마스크(LM)의 표면을 개질한다. 또한, 공정 ST23에서는, 이방성 에칭이 억제되므로, 마스크(LM)에 대한 에칭도 억제된다. 따라서, 마스크(LM)의 마스크 길이와, 마스크(LM)의 LWR(Line Width Roughness) 및 LER(Line Edge Roughness)이 모두, 공정 ST23의 실시 전의 상태로부터의 열화가 억제된다.
공정 ST23에 이어지는 공정 ST33(제2 공정)에서는, 도 14의 (c)부에 나타내는 바와 같이, 제1 방향(VL1)과 제2 방향(VL2)이 제2 각도 φ2로 유지된 상태(φ=φ2)에 있어서, 유지 구조체(18)에 의하여 유지된 웨이퍼(W1)를, 처리 용기(12) 내에서 발생시킨 플라즈마에 의하여 에칭한다. 보다 구체적으로는, 제2 각도 φ2를, 이방성 에칭에 적합한 -30도 이상 +30도 이하의 범위로 유지한 상태에 있어서, 마스크(LM)를 이용하여, 반사 방지막(L13)을 에칭하여, 마스크(LM13)를 형성한다. 제1 각도 φ1의 절댓값은, 제2 각도 φ2의 절댓값보다 크다.
공정 ST23, ST33의 프로세스 조건의 실시예를 나타낸다.
<공정 ST23>
공정 ST23에서는, 마스크(LM)의 표면을 개질하기 위하여, 등방적인 처리가 필요하다. 처리 용기(12)에 H2 가스 및 Ar 가스를 도입하여, 압력을 예를 들면 5[mT]로 유지하고, 고주파 전원(150A) 및 고주파 전원(150B)을 이용하여 고주파 전력을 인가하여 플라즈마를 생성한다. 또한, 공정 ST23에서는, 등방적인 처리를 행하기 위하여, 바이어스 전압을 인가하지 않거나, 또는 저전압의 바이어스 전압을 인가한다. 예를 들면, 공정 ST23에서는, 제1 전원(22a) 및 제2 전원(22b)의 각각에 의하여, 0[V]의 전압과 0[W]의 전력을 인가하여, 에칭 처리를 행한다.
<공정 ST33>
공정 ST33은, 반사 방지막(L13)을 에칭하기 위하여, 이방성 에칭이 필요하다. 구체적으로는, 공정 ST33에서는, 처리 용기(12)에 CF4 가스 및 Ar 가스를 도입하여, 압력을 예를 들면 5[mT]로 유지하고, 고주파 전원(150A) 및 고주파 전원(150B)을 이용하여 고주파 전력을 인가하여 플라즈마를 생성한다. 또, 공정 ST33에서 행하는 에칭에서는, 이방성 에칭이 필요해지므로, 제2 전원(22b)에 의하여, 예를 들면 13[MHz]의 주파수에서 500[W]의 바이어스 전력을 인가한다.
상기에 설명한 방법(MT)에서는, 공정 ST1과 공정 ST2에 있어서, 웨이퍼(W)를 유지하는 유지 구조체(18)의 방향이 다르므로, 각 공정에 있어서는, 처리 용기(12) 내에 있어서 발생하는 플라즈마에 의한 이온 밀도의 차가, 처리 프로세스를 복잡화하지 않고, 용이하게 이용될 수 있다. 특히, 처리 용기(12) 내에 있어서, 가스 상태나 플라즈마 상태 등을 변화시키지 않고, 유지 구조체(18)의 방향을 변경함으로써, 이온 밀도의 차가 용이하게 이용 가능해진다.
또, 실시예 1의 공정 ST21과 공정 ST31에 있어서, 웨이퍼(W1)를 유지하는 유지 구조체(18)의 방향이 다르므로, 처리 용기(12) 내에 있어서의 이온 밀도의 차가 이용될 수 있게 되고, 따라서 유지 구조체(18)의 방향을 변경함으로써, 등방성 에칭이 지배적인 층에 대한 에칭과, 이방성 에칭이 지배적인 층에 대한 에칭을 용이하게 전환하는 것이 가능해진다.
또, 실시예 2의 공정 ST22와 공정 ST32에 있어서, 웨이퍼(W2)를 유지하는 유지 구조체(18)의 방향이 다르므로, 처리 용기(12) 내에 있어서의 이온 밀도의 차가 이용될 수 있게 되고, 따라서 유지 구조체(18)의 방향을 변경함으로써, 등방성 에칭이 지배적인 층에 대한 에칭과, 이방성 에칭이 지배적인 층에 대한 에칭을 용이하게 전환하는 것이 가능해진다.
또, 실시예 3에 있어서, 등방성 에칭이 지배적인 마스크(LM)의 표면을 개질하는 공정 ST23에서는, 제1 각도 φ1의 절댓값은, 제2 각도 φ2의 절댓값보다 크고, 따라서, 웨이퍼(W3)가 유전체판(194)의 표면(194a)으로부터 멀어지므로, 웨이퍼(W3)의 부근의 이온 밀도가 낮아져, 이와 같은 공정 ST23의 실시에 의한 마스크(LM)의 형상의 열화가, 회피될 수 있다.
또, 처리 용기(12) 내에 있어서 발생하는 플라즈마에 의한 이온 밀도의 차는, 유지 구조체(18)에 관한 제1 방향(VL1)과 제2 방향(VL2)이 이루는 각도가, -30도 이상 +30도 이하의 범위에 있는 경우와, -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위에 있는 경우에서, 충분히 다를 수 있다.
또, 이온 에너지를 제어하는 경우에는, 종래에서는, 인가 전압의 조절하에서 이온 전류를 조절함으로써 처리 용기(12) 내의 이온 에너지를 제어하지만, 당해 인가 전압을 제로로 해도 이온 에너지는 제로로 되지 않고, 처리 용기(12) 내의 상부의 플라즈마 퍼텐셜의 존재 등의 영향에 의하여, 예를 들면 4.2[eV] 정도가 이온 에너지의 하한값이 되므로, 이온 에너지를 당해 하한값보다 저감시키기 위해서는 예를 들면 이온 트랩판 등의 부재가 필요해진다. 이에 대하여, 플라즈마 처리 장치(10)를 이용하여 상기한 일 실시형태에 관한 방법(MT)으로 행해진 바와 같이, 유지 구조체(18)가 제1 축선(AX1) 중심으로 360도(-180도 이상 +180 이하)의 회전 운동이 가능하므로, 유지 구조체(18)에 유지되어 있는 웨이퍼(W)의 표면(FS)을 연속적으로 회전 운동함으로써, 표면(FS)의 위치를, 적합한 전자 밀도가 되는 위치로 이동시키는 것이 용이하게 가능해진다. 따라서, 종래와 같이 인가 전압의 조절하에서 이온 전류를 조절하지 않고, 유지 구조체(18)를 회전 운동하는 것만으로 용이하게 웨이퍼(W)의 표면(FS) 근방의 전자 밀도를 적합한 값으로 설정할 수 있다. 특히, 이온 에너지가 상기의 하한값으로 되어 있는 경우여도, 이온 트랩판 등의 부재를 이용하지 않고, 유지 구조체(18)를 예를 들면 ±180도 정도만큼 회전 운동하여 웨이퍼(W)의 표면(FS)을 처리 용기(12)의 천장 측에 있는 유전체판(194)의 표면(194a)에 대하여 반대 측을 향하게 함으로써(웨이퍼(W)의 표면(FS)을 표면(194a)으로부터 가장 먼 위치로 이동시킴으로써), 표면(FS)의 근방에 있어서의 이온 에너지를 당해 하한값보다 저감시키는 것이 가능해진다. 이와 같이, 플라즈마 처리 장치(10)를 이용하여, 상기한 일 실시형태에 관한 방법(MT)으로 행해진 바와 같이, 유지 구조체(18)를 제1 축선(AX1) 중심으로 -180도~+180도의 범위에서 연속하여 회전 운동함으로써, 유지 구조체(18)에 유지된 웨이퍼(W)의 표면(FS)의 근방의 이온 에너지를, 종래의 하한값을 밑도는 값(예를 들면, 2~3eV 근방, 나아가서는 0eV 근방)에 이를 때까지, 연속적으로 조절이 가능해진다. 이온 에너지를, 상기 하한값을 밑도는 값으로 용이하게 설정할 수 있으므로, 예를 들면 불소 플라즈마에 의한 Si막과 SiO2막에 대한 에칭에 있어서, 고선택비가 용이하게 실현될 수 있다.
이상, 적합한 실시의 형태에 있어서 본 발명의 원리를 도시하여 설명해 왔지만, 본 발명은, 이와 같은 원리에서 벗어나지 않고 배치 및 상세에 있어서 변경될 수 있는 것은, 당업자에 의하여 인식된다. 본 발명은, 본 실시의 형태에 개시된 특정의 구성에 한정되는 것은 아니다. 따라서, 특허 청구의 범위 및 그 정신의 범위로부터 오는 모든 수정 및 변경에 권리를 청구한다.
10 플라즈마 처리 장치 12 처리 용기
12a 중간 부분 12e 배기구
14 가스 공급계 140 고주파 안테나
142A 내측 안테나 소자 142B 외측 안테나 소자
144 협지체
14a 가스 공급부 14e 가스 토출 구멍
150A 고주파 전원 150B 고주파 전원
16 플라즈마원 160 실드 부재
162A 내측 실드벽 162B 외측 실드벽
164A 내측 실드판 164B 외측 실드판
18 유지 구조체 194 유전체판
194a 표면 20 배기계
20a 자동 압력 제어기 20b 터보 분자 펌프
20c 드라이 펌프 20d 밸브
20e 밸브 22 바이어스 전력 공급부
22a 제1 전원 22b 제2 전원
24 구동 장치 26 정류 부재
26a 상부 26b 하부
30 유지부 32 정전 척
34 하부 전극
34a 제1 부분 34b 제2 부분
34f 냉매 유로 35 절연 부재
35a 제1 부분 35b 제2 부분
36 회전축부 36a 기둥 형상부
36b 제1 통 형상부 36c 제2 통 형상부
36d 제3 통 형상부
37 제1 부재 38 제2 부재
39a 밀봉 부재 39b 밀봉 부재
39c 밀봉 부재 40 용기부
42 상측 용기부 44 외측 용기부
50 경사축부 52 자성 유체 시일부
52a 내륜부 52b 외륜부
52c 자성 유체 53 베어링
54 로터리 커넥터 55 베어링
60 배선 62 전원
64 배선 66 배관
68 전열 가스의 소스 70 회전 조인트
72 배관 74 배관
76 칠러 유닛 78 회전 모터
80 풀리 82 전도 벨트
AN 경사각 AX1 제1 축선
AX2 제2 축선 CE 중심
Cnt 제어부 FA1 수평 기준면
FA2 연직 기준면 FS 표면
L11 질화물 영역 L12 실리콘 산 질화 영역
L13 반사 방지막 L21 폴리실리콘 영역
L22 어모퍼스 카본 영역 L23 유기막
L31 산화물 영역 L32 질화물 영역
L33 피에칭층 L42 산화물 영역
LA 적층 영역 LB 기체
LM 마스크 LM13 마스크
MT 방법 MT1 방법
MT2 방법 MT3 방법
OR 원점 PX 축선
S 공간 TC 1주기
TH 기간 TL 기간
TL1 홈 TL2 홈
VL1 제1 방향 VL2 제2 방향
W 웨이퍼 W1 웨이퍼
W2 웨이퍼 W3 웨이퍼
XO 교차점

Claims (7)

  1. 플라즈마 처리 장치를 이용하여 피처리체를 에칭하는 방법으로서,
    상기 플라즈마 처리 장치는, 상기 피처리체를 유지하는 유지 구조체와 상기 유지 구조체를 수용하는 처리 용기를 구비하고,
    상기 유지 구조체는, 상기 처리 용기 내에 있어서 상기 처리 용기에 마련된 경사축 중심으로 360도의 회전 운동이 가능하며,
    상기 경사축은, 상기 유지 구조체와 교차하고 있고,
    상기 경사축의 축선은, 상기 처리 용기 내의 천장 측에 마련된 상부 전극의 전극판의 표면과, 상기 유지 구조체에 유지되어 있는 상기 피처리체의 표면에 평행하며,
    상기 유지 구조체에 의하여 유지되어 있는 상기 피처리체의 상기 표면과 상기 전극판의 상기 표면이 평행하게 되어 있고 또한 서로 마주보고 있는 상태에서는, 상기 피처리체의 제1 중심 축선과 상기 처리 용기의 제2 중심 축선이 중첩됨과 아울러, 상기 제1 중심 축선의 제1 방향과 상기 제2 중심 축선의 제2 방향이 동일하게 되며,
    상기 제1 중심 축선은, 상기 피처리체의 상기 표면에 수직이고,
    상기 제1 방향은, 상기 피처리체의 상기 표면의 상방을 향하고 있으며,
    상기 제2 중심 축선은, 상기 전극판의 상기 표면에 수직이고,
    상기 제2 방향은, 상기 처리 용기의 바닥부 측으로부터, 상기 처리 용기의 천장 측에 마련된 상기 전극판을 향하고 있으며,
    상기 제1 중심 축선은, 상기 유지 구조체가 상기 경사축 중심으로 회전 운동함으로써, 상기 제2 중심 축선에 대하여 경사지고,
    상기 방법은,
    상기 제1 방향과 상기 제2 방향이 제1 각도를 이루도록 유지된 상태에 있어서, 상기 피처리체가 상기 유지 구조체에 의하여 유지되어 있는 상기 처리 용기 내에서 플라즈마를 발생시키는 제1 공정과,
    상기 제1 공정의 실시 후에 있어서, 상기 제1 방향과 상기 제2 방향이 제2 각도를 이루도록 유지된 상태에 있어서, 상기 피처리체가 상기 유지 구조체에 의하여 유지되어 있는 상기 처리 용기 내에서 플라즈마를 발생시키는 제2 공정을 구비하며,
    상기 제1 각도 및 상기 제2 각도는, -180도 이상 +180도 이하이고,
    상기 제1 각도의 절댓값과 상기 제2 각도의 절댓값은 다르며,
    상기 제1 공정에 있어서, 이방성 에칭이 실행되는 경우, 상기 제1 각도는, -30도 이상 +30도 이하의 범위에 있으며,
    상기 제1 공정에 있어서, 등방성 에칭이 실행되는 경우, 상기 제1 각도는, -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위에 있으며,
    상기 제2 공정에 있어서, 이방성 에칭이 실행되는 경우, 상기 제2 각도는, -30도 이상 +30도 이하의 범위에 있으며,
    상기 제2 공정에 있어서, 등방성 에칭이 실행되는 경우, 상기 제2 각도는, -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위에 있는, 방법.
  2. 청구항 1에 있어서,
    상기 피처리체는, 적층 영역과 상기 적층 영역 위에 마련된 마스크를 구비하고,
    상기 적층 영역은, 복수의 제1 층과 복수의 제2 층을 구비하며,
    상기 제1 층과 상기 제2 층은, 적층 방향을 따라 교대로 적층되고,
    상기 제1 층은, 상기 제2 층과 비교하여 등방성 에칭이 지배적인 층이며,
    상기 제1 각도의 절댓값은, 상기 제2 각도의 절댓값보다 작고,
    상기 제1 공정에서는, 상기 마스크를 이용하여 상기 적층 방향으로 상기 적층 영역을 에칭하며, 당해 에칭에 의하여 상기 적층 영역 내에 홈을 형성하고,
    상기 제2 공정에서는, 상기 홈의 내측에 노출된 상기 제1 층을 에칭하는, 방법.
  3. 청구항 1에 있어서,
    상기 피처리체는, 반사 방지막과 상기 반사 방지막 상에 마련된 마스크를 구비하고,
    상기 제1 각도의 절댓값은, 상기 제2 각도의 절댓값보다 크며,
    상기 마스크는, 상기 반사 방지막과 비교하여 등방성 에칭이 지배적인 층이고,
    상기 제1 공정에서는, 상기 마스크의 표면을 개질하며,
    상기 제2 공정에서는, 상기 마스크를 이용하여, 상기 반사 방지막을 에칭하는, 방법.
  4. 청구항 1 내지 청구항 3 중 어느 한 항에 있어서,
    상기 제1 각도 및 상기 제2 각도 중, 절댓값이 작은 쪽의 각도는, -30도 이상 +30도 이하의 범위에 있고, 절댓값이 큰 쪽의 각도는, -180도 이상 -150도 이하 및 +150도 이상 +180도 이하의 범위에 있는, 방법.
  5. 청구항 2에 있어서,
    상기 제1 층은, 폴리실리콘 또는 질화물 영역이며,
    상기 제2 층은, 산화물 영역인, 방법.
  6. 플라즈마 처리 장치를 이용하여 피처리체를 에칭하는 방법으로서,
    상기 플라즈마 처리 장치는, 상기 피처리체를 유지하는 유지 구조체와 상기 유지 구조체를 수용하는 처리 용기를 구비하고,
    상기 유지 구조체는, 상기 처리 용기 내에 있어서 상기 처리 용기에 마련된 경사축 중심으로 360도의 회전 운동이 가능하며,
    상기 경사축은, 상기 유지 구조체와 교차하고 있고,
    상기 경사축의 축선은, 상기 처리 용기 내의 천장 측에 마련된 상부 전극의 전극판의 표면과, 상기 유지 구조체에 유지되어 있는 상기 피처리체의 표면에 평행하며,
    상기 유지 구조체에 의하여 유지되어 있는 상기 피처리체의 상기 표면과 상기 전극판의 상기 표면이 평행하게 되어 있고 또한 서로 마주보고 있는 상태에서는, 상기 피처리체의 제1 중심 축선과 상기 처리 용기의 제2 중심 축선이 중첩됨과 아울러, 상기 제1 중심 축선의 제1 방향과 상기 제2 중심 축선의 제2 방향이 동일하게 되며,
    상기 제1 중심 축선은, 상기 피처리체의 상기 표면에 수직이고,
    상기 제1 방향은, 상기 피처리체의 상기 표면의 상방을 향하고 있으며,
    상기 제2 중심 축선은, 상기 전극판의 상기 표면에 수직이고,
    상기 제2 방향은, 상기 처리 용기의 바닥부 측으로부터, 상기 처리 용기의 천장 측에 마련된 상기 전극판을 향하고 있으며,
    상기 제1 중심 축선은, 상기 유지 구조체가 상기 경사축 중심으로 회전 운동함으로써, 상기 제2 중심 축선에 대하여 경사지고,
    상기 방법은,
    상기 제1 방향과 상기 제2 방향이 제1 각도를 이루도록 유지된 상태에 있어서, 상기 피처리체가 상기 유지 구조체에 의하여 유지되어 있는 상기 처리 용기 내에서 플라즈마를 발생시키는 제1 공정과,
    상기 제1 공정의 실시 후에 있어서, 상기 제1 방향과 상기 제2 방향이 제2 각도를 이루도록 유지된 상태에 있어서, 상기 피처리체가 상기 유지 구조체에 의하여 유지되어 있는 상기 처리 용기 내에서 플라즈마를 발생시키는 제2 공정을 구비하며,
    상기 제1 각도 및 상기 제2 각도는, -180도 이상 +180도 이하이고,
    상기 제1 각도의 절댓값과 상기 제2 각도의 절댓값은 다르며,
    상기 피처리체는, 적층 영역과 상기 적층 영역 위에 마련된 마스크를 구비하고,
    상기 적층 영역은, 복수의 제1 층과 복수의 제2 층을 구비하며,
    상기 제1 층과 상기 제2 층은, 적층 방향을 따라 교대로 적층되고,
    상기 제1 층은, 상기 제2 층과 비교하여 등방성 에칭이 지배적인 층이며,
    상기 제1 각도의 절댓값은, 상기 제2 각도의 절댓값보다 작고,
    상기 제1 공정에서는, 상기 마스크를 이용하여 상기 적층 방향으로 상기 적층 영역을 에칭하며, 당해 에칭에 의하여 상기 적층 영역 내에 홈을 형성하고,
    상기 제2 공정에서는, 상기 홈의 내측에 노출된 상기 제1 층을 에칭하는, 방법.
  7. 청구항 6에 있어서,
    상기 제1 층은, 폴리실리콘 또는 질화물 영역이며,
    상기 제2 층은, 산화물 영역인, 방법.
KR1020197006414A 2016-08-05 2017-08-01 피처리체를 처리하는 방법 KR102462630B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2016154663A JP2018022830A (ja) 2016-08-05 2016-08-05 被処理体を処理する方法
JPJP-P-2016-154663 2016-08-05
PCT/JP2017/027888 WO2018025855A1 (ja) 2016-08-05 2017-08-01 被処理体を処理する方法

Publications (2)

Publication Number Publication Date
KR20190034645A KR20190034645A (ko) 2019-04-02
KR102462630B1 true KR102462630B1 (ko) 2022-11-03

Family

ID=61073786

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197006414A KR102462630B1 (ko) 2016-08-05 2017-08-01 피처리체를 처리하는 방법

Country Status (6)

Country Link
US (1) US11056370B2 (ko)
JP (1) JP2018022830A (ko)
KR (1) KR102462630B1 (ko)
CN (1) CN109564872B (ko)
TW (1) TWI731126B (ko)
WO (1) WO2018025855A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102272823B1 (ko) * 2018-07-30 2021-07-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
JP7209567B2 (ja) * 2018-07-30 2023-01-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP7345382B2 (ja) 2018-12-28 2023-09-15 東京エレクトロン株式会社 プラズマ処理装置及び制御方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000216447A (ja) 1999-01-26 2000-08-04 Japan Science & Technology Corp 異方性被加工材料を用いた立体的電子素子の製造方法及びその製造装置
WO2016060063A1 (ja) * 2014-10-15 2016-04-21 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6197888A (ja) * 1984-10-18 1986-05-16 Fujitsu Ltd 光半導体装置の製造方法
KR100480610B1 (ko) 2002-08-09 2005-03-31 삼성전자주식회사 실리콘 산화막을 이용한 미세 패턴 형성방법
JP2010041028A (ja) * 2008-07-11 2010-02-18 Tokyo Electron Ltd 基板処理方法
CN101740298B (zh) * 2008-11-07 2012-07-25 东京毅力科创株式会社 等离子体处理装置及其构成部件
JP5554047B2 (ja) * 2009-10-27 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置
JP6017928B2 (ja) * 2012-11-09 2016-11-02 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
WO2015115002A1 (ja) * 2014-01-29 2015-08-06 株式会社日立国際電気 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体
JP6504827B2 (ja) * 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
WO2017082373A1 (ja) 2015-11-13 2017-05-18 東京エレクトロン株式会社 被処理体をエッチングする方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000216447A (ja) 1999-01-26 2000-08-04 Japan Science & Technology Corp 異方性被加工材料を用いた立体的電子素子の製造方法及びその製造装置
WO2016060063A1 (ja) * 2014-10-15 2016-04-21 東京エレクトロン株式会社 プラズマ処理装置

Also Published As

Publication number Publication date
KR20190034645A (ko) 2019-04-02
WO2018025855A1 (ja) 2018-02-08
US20190189493A1 (en) 2019-06-20
JP2018022830A (ja) 2018-02-08
TWI731126B (zh) 2021-06-21
CN109564872B (zh) 2023-05-26
CN109564872A (zh) 2019-04-02
US11056370B2 (en) 2021-07-06
TW201816884A (zh) 2018-05-01

Similar Documents

Publication Publication Date Title
KR102510737B1 (ko) 원자층 에칭 방법
JP5219479B2 (ja) 弾道電子ビーム促進プラズマ処理システムにおける均一性制御方法及びシステム
KR102436638B1 (ko) Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
KR102462630B1 (ko) 피처리체를 처리하는 방법
US9048191B2 (en) Plasma etching method
TWI233644B (en) Plasma etching method and plasma etching apparatus
US20150044877A1 (en) Etching method
US20100081287A1 (en) Dry etching method
WO2012122064A1 (en) Method of etching silicon nitride films
KR102363688B1 (ko) 자성층을 에칭하는 방법
US7405162B2 (en) Etching method and computer-readable storage medium
EP0945896A1 (en) Plasma etching method
TWI695090B (zh) 被處理體之蝕刻方法
KR20140082685A (ko) 반도체 장치의 제조 방법
KR20150031227A (ko) 플라즈마 에칭 방법 및 플라즈마 처리 장치
TWI773767B (zh) 使用硫基化學品之電漿蝕刻含矽有機膜的方法
JP6096438B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
US20150279689A1 (en) Method for manufacturing semiconductor device
US20100022091A1 (en) Method for plasma etching porous low-k dielectric layers
US20100068888A1 (en) Dry etching method
JP2021090056A (ja) 超小型電子ワークピースの処理のための多極チャック
JPH11340217A (ja) プラズマ成膜方法
WO2017082373A1 (ja) 被処理体をエッチングする方法
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
TW202020971A (zh) 表面改性處理

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant