KR102408319B1 - 시료에 대한 시뮬레이팅된 출력 생성 - Google Patents

시료에 대한 시뮬레이팅된 출력 생성 Download PDF

Info

Publication number
KR102408319B1
KR102408319B1 KR1020187022252A KR20187022252A KR102408319B1 KR 102408319 B1 KR102408319 B1 KR 102408319B1 KR 1020187022252 A KR1020187022252 A KR 1020187022252A KR 20187022252 A KR20187022252 A KR 20187022252A KR 102408319 B1 KR102408319 B1 KR 102408319B1
Authority
KR
South Korea
Prior art keywords
sample
learning
electron beam
based model
information
Prior art date
Application number
KR1020187022252A
Other languages
English (en)
Other versions
KR20180095708A (ko
Inventor
크리스 바스카
징 장
그레이스 에이치 첸
아쇽 브이 쿨카르니
로랑 카르센티
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20180095708A publication Critical patent/KR20180095708A/ko
Application granted granted Critical
Publication of KR102408319B1 publication Critical patent/KR102408319B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • G03F1/86Inspecting by charged particle beam [CPB]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Other Investigation Or Analysis Of Materials By Electrical Means (AREA)

Abstract

시료에 대한 시뮬레이팅된 출력을 생성하기 위한 방법 및 시스템이 제공된다. 하나의 방법은 하나 이상의 컴퓨터 시스템으로 시료에 대한 정보를 획득하는 것을 포함한다. 정보는 시료의 실제 광학 이미지, 시료의 실제 전자 빔 이미지, 및 시료에 대한 설계 데이터 중 적어도 하나를 포함한다. 그 방법은 또한 시료에 대한 정보를 학습 기반 모델에 입력하는 것을 포함한다. 학습 기반 모델은 하나 이상의 컴퓨터 시스템에 의해 실행되는 하나 이상의 컴포넌트에 포함된다. 학습 기반 모델은, 광학 이미지, 전자 빔 이미지, 및 설계 데이터 사이의 삼각 관계를 매핑하도록 구성되며, 학습 기반 모델은 삼각 관계를 입력에 적용하여 시료에 대한 시뮬레이션 이미지를 생성한다.

Description

시료에 대한 시뮬레이팅된 출력 생성
본 발명은 일반적으로, 광학 이미지, 전자 빔 이미지, 및 설계 데이터 사이의 삼각 관계를 매핑하도록 구성되는 학습 기반 모델을 사용하여 시료에 대한 시뮬레이팅된 출력을 생성하기 위한 방법 및 시스템에 관한 것이다.
다음의 설명 및 예는, 이 섹션에서의 이들의 포함 덕분에 종래 기술인 것으로 인정되지 않는다.
로직 및 메모리 디바이스와 같은 반도체 디바이스를 제조하는 것은, 통상적으로, 다양한 피쳐 및 다수의 레벨의 반도체 디바이스를 형성하기 위한 아주 많은 수의 반도체 제조 프로세스를 사용하여 반도체 웨이퍼와 같은 기판을 프로세싱하는 것을 포함한다. 예를 들면, 리소그래피는, 레티클로부터의 패턴을 반도체 웨이퍼 상에 배열되는 레지스트로 전사하는 것을 수반하는 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학적 기계적 연마(chemical-mechanical polishing; CMP), 에칭, 퇴적(deposition), 및 이온 주입을 포함하지만, 그러나 이들로 제한되는 것은 아니다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에서 한 장치(arrangement)로 제조될 수도 있고, 그 다음 개개의 반도체 디바이스로 분리될 수도 있다.
시료 상의 결함을 검출하여 제조 프로세스에서 더 높은 수율을, 따라서 더 높은 이익을 촉진시키기 위해, 반도체 제조 프로세스 동안 다양한 단계에서 검사 프로세스가 사용된다. 검사는 항상 반도체 디바이스 제조의 중요한 부분이었다. 그러나, 반도체 디바이스의 치수가 감소함에 따라, 조건에 맞는 반도체 디바이스의 성공적인 제조에 대해 검사는 더욱 더 중요하게 되었는데, 그 이유는 더 작은 결함이 디바이스의 고장을 야기할 수 있기 때문이다.
결함 리뷰(review)는 통상적으로 예컨대 검사 프로세스에 의해 검출되는 결함을 재검출하는 것 및 고배율 광학 시스템 또는 주사 전자 현미경(scanning electron microscope; SEM)을 사용하여 더 높은 해상도에서 결함에 대한 추가 정보를 생성하는 것을 수반한다. 따라서 결함 리뷰는 검사에 의해 결함이 검출된 시료 상의 별개의 위치에서 수행된다. 결함 리뷰에 의해 생성되는 결함에 대한 더 높은 해상도 데이터는, 프로파일, 거칠기(roughness), 더욱 정확한 사이즈 정보, 등등과 같은 결함의 속성을 결정하는 데 더욱 적합하다.
계측 프로세스는, 프로세스를 모니터링하고 제어하기 위해 반도체 제조 프로세스 동안 다양한 단계에서 또한 사용된다. 시료 상에서 결함이 검출되는 검사 프로세스와 달리, 현재 사용되는 검사 툴을 사용하여 결정될 수 없는 시료의 하나 이상의 특성을 측정하기 위해 계측 프로세스가 사용된다는 점에서, 계측 프로세스는 검사 프로세스와는 상이하다. 예를 들면, 계측 프로세스는, 프로세스의 성능이 하나 이상의 특성으로부터 결정될 수 있도록, 프로세스 동안 시료 상에 형성되는 피쳐의 치수(예를 들면, 선폭, 두께, 등등)와 같은 시료의 하나 이상의 특성을 측정하기 위해 사용된다. 또한, 시료의 하나 이상의 특성이 수용 불가능한 경우(예를 들면, 특성(들)에 대한 미리 결정된 범위 밖에 있는 경우), 시료의 하나 이상의 특성의 측정치는, 프로세스에 의해 제조되는 추가적인 시료가 수용 가능한 특성(들)을 가지도록, 프로세스의 하나 이상의 파라미터를 수정하기 위해 사용될 수도 있다.
검사에 의해 검출되는 결함이 결함 리뷰에서 다시 방문되는 결함 리뷰 프로세스와는 달리, 계측 프로세스는 어떠한 결함도 검출되지 않은 위치에서 수행될 수도 있다는 점에서, 계측 프로세스는 결함 리뷰 프로세스와는 또한 상이하다. 다시 말하면, 결함 리뷰와는 달리, 시료 상에서 계측 프로세스가 수행되는 위치는 시료에 대해 수행되는 검사 프로세스의 결과와는 독립적일 수도 있다. 특히, 계측 프로세스가 수행되는 위치는 검사 결과와는 독립적으로 선택될 수도 있다.
따라서, 상기에서 설명되는 바와 같이, 단일의 시료에 대해 상이한 정보가 생성될 수도 있다. 이 정보는 시료에 대한 설계 정보(즉, 시료 상에 형성되고 있는 디바이스의 설계에 대한 정보), 하나 이상의 툴(예를 들면, 검사 툴)에 의해 시료에 대해 생성되는 광학 이미지(들), 하나 이상의 툴(예를 들면, 결함 리뷰 툴)에 의해 시료에 대해 생성되는 전자 빔 이미지(들)를 포함할 수도 있다. 시료에 관한 또는 대한 하나 이상의 프로세스를 수행하기 위해 및/또는 시료에 대한 추가 정보를 결정하기 위해 상이한 정보의 조합을 사용하는 것이 때로는 도움이 될 수 있다. 예를 들면, 시료의 설계 내의 단일의 위치에서 식별되는 문제를 진단하기 위해서는 설계 내의 그 위치에 대응하는 광학 및 전자 빔 이미지를 갖는 것이 도움이 될 수도 있다.
따라서 시료에 대해 상이한 타입의 정보를 조합하여 사용하는 것은, 다른 타입의 정보에 대한 하나의 타입의 정보의 어떤 매핑을 필요로 한다. 종종, 현재로서는, 이러한 매핑은 시료에 대해 생성되는 상이한 실제 이미지를 서로에 대해 정렬하는 것에 의해(예를 들면, 이미지 내의 및/또는 시료 상의 정렬 피쳐를 사용하는 것에 의해 및/또는 상이한 실제 이미지를 공통 기준(예를 들면, 설계)에 대해 정렬하는 것에 의해) 수행될 수도 있다. 그러나, 상이한 타입의 정보(예를 들면, 상이한 해상도, 픽셀 사이즈, 이미징 방법(예컨대, 광학 대 전자 빔), 등등) 사이의 차이로 인해, 상이한 타입의 정보 사이의 매핑을 확립하기 위한, 다른 타입의 정보에 대한 하나의 타입의 정보의 정렬은 상대적으로 어려울 수 있으며 시료 상의 노이즈 소스(예를 들면, 컬러 변화) 및 정렬 방법 및/또는 알고리즘에서의 에러에 취약하다. 또한, 종종, 조합하여 사용되는 상이한 타입의 정보는 서로 개별적으로 그리고 독립적으로 생성되어야만 한다. 예를 들면, 시료에 대한 광학 이미지와 전자 빔 이미지 사이의 관계를 확립하기 위해, 실제 광학 및 전자 빔 이미지는 시료의 물리적 버전을 이미지화하는 것에 의해 생성되는 것을 필요로 할 수도 있다. 또한, 이러한 이미지와 시료에 대한 설계 정보 사이의 관계를 확립하기 위해서는, 설계 정보가 필요로 될 수도 있고 항상 이용 가능하지 않을 수도 있다. 따라서, 레티클 및 웨이퍼와 같은 시료에 대한 상이한 타입의 정보 사이의 매핑을 결정하기 위한 현재 사용되는 방법 및 시스템은, 시간 소모적일 수 있고, 실제 이미징을 위한 시료와 이미지를 생성하기 위한 이미징 툴을 필요로 하는 것에 기인하여 비용이 많이 들 수 있고, 매핑 자체에서의 다른 에러 및 노이즈에 기인하여 에러가 발생하기 쉬울 수 있고, 설계 정보가 이용 불가능한 경우 심지어 불가능할 수 있다.
따라서, 상기에서 설명되는 단점 중 하나 이상을 가지지 않는, 시료에 대한 상이한 타입의 정보를 서로 매핑하기 위한 시스템 및 방법을 개발하는 것이 유익할 것이다.
다양한 실시형태의 하기의 설명은, 첨부된 청구범위의 주제를 어떤 식으로든 제한하는 것으로 해석되지 않아야 한다.
하나의 실시형태는 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템에 관한 것이다. 시스템은 시료에 대한 정보를 획득하도록 구성되는 하나 이상의 컴퓨터 서브시스템을 포함한다. 정보는 시료의 실제 광학 이미지, 시료의 실제 전자 빔 이미지, 및 시료에 대한 설계 데이터 중 적어도 하나를 포함한다. 시스템은 또한 하나 이상의 컴퓨터 서브시스템에 의해 실행되는 하나 이상의 컴포넌트를 포함한다. 하나 이상의 컴포넌트는, 광학 이미지, 전자 빔 이미지, 및 설계 데이터 사이의 삼각 관계를 매핑하도록 구성되는 학습 기반 모델을 포함한다. 하나 이상의 컴퓨터 서브시스템은 시료에 대한 정보를 학습 기반 모델에 입력하도록 구성되며, 학습 기반 모델은 삼각 관계를 입력에 적용하고 그에 의해 시료에 대한 시뮬레이팅된 출력을 생성한다. 시스템은 또한 본원에서 설명되는 바와 같이 구성될 수도 있다.
다른 실시형태는 시료에 대한 시뮬레이팅된 출력을 생성하기 위한 컴퓨터 구현 방법에 관한 것이다. 그 방법은 하나 이상의 컴퓨터 시스템으로 시료에 대한 정보를 획득하는 것을 포함한다. 정보는 시료의 실제 광학 이미지, 시료의 실제 전자 빔 이미지, 및 시료에 대한 설계 데이터 중 적어도 하나를 포함한다. 그 방법은 또한 시료에 대한 정보를 학습 기반 모델에 입력하는 것을 포함한다. 학습 기반 모델은 하나 이상의 컴퓨터 시스템에 의해 실행되는 하나 이상의 컴포넌트에 포함된다. 학습 기반 모델은, 광학 이미지, 전자 빔 이미지, 및 설계 데이터 사이의 삼각 관계를 매핑하도록 구성되며, 학습 기반 모델은 입력에 삼각 관계를 적용하고 그에 의해 시료에 대한 시뮬레이팅된 출력을 생성한다.
상기에서 설명되는 방법의 단계의 각각은 또한, 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 또한, 상기에서 설명되는 방법의 실시형태는 본원에서 설명되는 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수도 있다. 또한, 상기에서 설명되는 방법은 본원에서 설명되는 시스템 중 임의의 것에 의해 수행될 수도 있다.
다른 실시형태는, 시료에 대한 시뮬레이팅된 출력을 생성하기 위한 컴퓨터 구현 방법을 수행하기 위한 하나 이상의 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체에 관한 것이다. 컴퓨터 구현 방법은 상기에서 설명되는 방법의 단계를 포함한다. 컴퓨터 판독 가능 매체는 또한, 본원에서 설명되는 바와 같이 구성될 수도 있다. 컴퓨터 구현 방법의 단계는 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 또한, 프로그램 명령어가 실행 가능한 컴퓨터 구현 방법은 본원에서 설명되는 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수도 있다.
본 발명의 추가적인 이점은, 바람직한 실시형태의 하기의 상세한 설명의 이점을 가지고 첨부의 도면을 참조하면 기술 분야의 숙련된 자에게 명확하게 될 것인데, 도면에서:
도 1은, 본원에서 설명되는 바와 같이 구성되는 시스템의 실시형태의 측면도를 예시하는 개략도이다;
도 2는 본원에서 설명되는 학습 기반 모델이 구성되는 삼각 매핑을 예시하는 블록도이다; 그리고
도 3은, 하나 이상의 컴퓨터 시스템으로 하여금 본원에서 설명되는 컴퓨터 구현 방법을 수행하게 하기 위한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체의 하나의 실시형태를 예시하는 블록도이다;
본 발명이 다양한 수정예 및 대안적 형태를 허용하지만, 그 특정한 실시형태가 예로서 도면에서 도시되며 본원에서 상세히 설명된다. 도면은 일정한 비율이 아닐 수도 있다. 그러나, 도면 및 도면에 대한 상세한 설명은 본 발명을 개시되는 특정한 형태로 제한하도록 의도되는 것은 아니며, 오히려, 본 발명은 첨부된 청구범위에 의해 정의되는 바와 같은 본 발명의 취지와 범위 내에 속하는 모든 수정예, 등가예 및 대안예를 포괄할 것이다는 것이 이해되어야 한다.
본원에서 상호 교환 가능하게 설명되는 바와 같은 용어 "설계", "설계 데이터", 및 "설계 정보"는, 일반적으로, IC의 물리적 설계(레이아웃) 및 복잡한 시뮬레이션 또는 간단한 기하학적 연산 및 불린(Boolean) 연산을 통해 물리적 설계로부터 유도되는 데이터를 가리킨다. 또한, 레티클 검사 시스템에 의해 획득되는 레티클의 이미지 및/또는 그 파생물(derivative)은 설계를 위한 "프록시(proxy)" 또는 "프록시들"로서 사용될 수 있다. 이러한 레티클 이미지 또는 그 파생물은 설계를 사용하는 본원에서 설명되는 임의의 실시형태에서 설계 레이아웃에 대한 대체물로서 기능할 수 있다. 설계는, 공동 소유의 2009년 8월 4일자로 발행된 Zafar 등등의 미국 특허 제7,570,796호, 및 2010년 3월 9일자로 발행된 Kulkarni 등등의 미국 특허 제7,676,077호에서 설명되는 임의의 다른 설계 데이터 또는 설계 데이터 프록시를 포함할 수도 있는데, 이들 두 특허 모두는 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 또한, 설계 데이터는, 표준 셀 라이브러리 데이터, 통합 레이아웃 데이터, 하나 이상의 층에 대한 설계 데이터, 설계 데이터의 파생물, 및 전체적인 또는 부분적인 칩 설계 데이터일 수 있다.
또한, 본원에서 설명되는 "설계", "설계 데이터", "설계 정보"는, 설계 프로세스에서 반도체 디바이스 설계자에 의해 생성되며 따라서, 레티클 및 웨이퍼와 같은 임의의 물리적 시료에 대한 설계의 인쇄에 앞서 본원에서 설명되는 실시형태에서의 사용에 또한 이용 가능한 정보 및 데이터를 가리킨다.
이제, 도면을 참조하면, 도면은 일정한 비율로 묘사되지 않는다는 것을 유의한다. 특히, 도면의 엘리먼트 중 몇몇의 스케일은 그 엘리먼트의 특성을 강조하기 위해 크게 과장된다. 도면은 동일한 비율로 묘사되지 않는다는 것을 또한 유의한다. 유사하게 구성될 수도 있는 하나보다 많은 도면에서 도시되는 엘리먼트는, 동일한 참조 번호를 사용하여 나타내어진다. 본원에서 그렇지 않다고 언급되지 않는 한, 설명되고 도시되는 엘리먼트 중 임의의 것은 임의의 적절한 상업적으로 이용 가능한 엘리먼트를 포함할 수도 있다.
하나의 실시형태는 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템에 관한 것이다. 하나의 이러한 실시형태는 도 1에서 도시된다. 그 시스템은 광학 툴(10)을 포함할 수도 있다. 일반적으로, 광학 툴은, 광을 시료로 지향시키고(또는 광을 시료 위로 주사하고) 시료로부터 광을 검출하는 것에 의해, 시료의 광학 이미지를 생성하도록 구성된다. 하나의 실시형태에서, 시료는 웨이퍼를 포함한다. 웨이퍼는 기술 분야에서 공지되어 있는 임의의 웨이퍼를 포함할 수도 있다. 다른 실시형태에서, 시료는 레티클을 포함한다. 레티클은 기술 분야에서 공지되어 있는 임의의 레티클을 포함할 수도 있다.
도 1에서 도시되는 시스템의 실시형태에서, 광학 툴(10)은 시료(14)에 광을 지향시키도록 구성되는 조명 서브시스템을 포함한다. 조명 서브시스템은 적어도 하나의 광원을 포함한다. 예를 들면, 도 1에서 도시되는 바와 같이, 조명 서브시스템은 광원(16)을 포함한다. 하나의 실시형태에서, 조명 서브시스템은 하나 이상의 입사각에서 시료에 광을 지향시키도록 구성되는데, 하나 이상의 입사각은 하나 이상의 경사각(oblique angle) 및/또는 하나 이상의 수직각(normal angle)을 포함할 수도 있다. 예를 들면, 도 1에서 도시되는 바와 같이, 광원(16)으로부터의 광은 광학 엘리먼트(18)와, 그 다음, 렌즈(20)를 통과하여, 비스듬한 입사각에서 시료(14)로 지향된다. 기울어진 입사각은 임의의 적절한 기울어진 입사각을 포함할 수도 있는데, 임의의 적절한 기울어진 입사각은, 예를 들면, 시료의 특성에 의존하여 변할 수도 있다.
광학 툴은 상이한 시간에 상이한 입사각에서 시료에 광을 지향시키도록 구성될 수도 있다. 예를 들면, 광학 툴은, 도 1에서 도시되는 것과는 상이한 입사각에서 광이 시료로 지향될 수 있도록, 조명 서브시스템의 하나 이상의 엘리먼트의 하나 이상의 특성을 수정하도록 구성될 수도 있다. 하나의 이러한 예에서, 광학 툴은, 광이 상이하고 비스듬한 입사각 또는 수직(또는 거의 수직) 입사각에서 시료로 지향되도록, 광원(16), 광학 엘리먼트(18), 및 렌즈(20)를 이동시키게끔 구성될 수도 있다.
몇몇 경우에, 광학 툴은 동시에 하나보다 많은 입사각에서 광을 시료로 지향시키도록 구성될 수도 있다. 예를 들면, 조명 서브시스템은 하나보다 많은 조명 채널을 포함할 수도 있고, 조명 채널 중 하나는 도 1에서 도시되는 바와 같이 광원(16), 광학 엘리먼트(18), 및 렌즈(20)를 포함할 수도 있고, 조명 채널 중 다른 것(도시되지 않음)은, 상이하게 또는 동일하게 구성될 수도 있는 유사한 엘리먼트를 포함할 수도 있거나, 또는 적어도 광원 및 어쩌면 본원에서 추가로 설명되는 것과 같은 하나 이상의 다른 컴포넌트를 포함할 수도 있다. 이러한 광이 다른 광과 동일한 시간에 시료로 지향되면, 상이한 입사각에서 시료로 지향되는 광의 하나 이상의 특성(예를 들면, 파장, 편광, 등등)은, 상이한 입사각에서 시료의 조명으로부터 유래하는 광이 검출기(들)에서 서로 구별될 수 있도록, 상이할 수도 있다.
다른 경우에, 조명 서브시스템은 단지 하나의 광원(예를 들면, 도 1에서 도시되는 소스(16))을 포함할 수도 있고, 광원으로부터의 광은 조명 서브시스템의 하나 이상의 광학 엘리먼트(도시되지 않음)에 의해(예를 들면, 파장, 편광, 등등에 기초하여) 상이한 광학 경로로 분리될 수도 있다. 그 다음, 상이한 광학 경로의 각각에서의 광이 시료로 지향될 수도 있다. 동시에 또는 상이한 시간에(예를 들면, 시료를 순차적으로 조명하기 위해 상이한 조명 채널이 사용되는 경우) 광을 시료에 지향시키도록, 다수의 조명 채널이 구성될 수도 있다. 다른 경우에, 동일한 조명 채널은, 상이한 시간에 상이한 특성을 갖는 시료에 광을 지향시키도록 구성될 수도 있다. 예를 들면, 몇몇 경우에, 광학 엘리먼트(18)는 스펙트럼 필터로서 구성될 수도 있고, 스펙트럼 필터의 속성(property)은, 광의 상이한 파장이 상이한 시간에 시료로 지향될 수 있도록, 여러 가지 상이한 방식으로(예를 들면, 스펙트럼 필터를 교환하는 것에 의해) 변경될 수 있다. 조명 서브시스템은, 상이한 또는 동일한 특성을 갖는 광을 상이한 또는 동일한 입사각에서 순차적으로 또는 동시적으로 시료로 지향시키기 위한 기술 분야에서 공지되어 있는 임의의 다른 적절한 구성을 구비할 수도 있다.
하나의 실시형태에서, 광원(16)은 광대역 플라즈마(broadband plasma; BBP) 광원을 포함할 수도 있다. 이 방식에서, 광원에 의해 생성되며 시료로 지향되는 광은 광대역 광을 포함할 수도 있다. 그러나, 광원은 레이저와 같은 임의의 다른 적절한 광원을 포함할 수도 있다. 레이저는 기술 분야에서 공지되어 있는 임의의 적절한 레이저를 포함할 수도 있고 기술 분야에서 공지되어 있는 임의의 적절한 파장 또는 파장들에서 광을 생성하도록 구성될 수도 있다. 또한, 레이저는 단색성인(monochromatic) 또는 거의 단색성인 광을 생성하도록 구성될 수도 있다. 이 방식에서, 레이저는 협대역(narrowband) 레이저일 수도 있다. 광원은 또한, 다수의 별개의 파장 또는 파장 대역(waveband)에서 광을 생성하는 다색성 광원(polychromatic light source)을 포함할 수도 있다.
광학 엘리먼트(18)로부터의 광은 렌즈(20)에 의해 시료(14) 상으로 집광될 수도 있다. 비록 렌즈(20)가 도 1에서 단일의 굴절성 광학 엘리먼트로서 도시되지만, 렌즈(20)는, 실제로는, 조합하여 광학 엘리먼트로부터의 광을 시료로 집광시키는 다수의 굴절성 및/또는 반사성 광학 엘리먼트를 포함할 수도 있다는 것이 이해되어야 한다. 도 1에서 도시되며 본원에서 설명되는 조명 서브시스템은 임의의 다른 적절한 광학 엘리먼트(도시되지 않음)를 포함할 수도 있다. 이러한 광학 엘리먼트의 예는, 기술 분야에서 공지되어 있는 임의의 이러한 적절한 광학 엘리먼트를 포함할 수도 있는, 편광 컴포넌트(들), 스펙트럼 필터(들), 공간 필터(들), 반사성 광학 엘리먼트(들), 아포다이저(apodizer)(들), 빔 스플리터(들), 어퍼쳐(들), 및 등등을 포함하지만, 그러나 이들로 제한되지는 않는다. 또한, 광학 툴은 광학 이미지를 생성하도록 사용되는 조명의 타입에 기초하여 조명 서브시스템의 엘리먼트 중 하나 이상을 변경하도록 구성될 수도 있다.
광학 툴은 또한 광이 시료에 걸쳐 주사되도록 구성되는 주사 서브시스템을 포함할 수도 있다. 예를 들면, 광학 툴은 광학 이미징 동안 시료(14)가 배치되는 스테이지(22)를 포함할 수도 있다. 주사 서브시스템은, 광이 시료에 걸쳐 주사될 수 있도록 시료를 이동시키도록 구성될 수 있는 임의의 적절한 기계적 및/또는 로봇식(robotic) 어셈블리(스테이지(22)를 포함함)를 포함할 수도 있다. 추가적으로, 또는 대안적으로, 광학 툴은 광학 툴의 하나 이상의 광학 엘리먼트가 시료에 걸친 광의 일부 주사를 수행하도록 구성될 수도 있다. 광은, 사행형(serpentine-like) 경로에서와 같은 또는 나선형 경로에서와 같은 임의의 적절한 양식으로 시료에 걸쳐 주사될 수도 있다.
광학 툴은 하나 이상의 검출 채널을 더 포함한다. 하나 이상의 검출 채널 중 적어도 하나는, 툴에 의한 시료의 조명에 기인하는 시료로부터의 광을 검출하도록 그리고 검출된 광에 응답하여 출력을 생성하도록 구성되는 검출기를 포함한다. 예를 들면, 도 1에서 도시되는 광학 툴은 두 개의 검출 채널을 포함하는데, 하나는 콜렉터(24), 엘리먼트(26), 및 검출기(28)에 의해 형성되고 다른 하나는 콜렉터(30), 엘리먼트(32), 및 검출기(34)에 의해 형성된다. 도 1에서 도시되는 바와 같이, 두 개의 검출 채널은 상이한 수집각에서 광을 수집 및 검출하도록 구성된다. 몇몇 경우에, 검출 채널 둘 모두는 산란된 광을 검출하도록 구성되고, 검출 채널은, 시료로부터 상이한 각도에서 산란되는 광을 검출하도록 구성된다. 그러나, 검출 채널 중 하나 이상은, 시료로부터 다른 타입의 광(예를 들면, 반사 광)을 검출하도록 구성될 수도 있다.
도 1에서 더 도시되는 바와 같이, 검출 채널 둘 모두는, 지면(plane of the paper)에 위치되어 도시되고 조명 서브시스템도 또한 지면에 위치되어 도시된다. 따라서, 이 실시형태에서, 검출 채널 둘 모두는 입사면 내에 위치된다(예를 들면, 입사면 내에 중심을 둔다). 그러나, 검출 채널 중 하나 이상은 입사면 밖에 배치될 수도 있다. 예를 들면, 콜렉터(30), 엘리먼트(32), 및 검출기(34)에 의해 형성되는 검출 채널은, 입사면의 밖으로 산란되는 광을 수집하여 검출하도록 구성될 수도 있다. 따라서, 이러한 검출 채널은, 일반적으로 "사이드(side)" 채널로 칭해질 수도 있으며, 이러한 사이드 채널은, 입사면에 실질적으로 수직인 평면에 중심을 둘 수도 있다.
도 1이 두 개의 검출 채널을 포함하는 광학 툴의 실시형태를 도시하지만, 광학 툴은 상이한 수의 검출 채널(예를 들면, 단지 하나의 검출 채널 또는 두 개 이상의 검출 채널)을 포함할 수도 있다. 하나의 이러한 경우에서, 콜렉터(30), 엘리먼트(32), 및 검출기(34)에 의해 형성되는 검출 채널은, 상기에서 설명되는 바와 같이 하나의 사이드 채널을 형성할 수도 있고, 광학 툴은, 입사면의 대향하는 면 상에 배치되는 다른 사이드 채널로서 형성되는 추가적인 검출 채널(도시되지 않음)을 포함할 수도 있다. 따라서, 광학 툴은, 콜렉터(24), 엘리먼트(26), 및 검출기(28)를 포함하는 그리고 입사면의 중앙에 위치되며 시료 표면에 수직인 또는 거의 수직인 산란각(들)에서 광을 수집 및 검출하도록 구성되는 검출 채널을 포함할 수도 있다. 따라서, 이 검출 채널은 일반적으로 "탑" 채널로 칭해질 수도 있으며, 광학 툴은 또한 상기에서 설명되는 바와 같이 구성되는 두 개 이상의 사이드 채널을 포함할 수도 있다. 그러한 만큼, 광학 툴은, 적어도 세 개의 채널(즉, 하나의 탑 채널 및 두 개의 사이드 채널)을 포함할 수도 있고, 적어도 세 개의 채널의 각각은 자기 자신의 콜렉터를 구비하는데, 그 콜렉터의 각각은 다른 콜렉터의 각각과는 상이한 산란각에서 광을 수집하도록 구성된다.
상기에서 추가로 설명되는 바와 같이, 광학 툴에 포함되는 검출 채널의 각각은 산란된 광을 검출하도록 구성될 수도 있다. 따라서 도 1에서 도시되는 광학 툴은 시료의 암시야(dark field; DF) 이미징을 위해 구성될 수도 있다. 그러나, 광학 툴은, 또한 또는 대안적으로, 시료의 명시야(bright field; BF) 이미징을 위해 구성되는 검출 채널(들)을 포함할 수도 있다. 다시 말하면, 광학 툴은, 시료로부터 거울 반사되는(specularly reflected) 광을 검출하도록 구성되는 적어도 하나의 검출 채널을 포함할 수도 있다. 따라서, 본원에서 설명되는 광학 툴은 DF 이미징만을 위해, BF 이미징만을 위해, 또는 DF 및 BF 이미징 둘 모두를 위해 구성될 수도 있다. 비록 콜렉터의 각각이 도 1에서 단일의 굴절성 광학 엘리먼트로서 도시되지만, 콜렉터의 각각은 하나 이상의 굴절성 광학 엘리먼트(들) 및/또는 하나 이상의 반사성 광학 엘리먼트(들)를 포함할 수도 있다는 것이 이해되어야 한다.
하나 이상의 검출 채널은 기술 분야에서 공지되어 있는 임의의 적절한 검출기를 포함할 수도 있다. 예를 들면, 검출기는 광 증배관(photo-multiplier tube; PMT), 전하 결합 소자(charge coupled device; CCD), 시간 지연 통합(time delay integration; TDI) 카메라, 및 기술 분야에서 공지되어 있는 임의의 다른 적절한 검출기를 포함할 수도 있다. 검출기는 또한, 비이미징(non-imaging) 검출기 또는 이미징(imaging) 검출기를 포함할 수도 있다. 이 방식에서, 검출기가 비이미징 검출기이면, 검출기의 각각은, 강도(intensity)와 같은 산란된 광의 소정의 특성을 검출하도록 구성될 수도 있지만, 그러나 이미징 면 내에서의 위치의 함수와 같은 그러한 특성을 검출하도록 구성되지 않을 수도 있다. 이와 같이, 광학 툴의 검출 채널의 각각에 포함되는 검출기의 각각에 의해 생성되는 출력은 신호 또는 데이터일 수도 있지만, 그러나 이미지 신호 또는 이미지 데이터는 아닐 수도 있다. 이러한 경우에, 시스템의 컴퓨터 서브시스템(36)과 같은 컴퓨터 서브시스템은, 검출기의 비이미징 출력으로부터 시료의 이미지를 생성하도록 구성될 수도 있다. 그러나, 다른 경우에, 검출기는, 이미징 신호 또는 이미지 데이터를 생성하도록 구성되는 이미징 검출기로서 구성될 수도 있다. 따라서, 광학 툴은 다수의 방식으로 본원에서 설명되는 광학 이미지를 생성하도록 구성될 수도 있다.
도 1은, 본원에서 설명되는 시스템 실시형태에 포함될 수도 있는 또는 본원에서 설명되는 시스템 실시형태에 의해 사용되는 광학 이미지를 생성할 수도 있는 광학 툴의 구성을 일반적으로 예시하기 위해 본원에서 제공된다는 것을 유의한다. 명백하게, 본원에서 설명되는 광학 툴 구성은, 상용의 광학 툴을 설계할 때 일반적으로 수행되는 바와 같이 광학 툴의 성능을 최적화하도록 변경될 수도 있다. 또한, 본원에서 설명되는 시스템은, 미국 캘리포니아 밀피타스(Milpitas)의 KLA-Tencor로부터 상업적으로 이용 가능한 29xx/39xx 및 Puma 9xxxeSxxx 시리즈의 툴과 같은 현존하는 검사 시스템을 사용하여 (예를 들면, 본원에서 설명되는 기능성을 현존하는 시스템에 추가하는 것에 의해) 구현될 수도 있다. 몇몇 이러한 시스템의 경우, 본원에서 설명되는 실시형태는 시스템의 옵션적인 기능성으로서 (예를 들면, 시스템의 다른 기능성에 추가하여) 제공될 수도 있다. 대안적으로, 본원에서 설명되는 광학 툴은 완전히 새로운 광학 툴을 제공하기 위해 "처음부터(from scratch)" 설계될 수도 있다.
광학 툴에 커플링되는 컴퓨터 서브시스템(36)은, 컴퓨터 서브시스템이 시료에 대한 검출기에 의해 생성되는 출력을 수신할 수 있도록, (예를 들면, "유선" 및/또는 "무선" 송신 매체를 포함할 수도 있는 하나 이상의 송신 매체를 통해) 임의의 적절한 방식으로 광학 툴의 검출기에 커플링될 수도 있다. 컴퓨터 서브시스템(36)은 검출기의 출력을 사용하여 본원에서 추가로 설명되는 다수의 기능을 수행하도록 구성될 수도 있다.
도 1에서 도시되는 컴퓨터 서브시스템(뿐만 아니라 본원에서 설명되는 다른 컴퓨터 서브시스템)은 또한 본원에서 컴퓨터 시스템(들)으로 지칭될 수도 있다. 본원에서 설명되는 컴퓨터 서브시스템(들) 또는 시스템(들)의 각각은, 퍼스널 컴퓨터 시스템, 이미지 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 어플라이언스, 인터넷 어플라이언스, 또는 다른 디바이스를 비롯한 다양한 형태를 취할 수도 있다. 일반적으로, 용어 "컴퓨터 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수도 있다. 컴퓨터 서브시스템(들) 또는 시스템(들)은 또한, 병렬 프로세서와 같은 기술 분야에서 공지되어 있는 임의의 적절한 프로세서를 포함할 수도 있다. 또한, 컴퓨터 서브시스템(들) 또는 시스템(들)은, 고속 프로세싱 및 소프트웨어를, 독립형의 또는 네트워크화된 툴로서 갖는 컴퓨터 플랫폼을 포함할 수도 있다.
시스템이 하나보다 많은 컴퓨터 서브시스템을 포함하면, 상이한 컴퓨터 서브시스템은, 이미지, 데이터, 정보, 명령어, 등등이, 본원에서 추가로 설명되는 바와 같이, 컴퓨터 서브시스템 사이에서 전송될 수 있도록, 서로 커플링될 수도 있다. 예를 들면, 컴퓨터 서브시스템(36)은, 임의의 적절한 송신 매체에 의해 도 1에서 점선에 의해 도시되는 바와 같이 컴퓨터 서브시스템(들)(102)에 커플링될 수도 있는데, 임의의 적절한 송신 매체는 기술 분야에서 공지되어 있는 임의의 적절한 유선 및/또는 무선 송신 매체를 포함할 수도 있다. 이러한 컴퓨터 서브시스템 중 두 개 이상은 또한, 공유된 컴퓨터 판독 가능 저장 매체(도시되지 않음)에 의해 효과적으로 커플링될 수도 있다.
시스템은 또한, 전자를 시료에 지향시키고(또는 전자를 시료에 걸쳐 주사하고) 시료로부터 전자를 검출하는 것에 의해, 시료의 전자 빔 이미지를 생성하도록 구성되는 전자 빔 툴을 포함할 수도 있다. 도 1에서 도시되는 이러한 하나의 실시형태에서, 전자 빔 툴은 컴퓨터 서브시스템(124)에 커플링될 수도 있는 전자 칼럼(122)을 포함한다.
도 1에서 또한 도시되는 바와 같이, 전자 칼럼은, 하나 이상의 엘리먼트(130)에 의해 시료(128)로 집속되는 전자를 생성하도록 구성되는 전자 빔 소스(126)를 포함한다. 전자 빔 소스는, 예를 들면, 캐소드 소스 또는 에미터 팁을 포함할 수도 있고, 하나 이상의 엘리먼트(130)는, 예를 들면, 건 렌즈(gun lens), 애노드, 빔 제한용 어퍼쳐(beam limiting aperture), 게이트 밸브, 빔 전류 선택 어퍼쳐, 대물 렌즈, 및 주사 서브시스템을 포함할 수도 있는데, 이들 모두는 기술 분야에서 공지되어 있는 임의의 이런 적절한 엘리먼트를 포함할 수도 있다.
시료로부터 되돌아오는 전자(예를 들면, 이차 전자)는 하나 이상의 엘리먼트(132)에 의해 검출기(134)로 집속될 수도 있다. 하나 이상의 엘리먼트(132)는, 예를 들면, 주사 서브시스템을 포함할 수도 있는데, 주사 서브시스템은 엘리먼트(들)(130)에 포함되는 동일한 주사 서브시스템일 수도 있다.
전자 칼럼은 기술 분야에서 공지되어 있는 임의의 다른 적절한 엘리먼트를 포함할 수도 있다. 게다가, 전자 칼럼은 또한, 2014년 4월 4일자로 발행된 Jiang 등등의 미국 특허 제8,664,594호, 2014년 4월 8일자로 발행된 Kojima 등등의 미국 특허 제8,692,204호, 2014년 4월 15일자로 발행된 Gubbens 등등의 미국 특허 제8,698,093호, 및 2014년 5월 6일자로 발행된 MacDonald 등등의 미국 특허 제8,716,662호에서 설명되는 바와 같이 구성될 수도 있는데, 이들 특허는 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다.
전자가 비스듬한 입사각에서 시료로 지향되고 다른 비스듬한 각도에서 시료로부터 산란되도록 구성되는 것으로 전자 칼럼이 도 1에서 도시되지만, 전자 빔은 임의의 적절한 각도에서 시료로 지향될 수도 있고 시료로부터 산란될 수도 있다는 것이 이해되어야 한다. 또한, 전자 빔 툴은, 본원에서 추가로 설명되는 바와 같이, (예를 들면, 상이한 조명 각도, 수집 각도, 등등을 가지고) 다수의 모드를 사용하여 시료의 전자 빔 이미지를 생성하도록 구성될 수도 있다. 전자 빔 툴의 다수의 모드는 전자 빔 툴의 임의의 이미징 파라미터에서 상이할 수도 있다.
컴퓨터 서브시스템(124)은 상기에서 설명되는 바와 같이 검출기(134)에 커플링될 수도 있다. 검출기는 시료의 표면으로부터 되돌아오는 전자를 검출하고 그에 의해 시료의 전자 빔 출력 및/또는 이미지를 형성할 수도 있다. 전자 빔 출력 및/또는 이미지는 임의의 적절한 전자 빔 출력 및/또는 이미지를 포함할 수도 있다. 컴퓨터 서브시스템(124)은 검출기(134)에 의해 생성되는 출력을 사용하여 시료에 대해 본원에서 추가로 설명되는 하나 이상의 기능을 수행하도록 구성될 수도 있다. 컴퓨터 서브시스템(124)은 본원에서 설명되는 임의의 추가적인 단계(들)를 수행하도록 구성될 수도 있다.
도 1은, 본원에서 설명되는 실시형태에 포함될 수도 있는 전자 빔 툴의 구성을 일반적으로 예시하기 위해 본원에서 제공된다는 것을 유의한다. 상기에서 설명되는 광학 툴에서와 같이, 본원에서 설명되는 전자 빔 툴 구성은, 상용의 전자 빔 툴을 설계할 때 일반적으로 수행되는 바와 같이 전자 빔 툴의 성능을 최적화하도록 변경될 수도 있다. 게다가, 본원에서 설명되는 시스템은, KLA-Tencor로부터 상업적으로 이용 가능한 eSxxx 및 eDR-xxxx 시리즈의 툴과 같은 현존하는 시스템을 사용하여 (예를 들면, 본원에서 설명되는 기능성을 현존하는 시스템에 추가하는 것에 의해) 구현될 수도 있다. 몇몇 이러한 시스템의 경우, 본원에서 설명되는 실시형태는 시스템의 옵션적인 기능성으로서 (예를 들면, 시스템의 다른 기능성에 추가하여) 제공될 수도 있다. 대안적으로, 본원에서 설명되는 시스템은, 완전히 새로운 시스템을 제공하기 위해 "처음부터" 설계될 수도 있다.
본원에서 설명되는 실시형태에서, 광학 및 전자 빔 툴은 여러 가지 상이한 방식으로 서로 커플링될 수도 있다. 예를 들면, 하나의 실시형태에서, 광학 툴 및 전자 빔 툴은 하나 이상의 컴퓨터 서브시스템을 통해서만 서로 커플링된다. 하나의 이러한 예에서, 도 1에서 도시되는 바와 같이, 광학 툴(10)은 컴퓨터 서브시스템(36), 컴퓨터 서브시스템(들)(102), 및 컴퓨터 서브시스템(124)만을 경유하여 전자 빔 툴의 전자 칼럼(122)에 커플링될 수도 있다. 따라서, 컴퓨터 서브시스템(들)(102)은 광학 툴 및 전자 빔 툴에 공통일 수도 있다. 이러한 방식에서, 전자 빔 툴 및 광학 툴은, 대량일 수도 있고 컴퓨터 서브시스템(들)(102) 및/또는 가상 시스템(들)(108)에 의해 용이하게 될 수도 있는 데이터 이동을 제외하면, 반드시 서로 직접적으로 연결될 필요는 없을 수도 있다. 그러한 만큼, 전자 빔 및 광학 툴은, 데이터 이동을 제외하고는, 서로 직접으로 연결되지 않을 수도 있다.
다른 실시형태에서, 광학 툴 및 전자 빔 툴은, 하나 이상의 컴퓨터 서브시스템 및 공통 시료 핸들링 서브시스템을 통해서만 서로 커플링된다. 예를 들면, 광학 및 전자 빔 기반 툴은 상기에서 설명되는 바와 같이 컴퓨터 서브시스템(들)에 의해 커플링될 수도 있다. 또한, 광학 툴 및 전자 빔 툴은, 시료의 카세트(140)가 배치될 수도 있는, 공통 시료 핸들링 시스템(load module)(136) 및 어쩌면 로드 모듈(load module)(138)을 공유할 수도 있다. 이러한 방식에서, 시료는 광학 및 전자 빔 툴에 공통인 로드 모듈로 들어갈 수 있고, 그에 의해, 광학 툴 또는 전자 빔 툴 중 어느 하나(또는 둘 모두)에 배치될 수 있다. 시료는 또한, 전자 빔 툴과 광학 툴 사이에서 상당히 빠르게 이동될 수 있다.
그러나, 일반적으로, 광학 툴은, 공통 하우징(도시되지 않음), 공통 시료 핸들링 시스템(136), 공통 전원(도시되지 않음), 컴퓨터 서브시스템(들)(102), 또는 이들의 어떤 조합에 의해 전자 빔 툴에 커플링될 수도 있다. 공통 하우징은 기술 분야에서 공지되어 있는 임의의 적절한 구성을 가질 수도 있다. 예를 들면, 하우징은 광학 툴뿐만 아니라 전자 빔 툴을 수용하도록 구성될 수도 있다. 이러한 방식에서, 광학 툴 및 전자 빔 툴은 단일의 유닛 또는 툴로서 구성될 수도 있다. 공통 시료 핸들링 시스템은 기술 분야에서 공지되어 있는 임의의 적절한 기계적 및/또는 로봇식 어셈블리를 포함할 수도 있다. 공통 시료 핸들링 시스템은, 프로세스 사이에서 시료를 다시 그것의 카세트 또는 다른 컨테이너 안으로 집어 넣지 않고도 시료가 광학 툴로부터 직접적으로 전자 빔 툴 안으로(또는 그 반대로) 이동될 수 있는 그러한 방식으로, 광학 툴과 전자 빔 툴 사이에서 시료를 이동시키도록 구성될 수도 있다. 공통 전원은 기술 분야에서 공지되어 있는 임의의 적절한 전원을 포함할 수도 있다.
광학 툴 및 전자 빔 툴은 서로 횡방향으로 또는 수직으로 근접하여 배치될 수도 있다. 예를 들면, 시스템은, 상이한 프로세스를 수행하도록 각각 구성될 수도 있는 모듈(또는 서브시스템)의 클러스터로서 구성될 수도 있다. 또한, 광학 툴 및 전자 빔 툴은 시스템의 로드 모듈(138)에 횡방향으로 또는 수직으로 근접하여 배치될 수도 있다. 로드 모듈은, 시스템에서 프로세싱될 웨이퍼의 카세트(140)와 같은 다수의 시료를 지지하도록 구성될 수도 있다. 로봇식 시료 핸들링 시스템(136)은, 측정 및/또는 검사에 앞서 로드 모듈로부터 시료를 제거하도록 그리고 프로세싱된 시료를 로드 모듈 안으로 배치하도록 구성될 수도 있다. 또한, 광학 툴 및 전자 빔 툴은 서로에 대해 근접한 다른 위치, 예컨대, 시료가 툴 사이에서 이동될 수도 있도록 로봇식 공통 시료 핸들링 시스템이 적합할 수도 있는 임의의 곳에 배치될 수도 있다. 이러한 방식에서, 공통 시료 핸들링 시스템(136), 스테이지(도시되지 않음), 또는 다른 적절한 기계적 디바이스는, 광학 툴 및 전자 빔 툴로 그리고 광학 툴 및 전자 빔 툴로부터 시료를 이동시키도록 구성될 수도 있다.
본원에서 설명되는 시스템은 또한, 이온 빔 기반 툴과 같은 시료에 대한 다른 출력을 생성하도록 구성되는 하나 이상의 추가적인 툴을 포함할 수도 있다. 이러한 툴은, 전자 빔 소스가 기술 분야에서 공지되어 있는 임의의 적절한 이온 빔 소스로 대체될 수도 있다는 것을 제외하면, 전자 빔 툴과 관련하여 도 1에서 도시되는 바와 같이 구성될 수도 있다. 게다가, 툴은 상업적으로 이용 가능한 집속 이온 빔(focused ion beam; FIB) 시스템, 헬륨 이온 현미경 검사(helium ion microscopy; HIM) 시스템, 및 2차 이온 질량 분광기(secondary ion mass spectroscopy; SIMS) 시스템에 포함되는 것과 같은 임의의 다른 적절한 이온 빔 툴일 수도 있다.
시스템은 또한, 시료에 대해 생성되는 실제 전자 빔 이미지 및 실제 광학 이미지를 수신하도록 구성되는 하나 이상의 컴퓨터 서브시스템, 예를 들면, 도 1에서 도시되는 컴퓨터 서브시스템(들)(102)을 포함한다. 예를 들면, 도 1에서 도시되는 바와 같이, 컴퓨터 서브시스템(들)(102)은, 컴퓨터 서브시스템(들)(102)이 검출기(28 및 34)에 의해 생성되는 실제 광학 이미지 또는 출력 및 검출기(134)에 의해 생성되는 실제 전자 빔 이미지 또는 출력을 수신할 수 있도록, 컴퓨터 서브시스템(36) 및 컴퓨터 서브시스템(124)에 커플링될 수도 있다. 컴퓨터 서브시스템(들)이 광학 이미지 또는 출력 및 전자 빔 이미지 또는 출력을, 광학 및 전자 빔 툴에 커플링되는 다른 컴퓨터 서브시스템으로부터 수신할 수도 있지만, 컴퓨터 서브시스템(들)은, (예를 들면, 컴퓨터 서브시스템(들)(102)이 도 1에서 도시되는 검출기에 직접적으로 커플링되는 경우) 이미지 또는 출력을 생성하는 검출기로부터 직접적으로 광학 및 전자 빔 이미지 또는 출력을 수신하도록 구성될 수도 있다.
상기에서 언급되는 바와 같이, 광학 및 전자 빔 툴은, 시료의 물리적 버전으로 에너지(예를 들면, 광, 전자)를 지향시키고 및/또는 시료의 물리적 버전에 걸쳐 에너지를 주사하고 그에 의해 시료의 물리적 버전에 대한 실제(즉, 시뮬레이팅된 것이 아닌) 출력 및/또는 이미지를 생성하도록 구성될 수도 있다. 이러한 방식에서, 광학 및 전자 빔 툴은, "가상" 툴이 아닌, "실제" 툴로서 구성될 수도 있다. 그러나, 도 1에서 도시되는 컴퓨터 서브시스템(들)(102)은, 본원에서 추가로 설명되는 하나 이상의 기능 중 임의의 것을 포함할 수도 있는 하나 이상의 기능을, 시료에 대해 생성되는 실제 전자 빔 이미지 및 실제 광학 이미지 중 적어도 일부를 사용하여, 수행하도록 구성되는 하나 이상의 "가상" 시스템(108)을 포함할 수도 있다.
하나 이상의 가상 시스템은 그 안에 시료가 배치될 수 없다. 특히, 가상 시스템(들)은 광학 툴(10) 또는 전자 빔 툴(122)의 일부가 아니며 시료의 물리적 버전을 핸들링하기 위한 어떠한 능력도 갖지 않는다. 다시 말하면, 가상 시스템으로서 구성되는 시스템에서, 그것의 하나 이상의 "검출기"의 출력은, 실제 툴의 하나 이상의 검출기에 의해 이전에 생성되었던 그리고 가상 시스템에 저장되어 있는 출력일 수도 있고, "이미징 및/또는 주사" 동안, 가상 시스템은, 마치 시료가 이미지화되고 있고 및/또는 주사되고 있는 것처럼, 저장된 출력을 재생할 수도 있다. 이러한 방식에서, 가상 시스템을 통한 시료의 이미징 및/또는 주사는, 마치 물리적인 시료가 실제 시스템을 통해 이미지화되고 있고 및/또는 주사되고 있는 것처럼 동일하게 보일 수도 있지만, 실제로는 "이미징 및/또는 주사"는, 시료가 이미지화될 수도 있는 및/또는 주사될 수도 있는 것과 동일한 방식으로 시료에 대한 출력을 단순히 재생하는 것을 수반한다.
"가상" 검사 시스템으로서 구성되는 시스템 및 방법은, 공동 양도된, 2012년 2월 28일자로 발행된 Bhaskar 등등의 미국 특허 제8,126,255호 및 2015년 12월 29일자로 발행된 Duffy 등등의 미국 특허 제9,222,895호에서 설명되는데, 이들 특허 둘 모두는, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 본원에서 설명되는 실시형태는 또한, 이들 특허에서 설명되는 바와 같이 구성될 수도 있다. 예를 들면, 본원에서 설명되는 하나 이상의 컴퓨터 서브시스템은 또한, 이들 특허에서 설명되는 바와 같이 구성될 수도 있다.
상기에서 추가로 언급되는 바와 같이, 광학 툴은 다수의 모드를 사용하여 시료에 대한 출력을 생성하도록 구성될 수도 있다. 이러한 방식에서, 몇몇 실시형태에서, 실제 광학 이미지는, 광학 툴의 파라미터의 두 개 이상의 상이한 값을 가지고 광학 툴에 의해 생성되는 이미지를 포함한다. 일반적으로, "모드"는 시료에 대한 출력 및/또는 이미지를 생성하기 위해 사용되는 광학 툴의 파라미터 값에 의해 정의될 수 있다. 따라서, 상이한 모드는, 툴의 광학 파라미터 중 적어도 하나에 대한 값에서 상이할 수도 있다. 예를 들면, 광학 툴의 하나의 실시형태에서, 다수 모드 중 적어도 하나는, 다수의 모드 중 적어도 하나의 다른 모드에 대해 사용되는 조명용의 적어도 하나의 파장의 광과는 상이한 조명용의 적어도 하나의 파장의 광을 사용한다. 모드는 상이한 모드에 대해 (예를 들면, 상이한 광원, 상이한 스펙트럼 필터, 등등을 사용하는 것에 의해) 본원에서 추가로 설명되는 바와 같이 조명 파장에서 상이할 수도 있다. 다른 실시형태에서, 다수의 모드 중 적어도 하나는, 다수의 모드 중 적어도 하나의 다른 모드에 대해 사용되는 광학 툴의 조명 채널과는 상이한 광학 툴의 조명 채널을 사용한다. 예를 들면, 상기에서 언급되는 바와 같이, 광학 툴은 하나보다 많은 조명 채널을 포함할 수도 있다. 그러한 만큼, 상이한 조명 채널이 상이한 모드에 대해 사용될 수도 있다.
유사한 방식으로, 전자 빔 이미지는 전자 빔 툴의 파라미터의 두 개 이상의 상이한 값을 가지고 전자 빔 툴에 의해 생성되는 이미지를 포함할 수도 있다. 예를 들면, 전자 빔 툴은 다수의 모드를 사용하여 시료에 대한 출력을 생성하도록 구성될 수도 있다. 다수의 모드는 시료에 대한 출력 및/또는 이미지를 생성하도록 사용되는 전자 빔 툴의 파라미터의 값에 의해 정의될 수 있다. 따라서, 상이한 모드는 툴의 전자 빔 파라미터 중 적어도 하나에 대한 값에서 상이할 수도 있다. 예를 들면, 전자 빔 툴의 하나의 실시형태에서, 다수의 모드 중 적어도 하나는, 다수의 모드 중 적어도 하나의 다른 모드에 대해 사용되는 조명의 적어도 하나의 입사각과는 상이한 조명용의 적어도 하나의 각도를 사용한다.
몇몇 실시형태에서, 컴퓨터 서브시스템(들)은 다른 시스템에 의해 생성되는 시료에 대한 정보를 수신하도록 구성되고, 컴퓨터 서브시스템(들) 또는 하나 이상의 가상 시스템은 정보를 저장하도록 구성되고, 본원에서 설명되는 하나 이상의 기능은, 실제 광학 이미지, 실제 전자 빔 이미지, 및 설계 데이터 중 적어도 하나와 조합하여 저장된 정보에 기초하여 수행될 수도 있다. 예를 들면, 도 1에서 도시되는 바와 같이, 컴퓨터 서브시스템(들)(102)은, 컴퓨터 서브시스템(들)이 다른 시스템으로부터 정보를 수신할 수 있도록, 다른 시스템(110)에 커플링될 수도 있다. 다른 시스템은 전자 설계 자동화(electronic design automation; EDA) 툴, 여러가지 상이한 성능을 위해 구성될 수도 있는 다른 컴퓨터 시스템, 반도체 제조 툴, 다른 검사 시스템, 다른 품질 제어 타입 시스템, 등등과 같은 다양한 다른 시스템을 포함할 수도 있다. 시료에 대한 정보는 시료에 대한 다양한 정보, 예컨대, 시료에 대한 설계에 관련되는 정보, 시료에 대해 수행되는 하나 이상의 제조 프로세스, 시료 또는 동일한 또는 상이한 타입의 다른 시료에 대해 수행되는 하나 이상의 검사, 측정, 또는 다른 품질 제어 프로세스, 시료에 대해 생성되는 시뮬레이션의 결과, 다른 소스로부터의 시료에 대해 생성되는 정보, 등등을 포함할 수도 있다. 이러한 방식에서, 본원에서 설명되는 실시형태는 본원에서 설명되는 다수의 상이한 단계에서 사용될 수 있는 다양한 사전 정보 및 기준 데이터(reference data)에 액세스할 수 있고 그 다양한 사전 정보 및 기준 데이터를 획득할 수 있다.
하나의 이러한 예에서, 다른 시스템으로부터의 정보는, 다양한 방식으로 생성되는 예컨대 프로세스 윈도우 검증(process window qualification; PWQ) 방법, 경험적으로 수행되는 실험 계획법(design of experiments; DOE), 시뮬레이션, 패턴 충실도 분석(pattern fidelity analysis; PFA), 등등에 의해 생성되는 정보를 포함할 수도 있다. 이러한 정보는 또한 레티클 PWQ 분석을 포함할 수도 있다. PWQ 방법은, 2005년 6월 7일자로 발행된 Peterson 등등의 미국 특허 제6,902,855호, 2008년 8월 26일자로 발행된 Peterson 등등의 미국 특허 제7,418,124호, 2010년 6월 1일자로 발행된 Wu 등등의 미국 특허 제7,729,529호, 2010년 8월 3일자로 발행된 Kekare 등등의 미국 특허 제7,769,225호, 2011년 10월 18일자로 발행된 Pak 등등의 미국 특허 제8,041,106호, 2012년 2월 7일자로 발행된 Wu 등등의 미국 특허 제8,111,900호, 및 2012년 7월 3일자로 발행된 Peterson 등등의 미국 특허 제8,213,704호에서 설명되는 바와 같이 수행될 수도 있는데, 이들 특허는 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 본원에서 설명되는 실시형태는 이들 특허에서 설명되는 임의의 방법(들)의 임의의 단계(들)를 포함할 수도 있고 이들 특허에서 설명되는 바와 같이 추가로 구성될 수도 있다. PWQ 웨이퍼는 이들 특허에서 설명되는 바와 같이 인쇄될 수도 있다.
추가적인 이러한 실시형태에서, 정보는, 초점 노광 매트릭스(focus exposure matrix; FEM) 방법에서 프로세스의 하나 이상의 파라미터의 둘 이상의 상이한 값을 가지고 프로세스를 수행하는 것에 의해 생성될 수도 있다. 예를 들면, 시료에 대한 정보를 생성하기 위한 하나의 전략은, 계획적인 결함(systematic defect)의 생성자로서 웨이퍼 및/또는 FEM 방법과 같은 DOE를 사용하는 것이다. FEM 방법은 일반적으로, 리소그래피 프로세스의 초점 및 노광 파라미터 값의 상이한 조합에서 웨이퍼 상에 다수의 다이를 인쇄하는 것을 수반한다. 그 다음, 상이한 다이에서 결함을 검출하도록 상이한 다이는 임의의 적절한 방식으로 이미지화될 수 있다. 그 다음, 그 정보는 통상적으로 리소그래피 프로세스의 초점 및 노광을 위한 프로세스 윈도우를 결정하기 위해 사용된다. 따라서, 이러한 다이를 시료 상에 인쇄하기 위해 FEM 방법이 사용될 수도 있고, 상이한 다이에 대한 이미지는 본원에서 추가로 설명되는 바와 같이 생성될 수도 있다.
본원에서 설명되는 시료의 시뮬레이션은, 미국 노스 캐롤라이나(NC) 캐리(Cary) 소재의 Coventor Inc.로부터 상업적으로 이용 가능한 SEMulator 3D와 같은 경험적으로 트레이닝된 프로세스 모델을 사용하여 수행될 수도 있다. 엄격한 리소그래피 시뮬레이션 모델의 예는 KLA-Tencor로부터 상업적으로 이용 가능한 Prolith인데, 이것은 SEMulator 3D 제품과 제휴하여 사용될 수 있다. 그러나, 시뮬레이션은 설계 데이터로부터 실제 시료를 생성함에 있어서 수반되는 프로세스(들) 중 임의의 것의 임의의 적절한 모델을 사용하여 수행될 수도 있다. 이러한 방식에서, 설계가 형성된 시료가 시료 공간에서 어떻게 보일 것인지를 시뮬레이팅하기 위해 모델이 사용될 수도 있다(이러한 시료가 이미징 또는 계측 시스템과 같은 시스템에게 반드시 어떻게 보일지는 아님). 따라서, 모델의 출력은, 시료의 2D 또는 3D 공간에서 시료가 어떻게 보일지를 나타낼 수도 있다.
PFA는 2016년 4월 28일자로 공개된 Duffy 등등의 미국 특허 출원 공개 공보 제2016/0116420호에서 설명되는 바와 같이 수행될 수도 있는데, 이 공개 공보는 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 본원에서 설명되는 실시형태는 또한, 이 공보에서 설명되는 바와 같이 추가로 구성될 수도 있다.
다른 예에서, 다른 시스템으로부터의 정보는, 측정, 검사, 다른 수율 관련 분석, 등등과 같은 시료에 대해 생성되는 신호를 포함할 수도 있다. 지금까지의 신호는 또한 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 수행될 수도 있는 에지 배치 에러(edge placement error; EPE) 분석 및/또는 예측 EPE(predictive EPE; PEPE)의 결과를 포함할 수도 있다. 이러한 방식에서, EPE 분석은 하나 이상의 경험적 프로세스 및/또는 하나 이상의 시뮬레이션 프로세스를 포함할 수도 있다.
추가적인 예에서, 다른 시스템으로부터의 정보는, 제품 정보, 검사를 위한 설계(design for inspection; DFI) 정보, 타겟 정보, 및 설계 융합 정보(design fusion information)와 같은 시료에 대한 설계 정보를 포함할 수도 있다. 설계 융합은, 팹리스 고객(fabless customer)에 의해 소유 또는 운영되지 않는 툴에 의한 사용을 위해 팹리스 고객으로부터 팹(fab)으로의 중요한 설계 정보의 전송을 목표로 하는 소프트웨어 개발 프로그램이다. 이러한 중요한 설계 정보는, 예를 들면, 레이아웃의 부분에 대한 관리 영역(care area), 타이밍 임계 넷(time critical net), 및 등등을 포함할 수도 있다. 몇몇 이러한 예에서, 다른 시스템은 EDA 툴을 포함할 수도 있다. 이러한 방식에서, 본원에서 설명되는 실시형태는 EDA 컴퓨터 지원 설계(computer aided design; CAD) 툴로 생성되는 데이터를 활용하는 추가적인 차원을 가질 수도 있다. EDA 툴은 임의의 적절한 상업적으로 이용 가능한 EDA 툴을 포함할 수도 있다.
또 다른 예에서, 다른 시스템으로부터의 정보는 표준 기준 이미지 및/또는 시료 상의 노이즈 소스에 대한 정보를 포함할 수도 있다. 표준 기준 이미지는 임의의 적절한 방식으로 생성될 수도 있다. 노이즈 소스에 대한 정보는 임의의 적절한 방식으로 생성될 수도 있다. 다른 시스템으로부터의 정보는 생성된 이미지를 더 포함할 수도 있다. 생성된 이미지는 임의의 적절한 방식으로 예컨대 모델 및/또는 다른 시뮬레이션 모델에 의해 생성될 수도 있다.
본원에서 설명되는 시료는, 웨이퍼의 공칭 인스턴스(nominal instance) 및/또는 웨이퍼의 비 공칭 인스턴스(non-nominal instance)와 같은 여러 가지 상이한 웨이퍼를 포함할 수도 있다. "공칭 인스턴스"는, 그 용어가 본원에서 사용될 때, 어떠한 결함도 존재하지 않는 것으로 알려진 시료의 "인스턴스"로서 일반적으로 정의된다. 예를 들면, 공칭의 시료(들)는, 결함이 없는 시료를 생성하는 것으로 알려진, 시료(들)에 대한 설계를 형성하기 위해 사용되는, 하나 이상의 프로세스의 하나 이상의 파라미터로 프로세싱될 수도 있다. 다시 말하면, 시료(들)를 제조하기 위해 사용되는 프로세스(들)는 양호한 프로세스(들)로 알려질 수도 있다. 또한, 시료(들)의 "공칭 인스턴스"는, 어떠한 결함도 존재하지 않는 것으로 결정된 인스턴스(예를 들면, 시료 상의 위치, 전체 시료, 등등)를 포함할 수도 있다. 예를 들면, 시료(들)의 공칭 인스턴스는, 검사 또는 다른 품질 제어 관련 프로세스(예를 들면, 결함 리뷰, 계측, 등등)가 어떠한 결함도 검출하지 못한 위치 및/또는 시료를 포함할 수도 있다.
대조적으로, 시료(들)의 "비 공칭 인스턴스"는, 그 용어가 본원에서 사용될 때, (본원에서 추가로 설명되는 바와 같은 프로그래밍된 또는 합성 결함을 통해) 의도적으로든 또는 (검사, 결함 리뷰, 계측, 등등에 의해 하나 이상의 시료 상에서 발견되는 결함을 통해) 우연히든, 어떤 방식에서 "결함이 있는" 시료(들)의 "인스턴스"로서 일반적으로 정의된다. 게다가, 시료 상에서 "결함" 자체가 검출되지 않더라도, 시료는 "결함이 있을" 수도 있다는 것을 유의한다. 예를 들면, 시료 상에 형성되는 설계의 하나 이상의 특성이 하나 이상의 특성에 대한 값의 소망되는 범위를 벗어난다는 것을 하나 이상의 측정치가 나타내는 경우, 시료는 "결함이 있는" 것으로 간주될 수도 있다.
시료는 또한 제품 웨이퍼(어쩌면 검사를 위한 설계(DFI) 구조가 그 위에 형성됨) 및/또는 특성 묘사 수단(즉, 전기 테스트 구조체)을 포함할 수도 있다.
시스템은 시료에 대한 정보를 획득하도록 구성되는 하나 이상의 컴퓨터 서브시스템(예를 들면, 도 1에서 도시되는 컴퓨터 서브시스템(들)(36, 102 및 124))을 포함한다. 시료에 대한 정보는 시료의 실제 광학 이미지, 시료의 실제 전자 빔 이미지, 및 시료에 대한 설계 데이터 중 적어도 하나를 포함한다. 실제 광학 및/또는 전자 빔 이미지의 경우, 컴퓨터 서브시스템은, 에너지(예를 들면, 광 또는 전자)를 시료로 지향시키고 에너지(예를 들면, 광 또는 전자)를 시료로부터 검출하기 위한 본원에서 설명되는 툴 중 하나 이상을 사용하는 것에 의해 실제 이미지를 획득하도록 구성될 수도 있다. 따라서, 실제 이미지를 획득하는 것은, 시료의 물리적 버전 및 일종의 이미징 하드웨어를 사용하여 이미지를 생성하는 것을 포함할 수도 있다. 그러나, 실제 이미지를 획득하는 것은, 실제 이미지가 실제 이미징 시스템(예를 들면, 광학 툴(10))에 의해 저장되어 있는 저장 매체(본원에서 설명되는 저장 매체 중 임의의 것을 포함함)로부터 실제 이미지를 획득하는 것을 포함할 수도 있다. 게다가, 설계 데이터의 경우, 컴퓨터 서브시스템(들)은 저장 매체 또는 다른 시스템(예를 들면, 시스템(110))으로부터 설계 데이터를 획득할 수도 있거나 또는 설계 데이터 자체를 생성하고 그에 의해 설계 데이터를 획득할 수도 있다.
시스템은 하나 이상의 컴퓨터 서브시스템(예를 들면, 컴퓨터 서브시스템(들)(102))에 의해 실행되는 하나 이상의 컴포넌트(100)를 포함한다. 하나 이상의 컴포넌트는 하나 이상의 컴퓨터 서브시스템에 의해 임의의 적절한 방식으로 실행될 수도 있다.
하나의 실시형태에서, 하나 이상의 컴포넌트는 적어도 광학 툴 및 전자 빔 툴을 제어하도록 구성되는 단일의 레시피(recipe)를 포함한다. 예를 들면, 도 1에서 도시되는 바와 같이, 컴포넌트(들)(100)는 단일의 레시피(112)를 포함할 수도 있다. 단일의 레시피는 본질적으로 조건부 실행 및 루프 트리거링을 포함하는 수퍼 레시피일 수도 있다. 단일의 레시피의 의도는 시스템 동작 흐름(이것은 종래의 검사기보다 더 복잡함) 내에서 자체 일관성(self-consistency)을 보장하는 것일 수도 있다. 본원에서 설명되는 실시형태에서의 주요 자체 일관성 문제는 공간적일 수도 있다(예를 들면, 다수의 툴 또는 툴의 다수의 모드에서 수집되는 이미지 또는 출력을 사용하기를 원한다면, 각각의 이미지 또는 출력 획득은 동일한 위치에서 수행되어야 한다). 또한, 상이한 툴로부터의 데이터 수집을 포함할 수 있는 수퍼 레시피 전체에 적용될 수 있는 웨이퍼 및 다이 등등의 레이아웃에 관한 기하학적 정보를 캡쳐하는 재사용 가능한 레시피 컴포넌트가 있을 수도 있다. 오늘날, 이것은 수동으로 수행될 수 있다. 제안된 시스템은, 광학 툴 및 전자 빔 툴, 등등에 대한 별개의 레시피의 종래의 콜렉션(collection) 대신, 레시피의 콜렉션을 집성하여(수퍼 레시피) 고려하는 것에 의해 이것을 시행할 수도 있다.
본원에서 설명되는 광학 및 전자 빔 툴은 검사 툴로서 구성될 수도 있다. 추가적으로, 또는 대안적으로, 본원에서 설명되는 광학 및 전자 빔 툴은 결함 리뷰 툴로서 구성될 수도 있다. 또한, 본원에서 설명되는 광학 및 전자 빔 툴은 계측 툴로서 구성될 수도 있다. 특히, 본원에서 설명되고 도 1에서 도시되는 광학 및 전자 빔 툴의 실시형태는, 그들이 사용될 애플리케이션에 의존하여 상이한 이미징 성능을 제공하기 위해 하나 이상의 파라미터에서 수정될 수도 있다. 하나의 이러한 예에서, 도 1에서 도시되는 광학 툴은, 검사에 대해서 보다는 결함 리뷰 또는 계측에 대해 사용되어야 하는 경우, 더 높은 해상도를 가지도록 구성될 수도 있다. 다시 말하면, 도 1에서 도시되는 광학 및 전자 빔 툴의 실시형태는, 상이한 애플리케이션에 대해 어느 정도 적절한 상이한 이미징 성능을 갖는 이미징 툴을 생성하기 위해 기술 분야에서 숙련된 자에게 명백할 다수의 방식으로 맞춤될 수 있는 광학 및 전자 빔 툴에 대한 몇몇 일반적이고 다양한 구성을 설명한다.
컴퓨터 서브시스템(들), 예를 들면, 컴퓨터 서브시스템(36) 및/또는 컴퓨터 서브시스템(들)(102)에 의해 실행되는 컴포넌트(들), 예를 들면, 도 1에서 도시되는 컴포넌트(들)(100)는 학습 기반 모델(104)을 포함한다. 학습 기반 모델은 광학 이미지, 전자 빔 이미지, 및 설계 데이터 사이의 삼각 관계를 매핑하도록 구성된다. 예를 들면, 도 2에서 도시되는 바와 같이, 학습 기반 모델은 세 개의 상이한 공간: 설계(200), 전자 빔(202), 및 광학(204) 사이의 삼각 관계를 매핑하도록 구성될 수도 있다. 따라서, 본원에서 설명되는 실시형태는, 검사, 계측, 및 다른 사용 사례에 대한 마스크 및 반도체 웨이퍼에 대한 광학, 전자 빔, 및 설계(예를 들면, CAD) 사이의 일반화된 표현 매핑 시스템을 제공한다. 따라서, 본원에서 설명되는 실시형태는 또한, 반도체 프로세스 제어 애플리케이션에서 웨이퍼 및 레티클과 같은 시료의 상이한 관찰 가능한 표현 사이의 변환을 수행하기 위한 체계적인 접근법(systematic approach)을 제공한다. 표현은, (0.1 nm 배치 정확도를 갖는) 설계 데이터, 예를 들면, EDA 설계, (0.1 nm 배치 정확도를 갖는) 주사 전자 현미경(SEM) 리뷰/검사/등등, 및 (10 nm 내지 100 nm 배치 정확도를 갖는) 검사와 같은 광학 툴로부터의 패치 이미지를 포함한다.
발명자가 아는 바로는, 본원에서 제안되는 바와 같은 이러한 원칙적이고 실용적인 방식으로 광학, 전자 빔, 및 설계 사이의 삼각 관계를 매핑하려고 시도하는 자동화된 시스템은 현재로서는 존재하지 않는다. 현재, 상이한 공간 사이의 관계는 훨씬 많은 상이한 문제에서 확립될 수도 있다. 예를 들면, 현재, 결함 리뷰 툴에 대해 실질적으로 성긴(sparse) 방식으로 트레이닝될 수도 있는 광학 툴에 대해 이상점 검출(outlier detection)이 수행될 수도 있고, 그 다음, 검출된 이상점의 샘플을, 생산 동안 리뷰를 위해 선택하기 위해 상대적으로 간단한 샘플링 알고리즘이 사용될 수도 있다.
따라서, 현재 사용되는 방법은 본원에서 설명되는 실시형태에 비해 다수의 단점을 갖는다. 예를 들면, 광학, 전자 빔, 및 설계 사이의 삼각 관계를 매핑하려고 시도하는 어떠한 자동화된 시스템도 존재하지 않는다. 또한, 상이한 양식으로부터의 데이터(예컨대 파장)를 결합하기 위한 시도가 있었지만, 이들 방법 및 시스템은 공간 및 컴퓨팅 제한으로 인해 조잡하고(crude) 점 추정치(point estimate)인 경향이 있다. 또한, 하나 이상의 이산 또는 연속 프로세스 파라미터에 대한 표현 학습 컨디셔닝(representation learning conditioning)은 단일의 모델에 의해 잘 시뮬레이팅될 수 없다. 또한, 광학적 측면에서, 컬러 변화는, 가장 기본적인 웨이퍼 프로세스 노이즈인 막 두께 변화로 인해, 다수의 광학 이미지를 동일한 전자 빔 이미지 또는 설계 지상 검증으로 매핑하는 것으로 나타난다.
하나 이상의 컴퓨터 서브시스템은 시료에 대한 정보를 학습 기반 모델에 입력하도록 구성되며, 학습 기반 모델은 삼각 관계를 입력에 적용하고 그에 의해 시료에 대한 시뮬레이팅된 출력을 생성한다. 컴퓨터 서브시스템(들)은 시료에 대한 정보를 임의의 적절한 방식으로 학습 기반 모델에 입력하도록 구성될 수도 있다. 학습 기반 모델은 본원에서 설명되는 상이한 학습 기반 모델 중 하나 이상을 포함할 수도 있다. 또한, 학습 기반 모델은 본원에서 추가로 설명되는 바와 같이 입력에 삼각 관계를 적용할 수도 있다. 시뮬레이팅된 출력은 본원에서 추가로 설명되는 다양한 출력을 포함할 수도 있다.
일반적으로 그리고 본원에서 추가로 설명되는 바와 같이, 반도체 기기 시스템이, 계측, 검사, 에칭, 퇴적, 패턴화, 등등, 및 시료의 상이한 표현이 센서 및/또는 시뮬레이션으로부터 수집될 수도 있는 반도체 설계 및/또는 시뮬레이팅 시스템 및/또는 알고리즘을 포함하지만 그러나 이들로 제한되지는 않는다는 것을 고려하면, 본원에서 설명되는 실시형태는, 표현의 임의적인 서브세트 사이의 표현 변환을 위한 일반적이고 자동화된 구현을 위해 구성된다. 본원에서 추가로 설명되는 바와 같이, 수집된 표현은, 파장 대역(들), 어퍼쳐(들), 초점(들), 편광(들), 전자 빔 사이즈(들), 시야(들), 등등을 포함하는, 그러나 이들로 제한되지는 않는 상이한 툴 및/또는 시뮬레이션 셋업 하에서, 광학, 전자 빔, 설계, 시뮬레이션, 등등을 포함할 수도 있지만, 그러나 이들로 제한되는 것은 아니다.
본원에서 설명되는 실시형태는 트레이닝 및 하나 이상의 추론/예측을 포함할 수도 있거나 또는 이들에 대해 구성될 수도 있다. 표현 학습에 대한 추론은, 가우시안(Gaussian), t 분포(t-distribution), 등등을 포함하는 그러나 이들로 제한되지는 않는 분포의 혼합에서 은닉된 표현을 추론할 수도 있다. 표현 변환에 대한 추론은, 본원에서 추가로 설명되는 바와 같이, 스칼라 값 또는 가우시안, t 분포, 등등을 포함하는 그러나 이들로 제한되지는 않는 분포 중 어느 하나에서, 입력 표현으로부터 소망되는 표현을 예측할 수도 있다. 또한 본원에서 추가로 설명되는 바와 같이, 실시형태는 임의의 이미징 및 프로세스 파라미터 하에서 광학 및/또는 전자 빔 이미지를 예측할 수 있다. 파라미터는 이산적인 또는 연속하는 값 중 어느 하나일 수 있다. 본원에서 추가로 설명되는 트레이닝은 각각의 파라미터에 대한 유효한 값 범위를 지정할 수도 있거나 또는 지정하지 않을 수도 있다.
하나의 실시형태에서, 학습 기반 모델에 입력되는 정보는 시료의 실제 광학 이미지를 포함하고, 시뮬레이팅된 출력은, 전자 빔 툴에 의해 시료에 대해 생성되는 실제 전자 빔 이미지를 나타내는 시뮬레이팅된 전자 빔 이미지를 포함한다. 예를 들면, 본원에서 설명되는 실시형태는 해상도 제한 내에서 표현의 임의의 서브세트로부터 표현의 다른 서브세트로의 변환을 수행하도록 구성될 수도 있는데, 그 변환은, 광학 입력을 전자 빔 시뮬레이팅 출력(electron beam simulated output)으로 변환하는 것을 포함할 수도 있다.
다른 실시형태에서, 학습 기반 모델에 입력되는 정보는 시료의 실제 광학 이미지를 포함하고, 시뮬레이팅된 출력은 시료에 대한 시뮬레이팅된 설계 데이터를 포함한다. 예를 들면, 본원에서 설명되는 실시형태는 해상도 제한 내에서 표현의 임의의 서브세트로부터 표현의 다른 서브세트로의 변환을 수행하도록 구성될 수도 있으며, 그 변환은 광학 입력을 설계 시뮬레이팅 출력(design simulated output)으로 변환하는 것을 포함할 수도 있다.
추가적인 실시형태에서, 학습 기반 모델에 입력되는 정보는 시료의 실제 전자 빔 이미지를 포함하고, 시뮬레이팅된 출력은 시료에 대한 시뮬레이팅된 설계 데이터를 포함한다. 예를 들면, 본원에서 설명되는 실시형태는 해상도 제한 내에서 표현의 임의의 서브세트로부터 표현의 다른 서브세트로의 변환을 수행하도록 구성될 수도 있는데, 그 변환은 전자 빔 입력을 설계 시뮬레이팅된 출력으로 변환하는 것을 포함할 수도 있다.
몇몇 실시형태에서, 시료에 대한 정보는 광학 툴의 파라미터의 상이한 값에서 생성되는 시료의 실제 광학 이미지를 포함하고, 학습 기반 모델에 입력되는 정보는 시료의 실제 광학 이미지를 포함한다. 예를 들면, 광학(예를 들면, 검사) 파라미터는 광학 툴 상에서의 파장, 어퍼쳐, 초점, 등등을 포함할 수도 있다. 다른 실시형태에서, 시료에 대한 정보는 전자 빔 툴의 파라미터의 상이한 값에서 생성되는 시료의 실제 전자 빔 이미지를 포함하고, 학습 기반 모델에 입력되는 정보는 시료의 실제 전자 빔 이미지를 포함한다. 예를 들면, 전자 빔(예를 들면, 검사) 파라미터는 전자 빔 툴 상에서의 전자 빔 사이즈, 초점, 에너지 레벨, 등등을 포함할 수도 있다. 광학 및 전자 빔 이미지는 또한 시료에 대해 수행되는 프로세스의 파라미터의 상이한 값에 대해 생성될 수도 있다. 일반적으로 표기법 (p1, p2, ..., pn)은, 광학, 전자 빔, 또는 프로세스 파라미터의 세트를 나타내기 위해 본원에서 사용된다, 즉, p1은 파라미터의 하나의 세트이고, p2는 파라미터의 상이한 세트이고, 계속 그런 식이다. 이들 파라미터는 광학 툴, 전자 빔 툴, 또는 프로세스 툴로부터 기록될 수 있다. 예를 들면, 획득되는 이미지는, 이미지를 생성하기 위해 사용되는 툴의 파라미터 및/또는 이미지에서 표현되는 시료의 부분을 제조하기 위해 사용되는 프로세스의 파라미터에 대한 정보와 관련될 수도 있다.
광학 이미지 스택(즉, 다수의 광학 이미지)은, 본원에서, 광학 및 프로세스 파라미터(p1, p2, ..., pn) 하에서 광학 툴 상에서 관찰되는 (x, y) 위치에서의 픽셀 값인 표기법 O(x, y, p1, p2, ..., pn)에 의해 표현된다. 마찬가지로, 전자 빔 이미지 스택(즉, 다수의 전자 빔 이미지)은, 본원에서, 전자 빔 및 (p1, p2, ..., pn)의 프로세스 파라미터 하에서 전자 빔 툴에서 관찰되는 (x, y) 위치에서의 픽셀 값인 표기법 S(x, y, p1, p2, …pn)에 의해 표현된다. 설계 정보는, 본원에서, l 번째 웨이퍼 층에 대한 설계 정보, 예를 들면, 렌더링된 설계의 (x, y) 위치에서의 픽셀 값인 표기법 D(x, y, l)에 의해 표현된다. 시료 피쳐는, 본원에서, 시료의 (x, y) 위치에서의 소정의 피쳐, 예를 들면, 결함 클래스 정보, 패턴화된 피쳐, 전기 테스트 결과, 등등을 나타내는 표기법 W(x, y)에 의해 표현된다. 이들 피쳐는, 현재 사용되는 알고리즘을 통해 또는 반도체 툴로부터 직접적으로 측정 가능한 전자 빔 이미지, 광학 이미지, 및 설계의 서브세트 중 어느 하나로부터 계산 가능하다.
학습 기반 모델은 고정된 파라미터를 가지고 표현 변환을 수행하도록 구성될 수도 있다. 예를 들면, 파라미터의 고정된 세트를 사용하여 수집되는 입력으로서 광학 이미지, 전자 빔 이미지, 설계, 및/또는 피쳐 중 하나 이상이 주어지면, 학습 기반 모델은 동일한 또는 상이한 파라미터의 고정된 세트 하에서 표현의 서브세트를 예측할 것으로 기대된다. 여러 가지 이러한 예가 이제 설명될 것이다.
몇몇 실시형태에서, 시료에 대한 정보는 광학 툴의 파라미터의 상이한 값에서 생성되는 시료의 실제 광학 이미지를 포함하고, 학습 기반 모델에 입력되는 정보는 시료의 실제 광학 이미지를 포함하고, 시뮬레이팅된 출력은 전자 빔 툴에 의해 시료에 대해 생성되는 실제 전자 빔 이미지를 나타내는 시료의 시뮬레이팅된 전자 빔 이미지를 포함한다. 예를 들면, 0, 1, 2 및 3에서 별개로 초점을 갖는 O(x, y, 초점 = {0, 1, 2, 3})의 광학 이미지 스택이 주어지면, S(x, y, 정상 빔)의 전자 빔 이미지는 학습 기반 모델에 의해 예측될 수도 있다.
하나의 실시형태에서, 시료에 대한 정보는 광학 툴의 파라미터의 상이한 값에서 생성되는 시료의 실제 광학 이미지를 포함하고, 학습 기반 모델에 입력되는 정보는 시료의 실제 광학 이미지를 포함하고, 학습 기반 모델에 대한 입력은 광학 툴의 파라미터의 다른 상이한 값을 포함하고, 시뮬레이팅된 출력은 광학 툴의 파라미터의 다른 상이한 값에서 생성되는 광학 이미지를 나타내는 시료의 시뮬레이팅된 광학 이미지를 포함한다. 예를 들면, 0, 1, 2 및 3에서 별개로 초점을 갖는 O(x, y, 초점 = {0, 1, 2, 3})의 광학 이미지 스택이 주어지면, 학습 기반 모델은 고정된 상이한 초점 = 4, 즉, O(x, y, 초점 = 4)에서 광학 이미지를 예측할 수 있다. 예를 들면, 0, 1, 2 및 3에서 별개로 초점을 갖는 O(x, y, 초점 = {0, 1, 2, 3})의 광학 이미지 스택이 주어지면, 학습 기반 모델은 고정된 상이한 초점 = 1.5, 즉, O(x, y, 초점 = 1.5)에서 광학 이미지를 예측할 수도 있다.
몇몇 실시형태에서, 학습 기반 모델에 입력되는 정보는 시료의 실제 광학 이미지 및 시료에 대한 설계 데이터를 포함하고, 시뮬레이팅된 출력은, 전자 빔 툴에 의해 시료에 대해 생성되는 실제 전자 빔 이미지를 나타내는 시료에 대한 시뮬레이팅된 전자 빔 이미지를 포함한다. 예를 들면, O(x, y, 초점 = 1)의 광학 이미지 스택 및 설계가 주어지면, 학습 기반 모델은 시료, 예를 들면, S(x, y, 일반 빔)의 전자 빔 이미지를 예측할 수도 있다.
또 다른 실시형태에서, 시료에 대한 정보는 시료에 대해 수행되는 프로세스의 파라미터의 상이한 값에 대응하는 시료의 실제 광학 이미지를 포함하고, 학습 기반 모델에 입력되는 정보는 시료의 실제 광학 이미지를 포함하고, 학습 기반 모델에 대한 입력은 프로세스의 파라미터의 다른 상이한 값을 포함하고, 시뮬레이팅된 출력은 프로세스의 파라미터의 다른 상이한 값에 대응하는 시료의 시뮬레이팅된 광학 이미지를 포함한다. 예를 들면, O(x, y, 프로세스 초점 = {-1, 0, 1}, 프로세스 노출 {0, 1, 2})의 광학 이미지 스택이 주어지면, 학습 기반 모델은 광학 이미지를 고정된 상이한 프로세스 초점, 예를 들면, 5, 및 노출, 예를 들면, 1.5, 즉 O(x, y, 프로세스 초점 = 0.5, 프로세스 노출 = 1.5)에서 광학 이미지를 예측할 수도 있다.
추가적인 실시형태에서, 시료에 대한 정보는 광학 툴의 파라미터의 상이한 값에서 생성되는 시료의 실제 광학 이미지를 포함하고, 학습 기반 모델에 입력되는 정보는 시료의 실제 광학 이미지 및 시료에 대한 설계 데이터를 포함하고, 시뮬레이팅된 출력은 시료 상에서 검출되는 결함에 대한 결함 분류를 포함한다. 예를 들면, D(x, y, l = 0)의 설계 및 0, 1, 2 및 3에서 별개로 초점을 갖는 O(x, y, 초점 = {0, 1, 2, 3})의 광학 이미지 스택이 주어지면, 학습 기반 모델은 각각의 픽셀에서의 결함 클래스 코드(들), 즉 W(x, y)를 예측할 수도 있다. 따라서, 피쳐 학습은 또한 문제 진술(problem statement)에 포함될 수 있다.
하나의 실시형태에서, 학습 기반 모델에 입력되는 정보는 런타임 입력을 포함하고, 런타임 입력에 대한 정보는 학습 기반 모델을 트레이닝시키기 위해 사용되는 학습 기반 모델에 대한 입력에 포함되지 않은 패턴에 대한 정보를 포함한다. 다른 실시형태에서, 학습 기반 모델은 픽셀 값 보간(pixel-value interpolation)을 수행하는 것에 의해 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되지 않는다. 추가적인 실시형태에서, 학습 기반 모델은 픽셀 값 외삽(pixel-value extrapolation)을 수행하는 것에 의해 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되지 않는다. 예를 들면, 학습 기반 모델은 한 번도 본 적이 없는 입력 또는 패턴(트레이닝 데이터세트에 없는 입력 또는 패턴)에 대한 예측을 수행할 수 있다. 다시 말하면, 학습 기반 모델은 트레이닝 입력에 포함되지 않는 새로운 패턴을 갖는 런타임 입력에 적용 가능하다. 따라서, 표현 변환은 간단한 픽셀 값 보간 문제도 아니고 픽셀 값 외삽 문제도 아니다. 학습된 모델은 고정 선택된 파라미터를 가지고 고정 선택된 표현에서만 예측을 수행할 수도 있다.
상기에서 설명되는 바와 같이, 학습 기반 모델은, 단일의 미리 결정된 이미징 및/또는 프로세스 파라미터에서 시뮬레이팅된 출력을 생성하도록 구성될 수도 있다. 그러나, 학습 기반 모델은 다수의 미리 결정된 이미징 및/또는 프로세스 파라미터에서 시뮬레이팅된 출력을 생성하도록 구성될 수도 있다. 예를 들면, 학습 기반 모델은 이미징 및/또는 프로세스 파라미터의 함수로서 시뮬레이팅된 출력을 생성하도록 구성될 수도 있다. 특히, 고정된 세트의 파라미터로 수집되는 입력으로서 광학 이미지, 전자 빔 이미지, 설계 및/또는 피쳐 중 하나 이상이 주어지면, 학습 기반 모델은 표현의 서브세트로의 변환을, 이미징 및/또는 프로세스 파라미터의 함수로서 학습할 수 있다. 이러한 하나의 예에서, 0, 1, 2 및 3의 별개의 초점 값을 갖는 O(x, y, 초점 = {0, 1, 2, 3})의 광학 이미지 스택이 주어지면, 학습 기반 모델은 임의의 초점 값을 갖는 광학 이미지, 즉 O(x, y, 초점)을 예측할 수도 있는데, 여기서 초점은 [-10, +10]의 범위 내에 있을 수도 있다. 다른 예에서, 별개의 프로세스 초점 및 노출 값을 갖는 O(x, y, 프로세스 초점 = {-1, 0, 1}, 프로세스 노출 = {0, 1, 2})의 광학 이미지 스택이 주어지면, 학습 기반 모델은 임의의 초점 및 노출 값을 가지고 광학 이미지를 예측할 수도 있다.
이러한 실시형태에서, 학습 기반 모델은 픽셀 값 보간 또는 픽셀 값 외삽에 의한 시뮬레이팅된 출력을 생성하지 않는다. 또한, 학습 기반 모델은 임의의 파라미터를 갖는 고정 선택된 표현에서 예측을 수행할 수 있다. 학습 프로세스는 극한의 경우를 다루기 위해 각각의 파라미터에 대한 유효한 파라미터 범위를 정의할 수도 있다.
하나의 실시형태에서, 학습 기반 모델은, 시료 상의 패턴화된 피쳐와 광학 이미지, 전자 빔 이미지, 및 설계 데이터 중 하나 이상 사이의 관계를 매핑하도록 구성된다. 예를 들면, 본원에서 추가로 설명되는 바와 같이, 시료 피쳐는 시료 상의(x, y) 위치에서의 소정의 피쳐를 가리키는 W(x, y)로서 정의될 수도 있다. 이러한 방식에서, 본원에서 추가로 설명되는 바와 같이, 시료 상의 광학 이미지, 전자 빔 이미지, 설계 및/또는 피쳐 중 하나 이상이 이미징 및/또는 프로세스 파라미터의 고정된 세트로 수집되는 입력으로서 주어지면, 본원에서 설명되는 학습 기반 모델은, 동일한 또는 상이한 파라미터 하에서 표현의 서브세트를 예측할 수 있다.
다른 실시형태에서, 상기 시료에 대한 정보는 시료의 두 개 이상의 실제 광학 이미지를 포함하고, 두 개 이상의 실제 광학 이미지는, 광학 툴의 파라미터의 상이한 값에 대응하는 두 개 이상의 실제 광학 이미지, 시료에 대해 프로세스가 수행되기 이전 및 이후에 생성되는 시료 상의 층의 두 개 이상의 실제 광학 이미지, 시료 상의 상이한 물리적 층의 두 개 이상의 실제 광학 이미지, 상이한 광학 툴에 의해 생성되는 시료의 두 개 이상의 실제 광학 이미지, 시료에 대해 수행되는 프로세스의 파라미터의 상이한 값에 대응하는 시료의 두 개 이상의 실제 광학 이미지, 또는 이들의 조합을 포함한다. 예를 들면, 본원에서 설명되는 실시형태는 광학 이미지 세트의 데이터 수집을 위해 구성될 수도 있다. 광학 이미지는, 사용 사례에 의존하여, 다양한 사이즈, 예를 들면, 패치 이미지의 사이즈(또는 약 32 픽셀×32 픽셀) 내지 프레임 이미지의 사이즈(또는 약 1024 픽셀×1024 픽셀)를 가질 수도 있다. 광학 이미지는 광학 툴의 점 확산 함수(point spread function; PSF)의 사이즈보다 더 큰 것이 바람직하다. 광학 이미지는 광학 검사 툴, 가상 검사기, 또는 둘 모두와 같은 소스로부터 수집될 수도 있다. 또한, 광학 이미지는 다음에 의해 적층될 수도 있다: 동일한 물리적 층 상의 초점을 통한 z 차원에서의 다양한 위치, 상이한 프로세스 사이의 동일한 물리적 층(예를 들면, 시료에 대해 수행되는 리소그래피 프로세스 이전 및 이후의 하나의 층), 상이한 물리적 층(예를 들면, 상이한 금속 층, 즉 금속 1(M1), 금속 2(M2), 등등, 여기서 상이한 물리적 층은 시료 상에서 서로의 위에 또는 아래에 형성되며 상이한 패턴화된 피쳐를 포함함), 어퍼쳐, 파장, 편광, 등등과 같은 상이한 광학 파라미터, 상이한 광학 툴, 상이한 프로세스 파라미터, 예를 들면, 초점 및 노출, 또는 이들의 임의의 조합.
추가적인 실시형태에서, 시료에 대한 정보는 시료의 두 개 이상의 실제 전자 빔 이미지를 포함하고, 두 개 이상의 실제 전자 빔 이미지는 전자 빔 툴의 파라미터의 상이한 값에 대응하는 두 개 이상의 실제 전자 빔 이미지, 시료에 대해 프로세스가 수행되기 이전 및 이후에 생성되는 시료 상의 층의 두 개 이상의 실제 전자 빔 이미지, 시료 상의 상이한 물리적 층의 두 개 이상의 실제 전자 빔 이미지, 상이한 전자 빔 툴에 의해 생성되는 시료의 두 개 이상의 실제 전자 빔 이미지, 시료에 대해 수행되는 프로세스의 파라미터의 상이한 값에 대응하는 시료의 두 개 이상의 실제 전자 빔 이미지, 또는 이들의 조합을 포함한다. 예를 들면, 본원에서 설명되는 실시형태는 전자 빔 이미지 세트의 데이터 수집을 위해 구성될 수도 있다. 전자 빔 이미지의 사이즈는 전자 빔 툴로부터의 임의의 가능한 전자 빔 이미지 사이즈일 수도 있다. 전자 빔 이미지의 소스는 전자 빔 툴, 가상 검사기, 또는 둘 모두일 수도 있다. 전자 빔 이미지는 다음에 의해 적층될 수도 있다: 동일한 물리적 층 상의 초점을 통한 z 차원에서의 다양한 위치, 상이한 프로세스 사이의 동일한 물리적 층(예를 들면, 시료에 대해 수행되는 에칭 프로세스 이전 및 이후의 시료 상의 하나의 층), 상이한 물리적 층(예컨대, 상기에서 추가로 설명되는 것), 상이한 전자 빔 툴 조건, 별개의 검출기로부터의 상이한 전자 빔 툴 채널, 상이한 전자 빔 툴, 상이한 프로세스 파라미터, 예를 들면, 초점 및 노출, 또는 이들의 임의의 조합.
몇몇 실시형태에서, 시료에 대한 설계 데이터는 다음에 의해 적층되는 설계 데이터를 포함한다: 동일한 층 내의 상이한 선택된 패턴, 상이한 층, 상이한 재료, 또는 이들의 조합. 예를 들면, 본원에서 설명되는 실시형태는 설계(예를 들면, CAD)의 데이터 수집을 위해 구성될 수도 있다. 설계의 사이즈는 사용 사례에 의존할 수도 있다. 설계에 대한 소스는 IC 설계 CAD 파일일 수도 있다. 설계는 다음에 의해 적층될 수도 있다(샘플당 3D 매트릭스): 동일한 층 내의 상이한 선택된 패턴, 상이한 층, 상이한 재료, 또는 이들의 어떤 조합.
하나의 실시형태에서, 시료에 대한 정보는 시료 상에 형성되는 패턴화된 피쳐에 대한 정보를 포함하고, 패턴화된 피쳐에 대한 정보는 실험적으로 또는 이론적으로 생성된다. 예를 들면, 본원에서 설명되는 실시형태는 피쳐 이미지 또는 데이터의 데이터 수집을 위해 구성될 수도 있다. 피쳐 이미지 또는 데이터의 사이즈는 사용 사례에 의존할 수도 있다. 피쳐 이미지 또는 데이터의 소스는 프로세스 애플리케이션, 예를 들면, 본원에서 추가로 설명되는 바와 같이 수행될 수도 있는 PWQ, 본원에서 추가로 설명되는 바와 같이 수행될 수도 있는 FEM, 프로세스 엔지니어로부터의 유저 입력, 등등으로부터 생성되는 피쳐 또는 데이터를 포함할 수도 있다. 피쳐 이미지 또는 데이터의 소스는 또한, 상업적으로 이용 가능한 이미지 프로세싱 또는 신호 프로세싱 알고리즘(예를 들면, SEM ADC 알고리즘(들), SEM 윤곽 알고리즘(들), 광학 위상 검색 알고리즘(들), 등등)을 포함할 수도 있다. 피쳐 이미지 및/또는 데이터의 다른 소스는 프로세스 조건 및/또는 파라미터를 포함한다. 피쳐 이미지 및/또는 데이터는, 상이한 피쳐, 상기에서 설명되는 것과 같은 상이한 소스, 및 이들의 임의의 조합에 의해 적층될 수도 있다.
본원에서 설명되는 실시형태에 의해 수행되는 데이터 수집은 또한, 상기에서 설명되는 데이터의 어떤 조합(예를 들면, 광학 이미지, 전자 빔 이미지, 설계, 및 피쳐 이미지 또는 데이터의 어떤 조합)을 포함할 수도 있다.
본원에서 설명되는 학습 기반 모델은 딥 러닝 모델(deep learning model)일 수도 있다. 일반적으로 말하면, "딥 러닝"(심층 구조화 학습(deep structured learning), 계층적 학습(hierarchical learning) 또는 딥 머신 러닝(deep machine learning)으로 또한 알려짐)은, 데이터에서 하이 레벨의 추상화를 모델링하려고 시도하는 알고리즘의 세트에 기초한 머신 러닝의 한 분야이다. 간단한 경우, 뉴런의 두 개의 세트가 존재할 수도 있다: 입력 신호를 수신하는 뉴런의 세트 및 출력 신호를 전송하는 뉴런의 세트. 입력 층이 입력을 수신하면, 입력 층은 입력의 수정된 버전을 다음 층으로 전달한다. 딥 네트워크에서는, 입력과 출력 사이에, 다수의 선형 및 비선형 변환으로 구성되는 다수의 프로세싱 층을 알고리즘이 사용하는 것을 허용하는 많은 층이 존재한다(그리고 그 층은 뉴런으로 이루어지지 않지만 그것은 그것을 그러한 방식으로 생각하는 것을 도울 수 있다).
딥 러닝은 데이터의 학습 표현에 기초한 머신 러닝 방법의 더 광범위한 계열의 일부이다. 관찰 결과(observation)(예를 들면, 이미지)는 픽셀당 강도 값의 벡터와 같은 많은 방식으로, 또는 에지의 세트, 특정한 형상의 영역, 등등으로서 더욱 추상적인 방식으로 표현될 수 있다. 어떤 표현은, 학습 과제(예를 들면, 얼굴 인식 또는 표정 인식)를 단순화할 때 다른 것보다 더 우수하다. 딥 러닝의 약속 중 하나는, 수작업의 피쳐를, 자율(unsupervised) 또는 반 지도(semi-supervised) 피쳐 학습 및 계층적 피쳐 추출을 위해 효율적인 알고리즘으로 대체하는 것이다.
이 분야의 연구는, 더 나은 표현을 만들고 모델을 생성하여 대규모의 라벨이 지정되지 않은 데이터(unlabeled data)로부터 이들 표현을 학습하려고 시도한다. 표현의 일부는 신경 과학의 진보에 의해 영감을 받았으며, 뇌에서의 다양한 자극과 관련 신경 반응 사이의 관계를 정의하려고 시도하는 신경 코딩과 같은, 신경 시스템에서의 정보 프로세싱 및 통신 패턴의 해석에 막연하게(loosely) 기초한다.
심층 신경망(deep neural network), 컨볼루션 심층 신경망(convolutional deep neural network), 심층 신뢰망(deep belief network) 및 순환 신경망(recurrent neural network)과 같은 다양한 딥 러닝 아키텍쳐가 컴퓨터 비전, 자동 음성 인식, 자연 언어 프로세싱, 오디오 인식 및 생물 정보학과 같은 분야에서 적용되었는데, 여기서 그들은 다양한 과제에 대해 첨단의 결과를 생성하는 것으로 나타났다.
학습 기반 모델은 또한 머신 러닝 모델을 포함할 수도 있다. 머신 러닝은, 명시적으로 프로그래밍되지 않고도 컴퓨터에게 학습할 능력을 제공하는 인공 지능(artificial intelligence; AI)의 타입으로서 일반적으로 정의될 수 있다. 머신 러닝은, 새로운 데이터에 노출될 때 성장 및 변화하도록 그들 자신을 가르칠 수 있는 컴퓨터 프로그램의 개발에 중점을 둔다. 다시 말하면, 머신 러닝은 "명시적으로 프로그래밍되지 않아도 컴퓨터에게 학습할 능력을 부여하는" 컴퓨터 과학의 하위 분야로서 정의될 수 있다. 머신 러닝은, 데이터로부터 학습할 수 있고 데이터에 대한 예측을 행할 수 있는 알고리즘의 연구 및 구축을 탐구한다 - 이러한 알고리즘은, 샘플 입력으로부터 모델을 구축하는 것을 통해, 데이터 구동 예측 또는 결정을 행하는 것에 의해 후속하는 엄격히 정적인 프로그램 명령어를 극복한다.
본원에서 설명되는 머신 러닝은 또한, 2016년 Morgan Kaufmann의 Sugiyama에 의한 534 페이지의 "Introduction to Statistical Machine Learning"; 2002년 MIT Thesis의 Jebara의 212 페이지의 "Discriminative, Generative, and Imitative Learning"; 및 2001년 MIT Press의 578 페이지의 Hand 등등의 "Principles of Data Mining (Adaptive Computation and Machine Learning)"에서 설명되는 바와 같이 수행될 수도 있는데, 이들 문헌은 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 본원에서 설명되는 실시형태는 또한, 이들 참조 문헌에서 설명되는 바와 같이 구성될 수도 있다.
다른 실시형태에서, 학습 기반 모델은 변별 모델(discriminative model)을 포함한다. 예를 들면, 상기에서 설명되는 바와 같은 고정된 이미징 또는 프로세스 파라미터 하에서의 변환을 학습하기 위해, 학습 기반 모델은 변별적인 접근법을 위해 구성될 수도 있다. 조건부 모델로도 또한 칭해지는 변별 모델은, 관측된 변수 x에 대한 관찰되지 않은 변수 y의 의존성을 모델링하기 위한 머신 러닝에서 사용되는 모델의 클래스이다. 확률론적 프레임워크 내에서, 이것은, x로부터 y를 예측하기 위해 사용될 수 있는 조건부 확률 분포 P(y|x)를 모델링하는 것에 의해 이루어진다. 변별 모델은, 생성 모델(generative model)과는 반대로, x 및 y의 결합 분포로부터 샘플을 생성하는 것을 허용하지 않는다. 그러나, 결합 분포를 필요로 하지 않는 분류 및 회귀와 같은 과제의 경우, 변별 모델이 우수한 성능을 산출할 수 있다. 한편, 생성 모델은 통상적으로 복잡한 학습 과제의 종속성을 표현함에 있어서 변별 모델보다 더 유연하다. 게다가, 대부분의 변별 모델은 본질적으로 지도되며(supervised), 자율 학습(unsupervised learning)으로 쉽게 확장될 수 없다. 애플리케이션별 세부 사항은 변별 모델 대 생성 모델의 선택의 적합성에 궁극적으로 영향을 준다.
하나의 이러한 실시형태에서, 변별 모델은 지원 벡터 머신, 지원 벡터 회귀, 컨볼루션 신경망, 또는 순환 신경망을 포함한다. 예를 들면, 검사 및/또는 프로세스 파라미터의 고정된 세트 하에서 변환을 학습하는 것은, 변별적 접근법을 통해 회귀 문제 또는 분류 문제로서 해결될 수 있고, 가능한 모델은 지원 벡터 머신, 지원 벡터 회귀, 컨볼루션 신경망(convolutional neural network; CNN), 및 순환 신경망(recurrent neural network; RNN)을 포함한다.
머신 러닝에서, 지원 벡터 머신(support vector machine)(SVM, 또한 지원 벡터 네트워크)은, 분류 및 회귀 분석을 위해 사용되는 데이터를 분석하는 관련 학습 알고리즘을 갖는 지도 학습 모델(supervised learning model)이다. 두 개의 카테고리 중 하나 또는 나머지 하나에 속하는 것으로 각각 마킹되는 트레이닝 예의 세트가 주어지면, SVM 트레이닝 알고리즘은, 하나의 카테고리 또는 나머지 카테고리에 새로운 예를 할당하는 모델을 구축하여, 그것을 비확률적 이진 선형 분류기로 만든다. SVM 모델은, 별개의 카테고리의 예가 가능한 한 넓은 클리어 갭에 의해 분할되도록 매핑되는, 공간에서의 점으로서의 예의 표현이다. 그 다음, 새로운 예가 동일한 공간으로 매핑되고 갭의 어느 쪽에 그들이 속하는지에 기초하여 한 카테고리에 속할 것으로 예측된다.
선형 분류를 수행하는 것 외에도, SVM은, 자신의 입력을 고차원 피쳐 공간으로 암시적으로 매핑하는 커널 트릭으로 칭해지는 것을 사용하여 비선형 분류를 효율적으로 수행할 수 있다.
데이터에 라벨이 지정되지 않은 경우, 지도 학습은 불가능하며, 자율 학습 접근법이 필요로 되는데, 자율 학습 접근법은 그룹으로의 데이터의 자연스러운 클러스터링을 찾고 그 다음 새로운 데이터를 이들 그룹에 매핑한다. 지원 벡터 머신에 대한 향상을 제공하는 클러스터링 알고리즘은 지원 벡터 클러스터링(support vector clustering)으로 칭해지며, 데이터가 라벨이 지정되지 않은 경우 또는 분류 과정을 위한 사전 프로세싱으로서 몇몇 데이터만이 라벨이 지정되는(labeled) 경우 중 어느 하나의 경우에 산업 애플리케이션에서 종종 사용된다.
마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는 2007년 10월 Neural Information Processing - Letters and Reviews, Vol. 11, No. 10, pp. 203-224의 Basak 등등에 의한 "Support Vector Regression"에서 설명되는 바와 같이, 관찰된 트레이닝 에러를 최소화하는 대신, 지원 벡터 회귀(Support Vector Regression; SVR)는 일반화된 성능을 달성하기 위해 일반화된 에러 한계를 최소화하도록 시도한다. SVR의 아이디어는, 입력 데이터가 비선형 함수를 통해 매핑되는 고차원 피쳐 공간에서의 선형 회귀 함수의 계산에 기초한다. SVR은 다양한 분야 - 시계열 및 금융(노이즈가 많고 위험성이 있는) 예측, 복잡한 엔지니어링 분석의 근사, 볼록 이차 프로그래밍(convex quadratic programming ) 및 손실 함수의 선택, 등등에서 적용되었다. 본원에서 설명되는 학습 기반 모델은 이 논문에서 설명되는 바와 같이 추가로 구성될 수도 있다.
또 다른 실시형태에서, 모델은 신경망이다. 예를 들면, 모델은, 모델을 트레이닝시키기 위해 모델이 공급받았던 데이터에 따라 세계를 모델링하는 가중치의 세트를 갖는 심층 신경망일 수도 있다. 신경망은, 축삭돌기(axon)에 의해 연결되는 생물학적 뉴런의 상대적으로 큰 클러스터를 사용하여 생물학적 뇌가 문제를 해결하는 방식을 막연하게 모델링하는 신경 단위의 상대적으로 큰 콜렉션에 기초하는 계산 접근법으로서 일반적으로 정의될 수 있다. 각각의 신경 단위는 많은 다른 단위와 연결되며, 링크는 연결된 신경 단위의 활성화 상태에 대한 그들의 영향을 보강할 수 있거나 또는 억제할 수 있다. 이들 시스템은 명시적으로 프로그래밍되는 대신 자체 학습 및 트레이닝되고, 솔루션 또는 피쳐 검출이 전통적인 컴퓨터 프로그램에서는 표현하기 어려운 영역에서 우수하다.
신경망은 통상적으로 다수의 층으로 이루어지며, 신호 경로는 전방에서 후방으로 진행한다. 신경망의 목표는, 비록 여러 가지 신경망이 훨씬 더 추상적이기는 하지만, 인간의 두뇌가 문제를 해결하는 것과 동일한 방식으로 문제를 해결하는 것이다. 현대의 신경망 프로젝트는 통상적으로 수천 내지 수백만 개의 신경 단위 및 수백만 개의 연결을 가지고 작동한다. 신경망은 기술 분야에서 공지되어 있는 임의의 적절한 아키텍쳐 및/또는 구성을 가질 수도 있다.
다른 실시형태에서, 모델은 컨볼루션 신경망(CNN)이다. 예를 들면, 본원에서 설명되는 실시형태는 CNN과 같은 딥 러닝 개념을 활용하여, 일반적으로 다루기 어려운 표현 변환 문제(예를 들면, 렌더링)를 해결할 수 있다. 모델은 기술 분야에서 공지되어 있는 임의의 CNN 구성 또는 아키텍쳐를 가질 수도 있다. CNN은 또한, 2016년 11월 16일자로 제출된 Bhaskar 등등에 의한 미국 특허 출원 제15/353,210호에서 설명되는 바와 같이 구성될 수도 있는데, 이 특허 출원은 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 본원에서 설명되는 학습 기반 모델은 이 특허 출원에서 설명되는 바와 같이 추가로 구성될 수도 있다.
순환 신경망(RNN)은 단위 사이의 연결이 방향성 싸이클을 형성하는 인공 신경망의 클래스이다. 이것은, 네트워크가 동적인 시간적 거동을 나타내는 것을 허용하는 네트워크의 내부 상태를 생성한다. 피드 포워드 신경망과는 달리, RNN은 그들의 내부 메모리를 사용하여 입력의 임의의 시퀀스를 프로세싱할 수 있다. 이것은, 세그먼트화되지 않은 연결된 필기 인식 또는 음성 인식과 같은 과제에 그들이 적용 가능하게 만든다.
또 다른 실시형태에서, 학습 기반 모델은 파라메트릭(parametric) 또는 비 파라메트릭(non-parametric) 베이지안 접근법(Bayesian approach)을 포함한다. 예를 들면, 고정된 이미징 또는 프로세스 파라미터 하에서의 학습 변환(learning transformation)은 파라메트릭 및 비 파라메트릭 베이지안 접근법에 의해 해결될 수 있다. 마치 본원에서 기술되는 것처럼 참조에 의해 본원에 통합되는 2010년 Orbanz 등등에 의한 14 페이지의 "Bayesian Nonparametric Models"에서 설명되는 바와 같이, 베이지안 비 파라메트릭 모델은 무한 차원 파라미터 공간 상의 베이지안 모델이다. 파라미터 공간은 통상적으로 주어진 학습 문제에 대한 모든 가능한 솔루션의 세트로서 선택된다. 예를 들면, 회귀 문제에서, 파라미터 공간은 연속 함수의 세트일 수 있고, 밀도 추정 문제에서, 공간은 모든 밀도로 구성될 수 있다. 베이지안 비 파라메트릭 모델은, 관찰 결과의 유한한 샘플을 설명하기 위해 이용 가능한 파라미터 차원의 유한한 서브세트만을 사용하는데, 차원의 세트는 샘플에 의존하여 선택되고, 그 결과 (사용되는 차원의 수에 의해 측정되는 바와 같은) 모델의 유효 복잡성은 데이터에 적응된다. 따라서, 비 파라메트릭 추정 및 모델 선택과 같은 고전적 적응 문제는 베이지안 추론 문제로서 공식화될 수 있다. 베이지안 비 파라메트릭 모델의 대중적인 예는, 샘플 사이즈가 증가함에 따라 상관 구조가 정교해지는 가우시안 프로세스 회귀, 및 클러스터의 수를 데이터의 복잡성에 적응시키는 디리클레(Dirichlet) 프로세스 혼합 모델을 포함한다. 베이지안 비 파라메트릭 모델은, 최근, 회귀, 분류, 클러스터링, 잠재적 변수 모델링, 순차 모델링, 이미지 세그먼트화, 소스 분리 및 문법 추정(grammar induction)을 비롯한 다양한 머신 러닝 문제에 적용되었다.
비 파라메트릭 모델은, 모델의 사이즈가 데이터 사이즈와 함께 성장하도록 허용되는, 모델 선택 및 적응에 대한 접근법을 구성한다. 이것은 고정된 수의 파라미터를 사용하는 파라메트릭 모델과는 반대이다. 예를 들면, 밀도 추정에 대한 파라메트릭 접근법은, 최대 우도에 의해 가우시안 또는 고정된 수의 가우시안의 혼합을 적합시키는 것일 것이다. 비 파라메트릭 접근법은 파젠 윈도우 추정기(Parzen window estimator)일 것인데, 이것은 각각의 관찰 결과에서 가우시안을 중심에 둔다(따라서 관찰 결과마다 하나의 평균 파라미터를 사용한다). 다른 예는 가우시안 커널(Gaussian kernel)을 갖는 지원 벡터 머신이다. 레프리젠터 정리(Representer theorem)는, 의사 결정 함수가 모든 입력 벡터에 중심을 두는 가우시안 방사형 기본 함수의 선형 조합이며, 따라서 더 많은 관찰 결과와 함께 성장하는 복잡성을 갖는다는 것을 나타낸다. 비 파라메트릭 방법은 고전적인(비 베이지안) 통계에서 오랫동안 인기 있었다. 그들은 종종 애플리케이션에서 인상적으로 수행되며, 이러한 모델에 대한 이론적인 결과가 파라메트릭 모델에 대해서 보다 통상적으로 증명하기가 더 어렵지만, 광범위한 모델에 대해 매력적인 이론적 속성이 확립되었다.
하나의 이러한 실시형태에서, 우도 확률은, 가우시안 혼합 모델, 디리클레 혼합 모델, 가우시안 프로세스, 또는 딥 가우시안 프로세스에 의한 파라메트릭 또는 비 파라메트릭 베이지안 접근법에 대해 모델링된다. 다른 이러한 실시형태에서, 사전(prior) 및 사후(posterior)는, 변분 추론(variational inference) 또는 마르코프 체인 몬테 카를로(Markov Chain Monte Carlo)에 의한 파라메트릭 또는 비 파라메트릭 베이지안 접근법에 대해 모델링된다.
베이지안 통계에서, 랜덤 이벤트 또는 불확실한 명제의 사후 확률은, 관련 증거 또는 배경이 고려된 이후 할당되는 조건부 확률이다. 마찬가지로, 사후 확률 분포는, 실험 또는 조사로부터 획득되는 증거를 조건으로 하는, 랜덤 변수로 취급되는 미지의 양의 확률 분포이다. "사후"는, 이 맥락에서, 시험되고 있는 특정한 사례에 관련되는 관련 증거를 고려한 이후를 의미한다. 사후 확률은, 증거 X:p(θ|X)를 고려한 파라미터 θ의 확률이다. 그것은 우도 함수와 대조되는데, 우도 함수는 파라미터를 고려한 증거의 확률이다: p(X|θ). 이 두 가지는 다음과 같이 관련된다: 확률 분포 함수가 p(θ)인 사전 신뢰 및 우도 p(x|θ)를 갖는 관찰 결과 x를 갖는다고 하면, 그러면, 사후 확률은 사후 확률 α 우도 × 사전 확률로서 정의된다.
가우시안 혼합 모델(Gaussian Mixture Model; GMM)은 가우시안 성분 밀도의 가중된 합으로 표현되는 파라메트릭 확률 밀도 함수이다. GMM은 일반적으로, 화자(speaker) 인식 시스템에서 보컬 트랙 관련 스펙트럼 피쳐와 같은 생체 인식 시스템의 연속 측정치 또는 피쳐의 확률 분포의 파라메트릭 모델로서 사용된다. GMM 파라미터는 잘 트레이닝된 이전 모델의 최대 사후(Maximum A Posteriori; MAP) 추정치 또는 반복적인 기대치 최대화(Expectation-Maximization; EM) 알고리즘을 사용하여 트레이닝 데이터로부터 추정된다.
마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는 Bayesian Analysis(2006), No. 1, pp. 121-144의 Blei 등등에 의한 "Variational Inference for Dirichlet Process Mixtures"에서 설명되는 바와 같이, 몬테 카를로 마르코프 체인(Monte Carlo Markov chain; MCMC) 샘플링의 방법론은 10 년 넘게 베이지안 통계학에 활력을 불어 넣어, 우도 및 사후 분포의 계산에 대한 체계적인 접근법을 제공하였고, 급속하게 성장하는 수의 적용된 문제에서 베이지안 방법의 전개를 허용하였다. 그러나, 의심할 여지없는 성공 사례인 반면, MCMC는 완전무결한 사례는 아니다 - MCMC 방법은 수렴 속도가 느릴 수 있고 그들의 수렴은 진단이 어려울 수 있다. 샘플링에 대한 추가 연구가 필요하지만, 특히 대규모 문제의 상황에서, 대안을 탐색하는 것도 또한 중요하다.
대안의 하나의 이러한 클래스는 변분 추론 방법(variational inference method)에 의해 제공된다. MCMC와 마찬가지로, 변분 추론 방법은 통계 물리에 그 뿌리를 두고 있으며, MCMC 방법과는 대조적으로, 그들은 결정론적이다. 변분 추론의 기본 아이디어는, 최적화 문제의 관점에서 주변 확률(marginal probability) 또는 조건부 확률(conditional probability)의 계산을 공식화하는 것이다. 그 다음, 이러한 (일반적으로 다루기 힘든) 문제는 "완화되어", 변분 파라미터(variational parameter)로 알려져 있는 다수의 자유 파라미터에 의존하는 단순화된 최적화 문제를 산출한다. 변분 파라미터에 대해 푸는 것은, 주목하는 주변 또는 조건부 확률에 대한 근사치를 부여한다.
변분 추론 방법은 지수족(exponential family)의 맥락에서 주로 개발되었는데, 여기서는, 자연 파라미터 공간(natural parameter space)의 볼록 속성 및 누계 함수가 정밀한 일반적인 변분 형식(elegant general variational formalism)을 산출한다. 예를 들면, 일반적인 지수족 명세에 기초하여 파라메트릭 계층적 베이지안 모델에 대한 변분 방법(variational method)이 개발되었다. MCMC 방법은 훨씬 더 넓은 적용성을 보였다. 특히, 디리클레 프로세스와 같은 비 파라메트릭 모델에 대한 MCMC 알고리즘의 개발은 비 파라메트릭 베이지안 방법에 대한 증가된 관심으로 이어졌다. 이러한 갭은 디리클레 프로세스 혼합에 대한 변분 방법을 개발하는 것에 의해 종결될 수 있다.
디리클레 프로세스(Dirichlet process; DP)는 대책에 대한 척도이다. DP는 기본 분포 G0 및 양의 스케일링 파라미터 α에 의해 파라미터화된다. 디리클레 프로세스로부터 랜덤 척도 G를 도출하고, 그리고 G로부터 N 개의 랜덤 변수
Figure 112018076162533-pct00001
를 독립적으로 도출한다고 가정한다:
Figure 112018076162533-pct00002
랜덤 척도 G를 무시하면,
Figure 112018076162533-pct00003
의 결합 분포는 P'olya urn 스킴을 따른다. 양의 확률은, 상이한
Figure 112018076162533-pct00004
가 동일한 값을 취하는 구성에 할당된다; 또한, 기저의 랜덤 척도 G는 확률 1에서 이산적이다. 이것은 DP의 스틱 파괴 표현(stick-breaking representation)에서 가장 직접적으로 나타나는데, 여기서, G는 아토믹 척도(atomic measure)의 무한한 합계로서 명시적으로 표현된다.
디리클레 프로세스 혼합 모델은,
Figure 112018076162533-pct00005
를 n 번째 관찰 결과의 분포의 파라미터로서 취급하는 것에 의해 계층 구조(hierarchy)에 레벨을 추가한다. G의 이산성을 고려하면, DP 혼합은 무한한 수의 혼합 성분을 갖는 혼합 모델로서 해석을 갖는다.
DP 혼합으로부터의 샘플
Figure 112018076162533-pct00006
를 고려하면, 목표는 예측 밀도를 계산하는 것이다:
Figure 112018076162533-pct00007
. 많은 계층적 베이지안 모델에서처럼, 사후 분포
Figure 112018076162533-pct00008
는 복잡하고 닫힌 형태로는 이용 불가능하다. MCMC는 이 사후 및 예측 밀도에 대한 근사치의 하나의 클래스를 제공한다.
가우시안 프로세스와 관련하여, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는 2006년 MIT Press의 Rasmussen 등등에 의한 "Gaussian Processes for Machine Learning"(5 장), 페이지 105 내지 128에서 기술되는 바와 같이, 베이지안 원리는 추론을 위한 설득력이 있고 일관된 프레임워크를 제공한다. 불행하게도, 머신 러닝에 대한 가장 흥미로운 모델의 경우, 필요로 되는 계산(파라미터 공간에 걸친 적분)은 해석적으로 다루기가 어렵고, 양호한 근사치가 쉽게 유도되지 않는다. 가우시안 노이즈를 갖는 가우시안 프로세스 회귀 모델은 드문 예외이다: 파라미터에 걸친 적분은 해석적으로 다루기 쉽고 동시에 모델은 매우 유연하다. 일반적인 베이지안 추론 원리는, 하이퍼파라미터(hyperparameter)가 최적화되는 단순화된 형태로 특정한 가우시안 프로세스 모델에 적용될 수도 있다. 주변 우도(marginal likelihood)에 대한 표현은 유도 및 해석될 수도 있다.
가우시안 프로세스 모델은 비 파라메트릭 모델이기 때문에, 모델의 파라미터가 무엇인지는 즉시 명확하지 않을 수도 있다. 일반적으로, 트레이닝 입력(f)에서의 노이즈가 없는 잠재적 기능 값을 파라미터로 간주할 수도 있다. 트레이닝 사례가 많을수록 파라미터가 많다. 가중치 공간 뷰(weight-space view)를 사용하여, 공분산 함수의 고유 함수로서 선택될 수 있는 기저 함수
Figure 112018076162533-pct00009
를 사용하는 선형 모델의 가중치인 것으로 파라미터를 등가적으로 생각할 수도 있다. 물론, 이 뷰는 부자연스러운 또는 비축퇴(nondegenerate) 공분산 함수인데, 그 이유는 그때 이들이 무한한 수의 가중치를 가지기 때문이다. 본원에서 설명되는 실시형태의 학습 기반 모델은 Rasmussen에 의한 상기 참조 문헌에서 설명되는 바와 같이 추가로 구성될 수도 있다.
딥 가우시안 프로세스(Deep Gaussian process)와 관련하여, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는 2013년의 Proceedings of the International Conference on Artificial Intelligence and Statistics (AISTATS)의 9 페이지의 Damianou 등등에 의한 "Deep Gaussian Processes"에서 기술되는 바와 같이, 딥 가우시안 프로세스(Gaussian process; GP) 모델은 가우시안 프로세스 매핑에 기초한 심층 신뢰망이다. 데이터는 다변량 GP의 출력으로서 모델링된다. 그때, 그 가우시안 프로세스에 대한 입력은 다른 GP에 의해 관리된다. 단일 층 모델은 표준 GP 또는 GP 잠재 변수 모델(GP latent variable model; GP-LVM)과 동일하다. 모델에서의 추론은 근사 변분 주변화(approximate variational marginalization)에 의해 수행될 수도 있다. 이것은, 모델 선택(층 및 층당 노드의 수)을 위해 사용될 수 있는 모델의 주변 우도에 대한 엄격한 하한으로 나타난다. 심층 신뢰망은 통상적으로, 최적화를 위한 확률론적 기울기 하강을 사용하여 상대적으로 큰 데이터 세트에 적용된다. 완전한 베이지안 처리(fully Bayesian treatment)는, 심지어 데이터가 부족한 경우에도 심층 모델의 적용을 허용한다. 변분 한계(variational bound)에 의한 모델 선택은, 심지어 단지 150개의 예만을 포함하는 숫자 데이터 세트를 모델링하는 경우에도, 다섯 개의 계층 구조가 정당하다는 것을 보여준다. 본원에서 설명되는 실시형태의 학습 기반 모델은, Damianou 등등에 의한 상기 통합된 참조 문헌에서 설명되는 바와 같이 추가로 구성될 수도 있다.
몇몇 실시형태에서, 학습 기반 모델은 생성 모델을 포함한다. "생성" 모델은, 사실상 확률론적인 모델로서 일반적으로 정의될 수 있다. 다시 말하면, "생성" 모델은, 순방향 시뮬레이션 또는 규칙 기반의 접근법을 수행하는 모델이 아니며, 그러한 만큼, (시뮬레이팅된 이미지가 생성되고 있는) 실제 이미지를 생성함에 있어서 수반되는 프로세스의 물리학의 모델은 필요하지 않다. 대신에, 본원에서 추가로 설명되는 바와 같이, 생성 모델은 (그 파라미터가 학습될 수 있다는 점에서) 데이터의 적절한 트레이닝 세트에 기초하여 학습될 수 있다.
하나의 이러한 실시형태에서, 생성 모델은 오토인코더 변형(autoencoder variant), 생성적 적대 신경망(generative adversarial network), 조건부의 생성적 적대 신경망(conditional generative adversarial network) 또는 딥 생성 모델(deep generative model)을 포함한다. 예를 들면, 상기에서 설명되는 바와 같이 고정된 이미징 또는 프로세스 파라미터 하에서 변환을 학습하기 위해, 학습 기반 모델은, 디코더 부분이 궁극적으로 표현 변환을 위해 사용될 오토인코더 변형, 생성적 적대 신경망(generative adversarial network; GAN)의 생성 부분이 표현 변환을 위해 사용될 수도 있는 GAN, 조건부 생성적 적대 신경망(conditional generative adversarial network; CGAN)의 생성 부분이 표현 변환을 위해 사용될 수도 있는 CGAN, 및 생성 모델의 디코더 부분이 표현 변환을 위해 사용될 수 있는, 2016년 6월 7일자로 출원된 Zhang 등등에 의한 미국 특허 출원 제15/176,139호에서 설명되는 것과 같은 딥 생성 모델을 포함하는 하나 이상의 생성 모델을 사용하여 생성 접근법(generative approach)을 위해 구성될 수도 있다. 본원에서 설명되는 실시형태는 또한, 이 특허 출원에서 설명되는 바와 같이 구성될 수도 있다.
오토인코더, 오토어소시에이터 또는 디아볼로(Diabolo) 네트워크는 효율적인 코딩의 자율 학습을 위해 사용되는 인공 신경망이다. 오토인코더의 목적은, 통상적으로 차원 감소의 목적을 위해, 데이터의 세트에 대한 표현(인코딩)을 학습하는 것이다. 최근, 오토인코더 개념은 데이터의 생성 모델을 학습하기 위해 더욱 널리 사용되고 있다. 구조적으로, 가장 간단한 형태의 오토인코더는, 다층 퍼셉트론(multilayer perceptron; MLP) - 입력 층, 출력 층 및 이들을 연결하는 하나 이상의 은닉된 층을 구비함 - 과 아주 유사한, 그러나 입력 층과 동일한 수의 노드를 갖는 출력 층을 가지며, (입력을 고려하여 타겟 값을 예측하는 대신) 자기 자신의 입력을 재구성하는 목적을 갖는 피드포워드 비 순환 신경망이다. 따라서, 오토인코더는 자율 학습 모델이다. 오토인코더는 항상 인코더 및 디코더의 두 부분으로 구성된다. 오토인코더가 아이덴티티 항등 함수를 학습하는 것을 방지하기 위한 그리고 중요한 정보를 캡쳐하고 더 풍부한 표현을 학습하는 그들의 능력을 향상시키기 위한 다양한 기술이 존재한다. 오토인코더는, 디노이징(Denoising) 오토인코더, 희소 오토인코더(sparse autoencoder), 변분 오토인코더(variational autoencoder), 및 수축성 오토인코더(contractive autoencoder)와 같은 오토인코더의 임의의 적절한 변형을 포함할 수도 있다.
본원에서 설명되는 실시형태에 포함되는 GAN은, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는, 2014년 6월 10일자의 arXiv:1406.2661의 9 페이지의 Goodfellow 등등에 의한 "Generative Adversarial Nets"에서 설명되는 바와 같이 구성될 수도 있다. Goodfellow 등등은, 두 개의 모델이 동시에 트레이닝되는 적대적 프로세스를 통해 생성 모델을 추정하기 위한 새로운 프레임워크를 설명한다: 데이터 분포를 캡쳐하는 생성 모델 G 및 샘플이 G 대신 트레이닝 데이터로부터 유래한 확률을 추정하는 변별 모델 D. G에 대한 트레이닝 프로시져는, D가 실수를 하는 확률을 최대화하는 것이다. 이 프레임워크는 미니맥스 2인 게임(minimax two-player game)에 대응한다. 임의의 함수 G 및 D의 공간에서, G는 트레이닝 데이터 분포를 복구하고 D는 모든 곳에서 1/2과 동일한 고유한 솔루션이 존재한다. G 및 D가 다층 퍼셉트론에 의해 정의되는 경우, 전체 시스템은 역전파(backpropagation)를 사용하여 트레이닝될 수 있다. 샘플의 생성 또는 트레이닝 중 어느 하나 동안, 마르코프 체인(Markov chain) 또는 언롤드 근사 추론 네트워크(unrolled approximate inference network)에 대한 필요성이 존재하지 않는다. 실험은, 생성된 샘플의 정성적 및 정량적 평가를 통해 프레임워크의 잠재력을 설명한다. 본원에서 설명되는 실시형태의 학습 기반 모델은 Goodfellow 등등에 의해 설명되는 바와 같이 추가로 구성될 수도 있다.
본원에서 설명되는 실시형태에 포함되는 CGAN은, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는, 2014년 6월의 arXiv:1411.1784의 7페이지의 Mirza 등등에 의한 "Conditional Generative Adversarial Nets"에서 설명되는 바와 같이 구성될 수도 있다. 생성적 적대 네트(generative adverarial net)는 생성자(generator)와 디스크리미네이터(discriminator) 둘 모두가 약간의 여분의 정보 y를 조건으로 하는 경우, 조건부 모델로 확장될 수 있다. y는, 다른 양식으로부터의 데이터 또는 클래스 라벨과 같은 임의의 종류의 보조 정보일 수 있다. 컨디셔닝은, 추가 입력 층으로서 디스크리미네이터 및 생성자 둘 모두에게 y를 공급하는 것에 의해 수행될 수 있다. 생성기에서, 이전의 입력 노이즈
Figure 112018076162533-pct00010
, 및 y는 결합 은닉 표현(joint hidden representation)에서 결합되고 적대적 트레이닝 프레임워크(adversarial training framework)는 이 은닉된 표현이 어떻게 구성되는지에 있어서 상당한 유연성을 허용한다. 디스크리미네이터에서, x 및 y는 변별 기능(몇몇 경우에 MLP에 의해 구현됨)에 대한 입력으로 제공된다. 그러면, 2 인 미니맥스 게임의 목적 함수는 다음일 것이다:
Figure 112018076162533-pct00011
본원에서 설명되는 실시형태에 포함되는 학습 기반 모델은 Mirza 등등에 의한 상기의 참조 문헌에서 설명되는 바와 같이 추가로 구성될 수도 있다.
딥 생성 모델은, 다수의 알고리즘 또는 변환을 수행하는 다수의 층을 모델이 포함할 수도 있다는 점에서, 딥 러닝 아키텍쳐를 가지도록 구성될 수도 있다. 생성 모델의 인코더 측에서의 층의 수는 사용 사례에 의존한다. 또한, 디코더 측의 층의 수는 사용 사례에 의존하며, 인코더 측의 층의 수에 의존할 수도 있다. 일반적으로, 생성 모델의 한 쪽 또는 양 쪽 상의 층의 수는 중요하지 않으며 사용 사례에 의존한다. 실용적인 목적을 위해, 양면 상의 층의 적절한 범위는, 2 층에서부터 수십 층까지이다.
본원에서 설명되는 실시형태는 학습 기반 모델을 트레이닝시키도록 구성될 수도 있거나 또는 구성되지 않을 수도 있다. 예를 들면, 다른 방법 및/또는 시스템이 트레이닝된 학습 기반 모델을 생성하도록 구성될 수도 있는데, 그러면, 트레이닝된 학습 기반 모델은 본원에서 설명되는 실시형태에 의해 액세스 및 사용될 수 있다. 일반적인 트레이닝 프로시져에서, (입력, 예상된 출력)의 튜플의 트레이닝 데이터세트가 사용 사례에 기초하여 수집될 수도 있다. 트레이닝 데이터세트는 선택된 모델을 트레이닝시키기 위해 사용될 수도 있다. 런타임 동안, (예를 들면, 선택된 이미징 및/또는 프로세스 파라미터 하에서) 입력 데이터가 수집될 수도 있다. 그 다음, 예측은 입력 데이터에 대한 트레이닝된 모델을 사용하여 수행될 수도 있다.
다른 실시형태에서, 하나 이상의 컴퓨터 서브시스템은 조건부 생성적 적대 신경망(CGAN)을 사용하여 학습 기반 모델을 트레이닝시키도록 구성된다. 예를 들면, 본원에서 설명되는 실시형태는 CGAN을 통해 하나 이상의 이미징 또는 프로세스 파라미터를 가지고 변환을 학습하도록 구성될 수도 있다. 예를 들면, 본원에서 추가로 설명되는 바와 같이 구성될 수도 있는 CGAN에서, 생성 모델 G는 변별 모델 D가 뒤따를 수도 있다. 트레이닝 동안, CGAN에 대한 입력(이것은 본원에서 I(x, y, ...)로 나타내어질 수 있음)은, 광학 이미지의 스택(예를 들면, O(x, y, ...)), 전자 빔 이미지의 스택(예를 들면, S(x, y, ...)), 및 설계 스택(예를 들면, D(x, y, ...)) 중 하나 이상을 포함할 수도 있다.
하나의 이러한 실시형태에서, 트레이닝은 CGAN의 생성 부분 이전에 노이즈를 입력하는 것을 포함한다. 예를 들면, 상기에서 설명되는 입력은, 어쩌면 노이즈 z ~ P(z) 및/또는 프로세스 또는 이미징 파라미터와 함께, 생성 모델 G에 입력될 수도 있다. 입력 노이즈는 선택된 분포, 예를 들면, 가우시안으로부터 도출되는 랜덤 노이즈 z일 수도 있다. 노이즈 입력은 I(x, y, ...)와 동일한 폭과 높이를 가질 수도 있다. 입력 파라미터는 프로세스 또는 이미징 파라미터로부터 생성되는 파라미터 맵일 수도 있다. 파라미터 맵은 입력과 동일한 폭과 높이를 가질 수도 있다.
생성 모델은 "가짜" 이미지(예를 들면, F(x, y, ...))를 생성할 수도 있거나 또는 학습 기반 모델이 시료에 대해 생성하기 위해 사용될 모든 시뮬레이션 출력을 생성할 수도 있다. "가짜" 이미지는 변별 모델 D에 입력될 수도 있다. 변별 모델은, 생성 모델이 얼마나 잘 수행하고 있는지를 결정하기 위해 "가짜" 이미지 및 "실제" 이미지(예를 들면, R(x, y, ...))를 사용하도록 구성될 수도 있다. 이러한 방식에서, CGAN의 생성 부분 G는, 본원에서 설명되는 예상된 "출력"을 예측하는 방법을 학습할 수도 있다. 생성된 "가짜" 이미지는, 실제 이미지로부터 "가짜" 생성된 이미지를 구별하려고 시도하는 변별 부분 D에 입력된다. 손실 함수는 다음과 같다:
Figure 112018076162533-pct00012
D 및 G를 함께 트레이닝시키는 것에 의해, G는 변환을 잘 학습할 것으로 예상된다.
파라미터 맵은, 본원에서 설명되는 실시형태에 의해 시뮬레이팅된 출력이 생성될 소망되는 이미징 또는 프로세스 파라미터의 입력일 수도 있다. 파라미터 맵은 두 가지 방식으로 생성될 수도 있다. 예를 들면, 제1 접근법에서, 각각의 파라미터에 대한 11 매트릭스가 구성될 수도 있다. 그 다음, 파라미터 맵을 생성하기 위해, 일정한 업 풀링(up-pooling)이 수행될 수도 있다. 제2 접근법에서, 각각의 파라미터에 대한 1×1 매트릭스가 생성될 수도 있는데, 그것은 컨볼루션, 비선형 및 업 풀링 연산의 여러 단계로 입력될 수도 있다. 컨볼루션, 비선형 및 업 풀링의 각각의 단계는 디컨볼루션 층(deconvolutional layer)으로 또한 칭해질 수도 있다.
그 다음, 트레이닝 동안, 프로시져는 다음과 같을 수도 있다. 사용 사례에 기초하여 (입력, 예상된 출력)의 튜플 상에 트레이닝 데이터세트가 수집될 수도 있다. 출력 이미지의 파라미터 범위가 연속적이면, 이미징 또는 프로세스 파라미터의 가능한 선택은 본질적으로 무한이다. 일반적인 관행으로, 파라미터 범위로부터 몇 개의 값이 샘플링될 수 있으며, 데이터는 샘플링된 값에 기초하여 수집될 수도 있다. 그 다음, 트레이닝 데이터세트는 CGAN을 트레이닝시키기 위해 사용될 수도 있다.
런타임 동안, 프로시져는 다음과 같을 수도 있다. 입력 데이터는 주어진 이미징 및/또는 프로세스 파라미터 하에서 수집될 수도 있다. 그 다음, 입력 데이터에 기초하여 트레이닝된 모델을 사용하여 예측이 수행될 수도 있다.
학습 기반 모델을 트레이닝시키는 것은, 2016년 6월 7일자로 출원된 Zhang 등등에 의한 미국 특허 출원 제15/176,139호, 및 2016년 12월 29일자로 출원된 Bhaskar 등등에 의한 미국 특허 출원 제15/394,790호에 설명되는 바와 같이 추가로 수행될 수도 있는데, 이들 특허 출원은, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 본원에서 설명되는 실시형태는 또한, 이들 특허 출원에서 설명되는 바와 같이 구성될 수도 있다. 본원에서 설명되는 학습 기반 모델을 트레이닝시키기 위해 사용되는 트레이닝 데이터세트는 또한, 풍부함을 목적으로 증대 및/또는 샘플링될 수도 있다. 예를 들면, 트레이닝 데이터세트는, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는 2016년 12월 29일자로 출원된 Bhaskar 등등에 의한 미국 특허 출원 제15/394,790호에서 설명되는 바와 같이 트레이닝을 위해 생성 및 사용될 수도 있는 합성 트레이닝 데이터를 포함하도록 생성될 수도 있거나 또는 합성 트레이닝 데이터로 보강될 수도 있다. 본원에서 설명되는 실시형태는 또한, 이 특허 출원에서 설명되는 바와 같이 구성될 수도 있다.
본원에서 설명되는 모델은 특정한 시료(예를 들면, 특정한 웨이퍼 또는 레티클), 프로세스, 및 이미징 파라미터에 대해 생성될 수도 있다. 다시 말하면, 본원에 설명된 모델은 시료에 고유할 수도 있고, 프로세스에 고유할 수도 있고, 그리고 이미징 파라미터에 고유할 수도 있다. 예를 들면, 하나의 실시형태에서, 각각의 모델은 특정한 설계 및 웨이퍼 층에 고유하도록 트레이닝될 수도 있다. 그러면, 트레이닝된 모델은 그 레이어에 대한 예측을 수행하기 위해서만 사용될 것이다. 이러한 방식에서, 상이한 웨이퍼 층에 대해 상이한 모델이 생성될 수도 있다. 그러나, 다른 실시형태에서, 상이한 설계 및 웨이퍼 타입 층으로부터의 데이터를 가지고 단일의 모델이 트레이닝될 수도 있다. 결과적으로 나타나는 모델은, 일반적으로 트레이닝 데이터에 포함되는 모든 타입의 시료에 대한 예측을 수행하기 위해 사용될 수도 있다. 또한, 이미징 파라미터의 상이한 세트(예를 들면, 상이한 이미징 모드)에 대해 상이한 모델이 생성될 수도 있다. 일반적으로, 모델은, 선택된 이미징 모드가 툴에 걸쳐 반복 가능한 한, 툴과는 독립적일 수도 있다. 상이한 모델의 각각은 데이터의 상이한 트레이닝 세트를 가지고 생성될 수도 있다. 데이터의 상이한 트레이닝 세트의 각각은 임의의 적절한 방식으로 생성될 수도 있다.
다른 실시형태에서, 본원에서 설명되는 실시형태는, 광학 이미지, 전자 빔 이미지, 및 설계 중 하나 이상을 서로 정렬하는 것을 포함할 수도 있다. 예를 들면, 본원에서 설명되는 실시형태가 광학, 전자 빔, 및 설계 사이의 변환을 수행하기 때문에, 시뮬레이팅된 출력은 본질적으로 입력에 매핑될 것이다. 따라서, 입력 및 출력은 근본적으로 및 본질적으로 서로 정렬된다. 그러면, 임의의 주어진 x, y 위치에서의 시뮬레이팅된 출력에 대응하는 입력은, 실질적으로 간단한 방식으로 (공통 x, y 좌표에 기초하여) 결정될 수 있다. 이러한 방식에서, 입력의 상이한 부분에 대응하는 시뮬레이팅된 출력은 실질적으로 용이한 방식으로 식별될 수도 있고 입력과 함께 또는 입력에 대해 하나 이상의 기능을 수행하기 위해 사용될 수 있다. 예를 들면, 시뮬레이팅된 출력 및 입력에 대한 그것의 대응성에 기초하여, 결함 검출을 위해 사용되는 하나 이상의 파라미터는 x, y 위치의 함수로서 변경될 수도 있다. 다른 예에서, 입력 및/또는 시뮬레이팅된 출력이 시료에 대해 수행되는 프로세스의 하나 이상의 파라미터를 변경하기 위해 사용되는 경우, 하나 이상의 파라미터는 입력 및/또는 시뮬레이팅된 출력의 특성에 기초하여 x, y 위치의 함수로서 변경될 수도 있다.
본원에서 설명되는 실시형태는 또한, 지도 트레이닝(supervised training)을 위한 실질적으로 실제의 샘플을 제공하기 위해 사용될 수 있다. 예를 들면, 시뮬레이팅된 출력 및 그것의 대응하는 입력은, 본원에서 설명되는 학습 기반 모델 또는 기술 분야에서 공지되어 있는 임의의 다른 적절한 학습 기반 모델 중 임의의 것을 포함할 수도 있는 하나 이상의 상이한 학습 기반 모델의 지도 트레이닝을 위해 사용될 수도 있다. 지도 트레이닝은 본원에서 추가로 설명되는 바와 같이 생성되는 시뮬레이팅된 출력 및 그것의 대응하는 입력을 사용하여 임의의 적절한 방식으로 수행될 수도 있다.
다른 실시형태에서, 본원에서 설명되는 실시형태는 결함 검출을 위한 기준 이미지를 생성하기 위해 사용될 수도 있다(예를 들면, 다이 대 데이터 베이스 검사). 예를 들면, 본원에서 설명되는 실시형태는 본원에서 설명되는 입력(예를 들면, 설계, 전자 빔 이미지(들), 광학 이미지(들)) 중 하나 이상으로부터 기준 이미지를 생성하도록 구성될 수도 있고, 생성된 기준은 에너지(예를 들면, 광 또는 전자)를 시료로 지향시키고 시료로부터 에너지(예를 들면, 광 또는 전자)를 검출하는 것에 의해 생성되는 출력에 비교될 수도 있다. 그 다음, 생성된 기준과 출력 사이의 차이는, (예를 들면, 차이를 임계치에 비교하고 임계치를 초과하는 차이를 시료 상의 결함에 대응하는 것으로 식별하는 것에 의해) 시료 상에서 결함을 검출하기 위해 사용될 수도 있다.
본원에서 설명되는 실시형태는, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는, 2017년 1월 2일자로 Zhang 등등에 의해 출원된 미국 특허 출원 제15/396,800호에서 설명되는 바와 같이, 상대적으로 낮은 품질(예를 들면, 저해상도) 이미지(예를 들면, SEM 또는 광학 검사 이미지)로부터 실질적으로 고품질(예를 들면, 고해상도) 결함 리뷰 이미지(예를 들면, SEM 리뷰 이미지)를 생성하도록 구성될 수도 있다. 본원에서 설명되는 실시형태는 또한, 이 특허 출원에서 설명되는 바와 같이 구성될 수도 있다.
몇몇 실시형태에서, 하나 이상의 컴퓨터 서브시스템은 하나 이상의 저해상도 이미지에서 검출되는 결함을 검증하도록 구성되고, 검증은 고해상도 이미지를 사용하여 수행된다. 예를 들면, 본원에서 설명되는 광학 이미지 대 SEM 및/또는 설계 변환의 하나의 이점은, 반도체 제조 프로세스에서 광학 검사가 여전히 대량 생산 수율을 위한 핵심이다는 것이다. 해상도의 부족으로 인해, 광학 검사기에 의해 검출되는 결함은 결함 검증을 위한 SEM 리뷰를 필요로 한다. 광학 대 SEM 및/또는 설계의 자동 변환 방법은, 수율 관리를 위한 SEM 리뷰 요건을 잠재적으로 감소시킬 수 있고, 그에 의해 총 검사 싸이클 시간을 감소시킨다. 예를 들면, 본원에서 설명되는 실시형태는, 본원에서 설명되는 고해상도 이미지(들)가, 1) 시료 없이 그리고 이미징 하드웨어 없이 획득될 수 있고, 2) 결함 검증과 같은 결함 리뷰 애플리케이션에 대해 사용될 수 있기 때문에, 시료 검사 이후 결함 리뷰 시스템에 대한 SEM 이미지를 획득하기 위한 필요성을 제거할 수 있다.
다른 실시형태에서, 하나 이상의 컴퓨터 서브시스템은 하나 이상의 저해상도 이미지에서 검출되는 결함을 분류하도록 구성되고, 분류는 고해상도 이미지를 사용하여 수행된다. 예를 들면, 상기에서 설명되는 바와 같이, 본원에서 설명되는 광학 이미지 대 SEM 및/또는 설계 변환의 하나의 이점은, 반도체 제조 프로세스에서 광학 검사가 여전히 대량 생산 수율을 위한 핵심이다는 것이다. 해상도의 부족으로 인해, 광학 검사기에 의해 검출되는 결함은 결함 검증을 위한 SEM 리뷰를 필요로 한다. 광학 대 SEM 및/또는 설계의 자동 변환 방법은, 수율 관리를 위한 SEM 리뷰 요건을 잠재적으로 감소시킬 수 있고, 그에 의해 총 검사 싸이클 시간을 감소시킨다. 예를 들면, 본원에서 설명되는 실시형태는, 본원에서 설명되는 고해상도 이미지(들)가, 1) 시료 없이 그리고 이미징 하드웨어 없이 획득될 수 있고, 2) 결함 분류와 같은 결함 리뷰 애플리케이션에 대해 사용될 수 있기 때문에, 시료 검사 이후 결함 리뷰 시스템에 대한 SEM 이미지를 획득하기 위한 필요성을 제거할 수 있다.
또 다른 실시형태에서, 하나 이상의 컴퓨터 서브시스템은 하나 이상의 저해상도 이미지 및 고해상도 이미지의 조합에 기초하여 시료 상에서 결함을 검출하도록 구성된다. 예를 들면, 본원에서 설명되는 광학 대 SEM 및 광학 대 설계 변환의 하나의 이점은, 광학 검사기가 전체 다이에 걸쳐 방해물(nuisance)/결함 변별을 위해 고해상도 공간 콘텐츠를 사용하는 것을 이들 변환이 허용한다는 것이다. 대조적으로, 방해물/결함 변별을 위해 공간 정보를 사용하는 현재 사용되는 방법은 핫 스팟(hot spot) 사용 사례로 제한된다. 특히, 현재 사용되는 방법은, 광학 결함 신호가 배경 노이즈에 대해 충분한 돌극성(saliency)을 갖지 않는다는 사실로 인해 주로, 핫 스팟 사용 사례로 제한된다. 핫 스팟으로 간주되는 픽셀만을 검사하는 것은, 시스템이 공간 정보에 기초하여 웨이퍼 상의 픽셀의 대부분을 자동으로 필터링하는 것을 허용한다. 이렇게 하는 것은, 결함 돌극성을 향상시키고 궁극적으로 결함 검출을 향상시킨다. 이를 위해, 시스템은 검사를 위한 중요한 공간적 위치를 식별할 어떤 사람 또는 방법을 필요로 한다. 그 다음, 이들 위치는 "핫 스팟"으로 마킹된다(즉, 검사기의 공간적 위치를 식별하는 액션은 이들 위치를 "핫 스팟"으로 바꾼다).
컴퓨터 서브시스템(들)은 하나 이상의 저해상도 이미지 및 하나 이상의 고해상도 이미지의 조합을 사용하여 임의의 적절한 방식으로 시료 상에서 결함을 검출하도록 구성될 수도 있다. 예를 들면, 저해상도 이미지에서 검출되는 결함의 위치는 결함의 설계 컨텍스트를 결정하기 위해 고해상도 이미지에서 식별될 수도 있는데, 그것은, 그 다음, 결함이 방해물 결함(nuisance defect)인지 또는 실제 결함인지를 결정하기 위해 사용될 수 있다. 또한, 저해상도 이미지에서 검출되는 결함의 위치는, 고해상도 이미지에서 결함이 존재하는지(검출될 수 있는지)를 결정하기 위해 고해상도 이미지에서 결함의 위치를 식별하기 위해 사용될 수도 있다. 고해상도 이미지에서 결함이 검출될 수 있으면, 그것은 실제 결함으로 지정된다. 고해상도 이미지에서 결함이 검출될 수 없으면, 그것은 방해물 결함으로서 지정된다.
본원에서 설명되는 실시형태는 다수의 이점을 갖는다. 예를 들면, 본원에서 설명되는 실시형태는 광학 이미지, 전자 빔 이미지, 및 설계 사이의 삼각 관계를 확립한다. 대조적으로, 광학, 전자 빔, 및 설계 사이의 삼각 관계를 매핑하려고 시도하는 자동화된 시스템은 현재로서는 존재하지 않는다. 본원에서 설명되는 실시형태는 또한, 해상도 한계 내에서, 표현의 임의의 서브세트로부터 표현의 다른 서브세트로의 변환을 수행하도록 구성될 수도 있다. 예를 들면, 변환은 광학 대 전자 빔, 광학 대 설계, 및 전자 빔 대 설계를 포함할 수도 있다. 또한, 본원에서 설명되는 실시형태는 변환을 이미징 및 프로세스 파라미터의 함수로서 학습하도록 구성될 수도 있다. 예를 들면, 광학 이미지는 여러 가지 모델 대신 하나의 모델을 사용하여 상이한 프로세스 초점 및 노출 하에서 시뮬레이팅될 수도 있는데, 광학 이미지의 각각은 파라미터의 고정된 세트에 대한 것이다. 본원에서 설명되는 실시형태는 또한 명시적 모델을 필요로 하지 않는다. 예를 들면, 순방향 시뮬레이션 또는 규칙 기반의 접근법과는 달리, 제1 원리 물리학으로부터 이해되기 또는 잘 근사되기 극히 어려운 사전 정의된(물리적 또는 휴리스틱) 모델에 대한 어떠한 요건도 없다. 본원에서 설명되는 실시형태는 학습 기반 모델을 통해 암시적인 모델을 학습시키는 것에 의해 이러한 요건을 제거한다. 또한, 본원에서 설명되는 실시형태는, 컬러 노이즈에 대해 실질적으로 강건한 시뮬레이팅된 출력을 생성할 수 있다. 예를 들면, GAN 및 CGAN과 같은 딥 네트워크는 컬러 노이즈에 대해 강건하도록 트레이닝될 수 있다. 또한, 본원에서 설명되는 실시형태는 생산 런타임에서 실질적으로 빠른 속도를 제공한다(즉, 상대적으로 빠른 예측을 할 수 있다).
상기에서 설명되는 시스템 중 각각의 시스템의 실시형태의 각각은 하나의 단일의 실시형태로 함께 결합될 수도 있다.
다른 실시형태는 시료에 대한 시뮬레이팅된 출력을 생성하기 위한 컴퓨터 구현 방법에 관한 것이다. 그 방법은 하나 이상의 컴퓨터 시스템으로 시료에 대한 정보를 획득하는 것을 포함한다. 정보는 시료의 실제 광학 이미지, 시료의 실제 전자 빔 이미지, 및 시료에 대한 설계 데이터 중 적어도 하나를 포함한다. 그 방법은 또한 시료에 대한 정보를 학습 기반 모델에 입력하는 것을 포함한다. 학습 기반 모델은 하나 이상의 컴퓨터 시스템에 의해 실행되는 하나 이상의 컴포넌트에 포함된다. 학습 기반 모델은, 광학 이미지, 전자 빔 이미지, 및 설계 데이터 사이의 삼각 관계를 매핑하도록 구성되며, 학습 기반 모델은 입력에 삼각 관계를 적용하고 그에 의해 시료에 대한 시뮬레이팅된 출력을 생성한다.
방법의 단계의 각각은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 그 방법은 또한, 본원에서 설명되는 시스템, 컴퓨터 시스템(들), 광학 또는 전자 빔 툴, 컴포넌트(들), 모델(들), 등등에 의해 수행될 수 있는 임의의 다른 단계(들)를 포함할 수도 있다. 하나 이상의 컴퓨터 시스템, 하나 이상의 컴포넌트 및 모델은, 본원에서 설명되는 실시형태, 예를 들면, 컴퓨터 서브시스템(들)(102), 컴포넌트(들)(100), 및 모델(104) 중 임의의 것에 따라 구성될 수도 있다. 게다가, 상기에서 설명되는 방법은 본원에서 설명되는 시스템 실시형태 중 임의의 것에 의해 수행될 수도 있다.
추가적인 실시형태는, 시료에 대한 시뮬레이팅된 출력을 생성하기 위한 컴퓨터 구현 방법을 수행하기 위한 하나 이상의 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체에 관한 것이다. 하나의 이러한 실시형태는 도 3에서 도시된다. 특히, 도 3에서 도시되는 바와 같이, 비일시적 컴퓨터 판독 가능 매체(300)는 컴퓨터 시스템(들)(304) 상에서 실행 가능한 프로그램 명령어(302)를 포함한다. 컴퓨터 구현 방법은, 본원에서 설명되는 임의의 방법(들)의 임의의 단계(들)를 포함할 수도 있다.
본원에서 설명되는 것과 같은 방법을 구현하는 프로그램 명령어(302)는 컴퓨터 판독 가능 매체(300) 상에 저장될 수도 있다. 컴퓨터 판독 가능 매체는, 자기 또는 광학 디스크, 자기 테이프, 또는 기술 분야에서 공지되어 있는 임의의 다른 적절한 비일시적 컴퓨터 판독 가능 매체와 같은 저장 매체일 수도 있다.
프로그램 명령어는, 다른 것들 중에서도, 프로시져 기반의 기술, 컴포넌트 기반의 기술, 및/또는 객체 지향 기술을 비롯한 다양한 방식 중 임의의 것에서 구현될 수도 있다. 예를 들면, 프로그램 명령어는, 소망에 따라, 액티브X(ActiveX) 컨트롤, C++ 오브젝트, 자바빈(JavaBeans), 마이크로소프트 파운데이션 클래스(Microsoft Foundation Classes; "MFC"), SSE(Streaming SIMD Extension; 스트리밍 SIMD 확장) 또는 다른 기술 또는 방법론을 사용하여 구현될 수도 있다.
컴퓨터 시스템(들)(304)은, 본원에서 설명되는 실시형태 중 임의의 것에 따라 구성될 수도 있다.
본 설명의 검토에서, 기술 분야의 숙련된 자에게는, 본 발명의 다양한 양태의 또 다른 수정예 및 대안적 실시형태가 명백할 것이다. 예를 들면, 시료에 대한 시뮬레이팅된 출력을 생성하기 위한 방법 및 시스템이 제공된다. 따라서, 본 설명은, 단지 예증적인 것으로만 해석되어야 하며, 본 발명을 실행하는 일반적인 방식을 기술 분야의 숙련된 자에게 교시하는 목적을 위한 것이다. 본원에서 도시되고 설명되는 본 발명의 형태는 현 시점에서의 바람직한 실시형태로서 간주되어야 한다는 것이 이해되어야 한다. 엘리먼트 및 재료는 본원에서 예시되고 설명되는 것들을 대신할 수도 있고, 부품 및 프로세스는 반대로 될 수도 있고, 본 발명의 소정의 피쳐는 독립적으로 활용될 수도 있는데, 이들 모두는, 본 발명의 본 설명의 이익을 가진 이후, 기술 분야의 숙련된 자에게 명백해질 것이다. 하기의 청구범위에서 설명되는 바와 같은 본 발명의 취지와 범위를 벗어나지 않으면서, 본원에서 설명되는 엘리먼트에서 변경이 이루어질 수도 있다.

Claims (28)

  1. 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템으로서,
    시료에 대한 정보 - 상기 정보는 상기 시료에 대한 실제 광학 이미지, 상기 시료의 실제 전자 빔 이미지, 및 상기 시료에 대한 설계 데이터 중 적어도 하나를 포함함 - 를 획득하도록 구성되는 하나 이상의 컴퓨터 서브시스템; 및
    상기 하나 이상의 컴퓨터 서브시스템에 의해 실행되고 학습 기반 모델 - 상기 학습 기반 모델은 광학 이미지, 전자 빔 이미지, 및 설계 데이터 사이의 삼각 관계를 매핑하도록 구성되고, 상기 하나 이상의 컴퓨터 서브시스템은 상기 시료에 대한 상기 정보를 상기 학습 기반 모델에 입력하도록 구성되고, 상기 학습 기반 모델은 상기 삼각 관계를 상기 입력에 적용하여 상기 시료에 대한 시뮬레이팅된 출력을 생성함 - 을 포함하는 하나 이상의 컴포넌트
    를 포함하는, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  2. 제1항에 있어서,
    상기 학습 기반 모델에 입력되는 상기 정보는 상기 시료의 상기 실제 광학 이미지를 포함하고, 상기 시뮬레이팅된 출력은 전자 빔 툴에 의해 상기 시료에 대해 생성되는 실제 전자 빔 이미지를 나타내는 시뮬레이팅된 전자 빔 이미지를 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  3. 제1항에 있어서,
    상기 학습 기반 모델에 입력되는 상기 정보는 상기 시료의 상기 실제 광학 이미지를 포함하고, 상기 시뮬레이팅된 출력은 상기 시료에 대한 시뮬레이팅된 설계 데이터를 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  4. 제1항에 있어서,
    상기 학습 기반 모델에 입력되는 상기 정보는 상기 시료의 상기 실제 전자 빔 이미지를 포함하고, 상기 시뮬레이팅된 출력은 상기 시료에 대한 시뮬레이팅된 설계 데이터를 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  5. 제1항에 있어서,
    상기 시료에 대한 상기 정보는 광학 툴의 파라미터의 상이한 값에서 생성되는 상기 시료의 실제 광학 이미지를 더 포함하고, 상기 학습 기반 모델에 입력되는 상기 정보는 상기 시료의 상기 실제 광학 이미지를 포함하고, 상기 시뮬레이팅된 출력은 전자 빔 툴에 의해 상기 시료에 대해 생성되는 실제 전자 빔 이미지를 나타내는 상기 시료의 시뮬레이팅된 전자 빔 이미지를 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  6. 제1항에 있어서,
    상기 시료에 대한 상기 정보는 광학 툴의 파라미터의 상이한 값에서 생성되는 상기 시료의 실제 광학 이미지를 더 포함하고, 상기 학습 기반 모델에 입력되는 상기 정보는 상기 시료의 상기 실제 광학 이미지를 포함하고, 상기 학습 기반 모델에 대한 상기 입력은 상기 광학 툴의 상기 파라미터의 다른 상이한 값을 더 포함하고, 상기 시뮬레이팅된 출력은 상기 광학 툴의 상기 파라미터의 상기 다른 상이한 값에서 생성되는 광학 이미지를 나타내는 상기 시료의 시뮬레이팅된 광학 이미지를 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  7. 제1항에 있어서,
    상기 학습 기반 모델에 입력되는 상기 정보는 상기 시료의 상기 실제 광학 이미지 및 상기 시료에 대한 상기 설계 데이터를 포함하고, 상기 시뮬레이팅된 출력은 전자 빔 툴에 의해 상기 시료에 대해 생성되는 실제 전자 빔 이미지를 나타내는 상기 시료에 대한 시뮬레이팅된 전자 빔 이미지를 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  8. 제1항에 있어서,
    상기 시료에 대한 상기 정보는 상기 시료에 대해 수행되는 프로세스의 파라미터의 상이한 값에 대응하는 상기 시료의 실제 광학 이미지를 더 포함하고, 상기 학습 기반 모델에 입력되는 상기 정보는 상기 시료의 상기 실제 광학 이미지를 포함하고, 상기 학습 기반 모델에 대한 상기 입력은 상기 프로세스의 상기 파라미터의 다른 상이한 값을 더 포함하고, 상기 시뮬레이팅된 출력은 상기 프로세스의 상기 파라미터의 상기 다른 상이한 값에 대응하는 상기 시료의 시뮬레이팅된 광학 이미지를 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  9. 제1항에 있어서,
    상기 시료에 대한 상기 정보는 광학 툴의 파라미터의 상이한 값에서 생성되는 상기 시료의 실제 광학 이미지를 더 포함하고, 상기 학습 기반 모델에 입력되는 상기 정보는 상기 시료의 상기 실제 광학 이미지 및 상기 시료에 대한 상기 설계 데이터를 포함하고, 상기 시뮬레이팅된 출력은 상기 시료 상에서 검출되는 결함에 대한 결함 분류를 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  10. 제1항에 있어서,
    상기 학습 기반 모델에 입력되는 상기 정보는 런타임 입력을 포함하고, 상기 런타임 입력에 대한 상기 정보는 상기 학습 기반 모델을 트레이닝시키기 위해 사용되는 상기 학습 기반 모델에 대한 입력에 포함되지 않은 패턴에 대한 정보를 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  11. 제1항에 있어서,
    상기 학습 기반 모델은 픽셀 값 보간(pixel-value interpolation)을 수행하는 것에 의해 상기 시료에 대한 상기 시뮬레이팅된 출력을 생성하도록 구성되지 않는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  12. 제1항에 있어서,
    상기 학습 기반 모델은 픽셀 값 외삽(pixel-value extrapolation)을 수행하는 것에 의해 상기 시료에 대한 상기 시뮬레이팅된 출력을 생성하도록 구성되지 않는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  13. 제1항에 있어서,
    상기 학습 기반 모델은 또한 상기 시료 상의 패턴화된 피쳐와 상기 광학 이미지, 상기 전자 빔 이미지, 및 상기 설계 데이터 중 하나 이상 사이의 관계를 매핑하도록 구성되는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  14. 제1항에 있어서,
    상기 시료에 대한 상기 정보는 상기 시료의 두 개 이상의 실제 광학 이미지를 더 포함하고, 상기 두 개 이상의 실제 광학 이미지는, 광학 툴의 파라미터의 상이한 값에 대응하는 두 개 이상의 실제 광학 이미지, 상기 시료에 대해 프로세스가 수행되기 이전 및 이후에 생성되는 상기 시료 상의 층의 두 개 이상의 실제 광학 이미지, 상기 시료 상의 상이한 물리적 층의 두 개 이상의 실제 광학 이미지, 상이한 광학 툴에 의해 생성되는 상기 시료의 두 개 이상의 실제 광학 이미지, 상기 시료에 대해 수행되는 프로세스의 파라미터의 상이한 값에 대응하는 상기 시료의 두 개 이상의 실제 광학 이미지, 또는 이들의 조합을 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  15. 제1항에 있어서,
    상기 시료에 대한 상기 정보는 상기 시료의 두 개 이상의 실제 전자 빔 이미지를 더 포함하고, 상기 두 개 이상의 실제 전자 빔 이미지는, 전자 빔 툴의 파라미터의 상이한 값에 대응하는 두 개 이상의 실제 전자 빔 이미지, 상기 시료에 대해 프로세스가 수행되기 이전 및 이후에 생성되는 상기 시료 상의 층의 두 개 이상의 실제 전자 빔 이미지, 상기 시료 상의 상이한 물리적 층의 두 개 이상의 실제 전자 빔 이미지, 상이한 전자 빔 툴에 의해 생성되는 상기 시료의 두 개 이상의 실제 전자 빔 이미지, 상기 시료에 대해 수행되는 프로세스의 파라미터의 상이한 값에 대응하는 상기 시료의 두 개 이상의 실제 전자 빔 이미지, 또는 이들의 조합을 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  16. 제1항에 있어서,
    상기 시료에 대한 상기 설계 데이터는, 동일한 층 내의 상이한 선택된 패턴, 상이한 층, 상이한 재료, 또는 이들의 조합에 의해 적층되는 상기 설계 데이터를 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  17. 제1항에 있어서,
    상기 시료에 대한 상기 정보는 상기 시료 상에 형성되는 패턴화된 피쳐에 대한 정보를 더 포함하고, 상기 패턴화된 피쳐에 대한 상기 정보는 실험적으로 또는 이론적으로 생성되는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  18. 제1항에 있어서,
    상기 학습 기반 모델은 변별 모델(discriminative model)을 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  19. 제18항에 있어서,
    상기 변별 모델은, 지원 벡터 머신(support vector machine), 지원 벡터 회귀(support vector regression), 컨볼루션 신경망(convolutional neural network), 또는 순환 신경망(recurrent neural network)을 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  20. 제1항에 있어서,
    상기 학습 기반 모델은 파라메트릭(parametric) 또는 비 파라메트릭(non-parametric) 베이지안 접근법(Bayesian approach)을 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  21. 제20항에 있어서,
    가우시안 혼합 모델(Gaussian Mixture Model), 디리클레 혼합 모델(Dirichlet Mixture Model), 가우시안 프로세스(Gaussian process) 또는 딥 가우시안 프로세스(deep Gaussian process)에 의해 파라메트릭 또는 비 파라메트릭 베이지안 접근법에 대해 우도 확률(likelihood probability)이 모델링되는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  22. 제20항에 있어서,
    변분 추론(variational inference) 또는 마르코프 체인 몬테 카를로(Markov Chain Monte Carlo)에 의해 파라메트릭 또는 비 파라메트릭 베이지안 접근법에 대해 사전(prior) 및 사후(posterior)가 모델링되는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  23. 제1항에 있어서,
    상기 학습 기반 모델은 생성 모델(generative model)을 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  24. 제23항에 있어서,
    상기 생성 모델은, 오토인코더 변형(autoencoder variant), 생성적 적대 신경망(generative adversarial network), 조건부 생성적 적대 신경망(conditional generative adversarial network), 또는 딥 생성 모델(deep generative model)을 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  25. 제1항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 조건부 생성적 적대 신경망을 사용하여 상기 학습 기반 모델을 트레이닝시키도록 구성되는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  26. 제25항에 있어서,
    상기 트레이닝은 상기 조건부 생성적 적대 신경망의 생성 부분 이전에 노이즈를 입력하는 것을 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하도록 구성되는 시스템.
  27. 시료에 대한 시뮬레이팅된 출력을 생성하기 위한 컴퓨터 구현 방법을 수행하기 위한 하나 이상의 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체로서,
    상기 컴퓨터 구현 방법은,
    상기 하나 이상의 컴퓨터 시스템으로 시료에 대한 정보 - 상기 정보는 상기 시료의 실제 광학 이미지, 상기 시료의 실제 전자 빔 이미지, 및 상기 시료에 대한 설계 데이터 중 적어도 하나를 포함함 - 를 획득하는 단계; 및
    상기 시료에 대한 상기 정보를 학습 기반 모델 - 상기 학습 기반 모델은 상기 하나 이상의 컴퓨터 시스템에 의해 실행되는 하나 이상의 컴포넌트에 포함되고, 상기 학습 기반 모델은 광학 이미지, 전자 빔 이미지, 및 설계 데이터 사이의 삼각 관계를 매핑하도록 구성되고, 상기 학습 기반 모델은 상기 삼각 관계를 상기 정보에 적용하여 상기 시료에 대한 시뮬레이팅된 출력을 생성함 - 에 입력하는 단계
    를 포함하는 것인, 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체.
  28. 시료에 대한 시뮬레이팅된 출력을 생성하기 위한 컴퓨터 구현 방법으로서,
    하나 이상의 컴퓨터 시스템으로 시료에 대한 정보 - 상기 정보는 상기 시료의 실제 광학 이미지, 상기 시료의 실제 전자 빔 이미지, 및 상기 시료에 대한 설계 데이터 중 적어도 하나를 포함함 - 를 획득하는 단계; 및
    상기 시료에 대한 상기 정보를 학습 기반 모델 - 상기 학습 기반 모델은 상기 하나 이상의 컴퓨터 시스템에 의해 실행되는 하나 이상의 컴포넌트에 포함되고, 상기 학습 기반 모델은 광학 이미지, 전자 빔 이미지, 및 설계 데이터 사이의 삼각 관계를 매핑하도록 구성되고, 상기 학습 기반 모델은 상기 삼각 관계를 상기 정보에 적용하여 상기 시료에 대한 시뮬레이팅된 출력을 생성함 - 에 입력하는 단계
    를 포함하는 것인, 시료에 대한 시뮬레이팅된 출력을 생성하기 위한 컴퓨터 구현 방법.
KR1020187022252A 2016-01-11 2017-01-10 시료에 대한 시뮬레이팅된 출력 생성 KR102408319B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662277321P 2016-01-11 2016-01-11
US62/277,321 2016-01-11
US15/402,094 US10043261B2 (en) 2016-01-11 2017-01-09 Generating simulated output for a specimen
US15/402,094 2017-01-09
PCT/US2017/012879 WO2017123555A1 (en) 2016-01-11 2017-01-10 Generating simulated output for a specimen

Publications (2)

Publication Number Publication Date
KR20180095708A KR20180095708A (ko) 2018-08-27
KR102408319B1 true KR102408319B1 (ko) 2022-06-10

Family

ID=59275867

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187022252A KR102408319B1 (ko) 2016-01-11 2017-01-10 시료에 대한 시뮬레이팅된 출력 생성

Country Status (6)

Country Link
US (1) US10043261B2 (ko)
KR (1) KR102408319B1 (ko)
CN (1) CN108463876B (ko)
IL (1) IL260101B (ko)
TW (1) TWI713672B (ko)
WO (1) WO2017123555A1 (ko)

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9916965B2 (en) 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US10648924B2 (en) * 2016-01-04 2020-05-12 Kla-Tencor Corp. Generating high resolution images from low resolution images for semiconductor applications
US10706327B2 (en) * 2016-08-03 2020-07-07 Canon Kabushiki Kaisha Information processing apparatus, information processing method, and storage medium
US10504004B2 (en) * 2016-09-16 2019-12-10 General Dynamics Mission Systems, Inc. Systems and methods for deep model translation generation
US11580398B2 (en) 2016-10-14 2023-02-14 KLA-Tenor Corp. Diagnostic systems and methods for deep learning models configured for semiconductor applications
US10267748B2 (en) 2016-10-17 2019-04-23 Kla-Tencor Corp. Optimizing training sets used for setting up inspection-related algorithms
JP6353008B2 (ja) * 2016-11-02 2018-07-04 ファナック株式会社 検査条件決定装置、検査条件決定方法及び検査条件決定プログラム
US10395358B2 (en) 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
US10565702B2 (en) * 2017-01-30 2020-02-18 Dongfang Jingyuan Electron Limited Dynamic updates for the inspection of integrated circuits
WO2018149530A1 (en) * 2017-02-17 2018-08-23 NEC Laboratories Europe GmbH Method for operating a network
JP6922284B2 (ja) * 2017-03-15 2021-08-18 富士フイルムビジネスイノベーション株式会社 情報処理装置及びプログラム
EP3607492A4 (en) * 2017-04-07 2021-01-06 INTEL Corporation ADVANCED AND AUGMENTED TRAINING PROCESSES AND SYSTEMS OF DEEP NEURAL NETWORKS USING SYNTHETIC DATA AND INNOVATIVE GENERATIVE NETWORKS
US10395362B2 (en) 2017-04-07 2019-08-27 Kla-Tencor Corp. Contour based defect detection
CN110352432A (zh) * 2017-04-07 2019-10-18 英特尔公司 使用用于深度神经网络的改进的训练和学习的方法和系统
US10346974B2 (en) * 2017-05-18 2019-07-09 Toshiba Medical Systems Corporation Apparatus and method for medical image processing
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US11035227B2 (en) 2017-07-14 2021-06-15 The Board Of Regents Of The University Of Oklahoma Generating spectral responses of materials
US10551827B2 (en) * 2017-07-25 2020-02-04 Kla-Tencor Corporation Hybrid inspection system for efficient process window discovery
WO2019028210A1 (en) * 2017-08-02 2019-02-07 Facet Labs, Llc INTELLIGENT DISTRIBUTED SYSTEMS FOR NETWORK DATA MANAGEMENT
US10782939B2 (en) * 2017-08-07 2020-09-22 Microsoft Technology Licensing, Llc Program predictor
US11079738B2 (en) 2017-08-16 2021-08-03 General Electric Company Framework for rapid additive design with generative techniques
JP7014805B2 (ja) * 2017-08-29 2022-02-01 ギガフォトン株式会社 データ解析装置、半導体製造システム、データ解析方法、及び半導体製造方法
US10699926B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corp. Identifying nuisances and defects of interest in defects detected on a wafer
KR102132785B1 (ko) * 2017-08-31 2020-07-13 가부시끼가이샤 히다치 세이사꾸쇼 계산기, 처리의 제어 파라미터의 결정 방법, 대용 시료, 계측 시스템, 및 계측 방법
US10713534B2 (en) 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
US10607119B2 (en) 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification
US11615879B2 (en) 2017-09-08 2023-03-28 The General Hospital Corporation System and method for automated labeling and annotating unstructured medical datasets
US11093848B2 (en) * 2017-09-27 2021-08-17 Tibco Software Inc. Predictive engine for generating multivariate predictive responses
US11321831B2 (en) 2017-09-29 2022-05-03 The Brigham And Women's Hospital, Inc. Automated evaluation of human embryos
US10970363B2 (en) * 2017-10-17 2021-04-06 Microsoft Technology Licensing, Llc Machine-learning optimization of data reading and writing
US11475787B2 (en) * 2017-10-20 2022-10-18 Utah Valley University Nanotechnology fabrication in a virtual reality environment
JP7023669B2 (ja) * 2017-10-26 2022-02-22 株式会社Preferred Networks 画像生成方法、画像生成装置、及び画像生成プログラム
US11380594B2 (en) 2017-11-15 2022-07-05 Kla-Tencor Corporation Automatic optimization of measurement accuracy through advanced machine learning techniques
US11137761B2 (en) 2017-11-20 2021-10-05 At&T Intellectual Property I, L.P. Object modeling with adversarial learning
US11022966B1 (en) * 2017-12-15 2021-06-01 Synopsys, Inc. Method of modeling e-beam photomask manufacturing process using image-based artificial neural networks
US10656518B2 (en) * 2017-12-17 2020-05-19 United Microelectronics Corp. Automatic inline detection and wafer disposition system and method for automatic inline detection and wafer disposition
US10580673B2 (en) * 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
CN108171769A (zh) * 2018-01-15 2018-06-15 成都睿码科技有限责任公司 一种基于dna的序列的人脸模型生成方法及人脸生成方法
JP7002949B2 (ja) * 2018-01-22 2022-01-20 株式会社日立ハイテク 画像評価方法及び画像評価装置
KR102549196B1 (ko) * 2018-02-07 2023-06-30 어플라이드 머티리얼즈 이스라엘 리미티드 반도체 시편의 심층 학습 기반 검사 방법 및 그의 시스템
US11199506B2 (en) * 2018-02-21 2021-12-14 Applied Materials Israel Ltd. Generating a training set usable for examination of a semiconductor specimen
US11029359B2 (en) * 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
US10552714B2 (en) * 2018-03-16 2020-02-04 Ebay Inc. Generating a digital image using a generative adversarial network
US11170255B2 (en) 2018-03-21 2021-11-09 Kla-Tencor Corp. Training a machine learning model with synthetic images
US10670536B2 (en) 2018-03-28 2020-06-02 Kla-Tencor Corp. Mode selection for inspection
US10599951B2 (en) 2018-03-28 2020-03-24 Kla-Tencor Corp. Training a neural network for defect detection in low resolution images
CN110416111B (zh) * 2018-04-28 2022-05-27 北京北方华创微电子装备有限公司 实现多个腔室匹配的方法和实现多个腔室匹配的装置
US10620134B2 (en) 2018-05-11 2020-04-14 Kla-Tencor Corp. Creating defect samples for array regions
DE102018207880A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
DE102018207882A1 (de) * 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zur Analyse eines Elements eines Photolithographieprozesses mit Hilfe eines Transformationsmodells
JP6962863B2 (ja) * 2018-05-25 2021-11-05 日本電子株式会社 荷電粒子線装置
JP7017985B2 (ja) * 2018-06-05 2022-02-09 株式会社日立製作所 システム及び処理条件の決定方法
US10713769B2 (en) 2018-06-05 2020-07-14 Kla-Tencor Corp. Active learning for defect classifier training
US10621301B2 (en) * 2018-06-06 2020-04-14 International Business Machines Corporation Coordinates-based variational autoencoder for generating synthetic via layout patterns
JP7186521B2 (ja) * 2018-06-12 2022-12-09 シチズンファインデバイス株式会社 外観検査装置の教師画像生成装置
DE102018209562B3 (de) 2018-06-14 2019-12-12 Carl Zeiss Smt Gmbh Vorrichtungen und Verfahren zur Untersuchung und/oder Bearbeitung eines Elements für die Photolithographie
CN112313718A (zh) * 2018-06-28 2021-02-02 3M创新有限公司 材料样品的基于图像的新颖性检测
CN109061131A (zh) * 2018-06-29 2018-12-21 志诺维思(北京)基因科技有限公司 染色图片处理方法及装置
DE102018211099B4 (de) 2018-07-05 2020-06-18 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten eines statistisch verteilten Messwertes beim Untersuchen eines Elements eines Photolithographieprozesses
WO2020043525A1 (en) * 2018-08-28 2020-03-05 Asml Netherlands B.V. Systems and methods of optimal metrology guidance
EP3843627A4 (en) * 2018-08-31 2022-05-25 QT Imaging, Inc. MACHINE LEARNING APPLICATION TO ITERATIVE AND MULTIMODALITY IMAGE RECONSTRUCTION
CN109345469B (zh) * 2018-09-07 2021-10-22 苏州大学 一种基于条件生成对抗网络的oct成像中散斑去噪方法
KR102089014B1 (ko) * 2018-09-07 2020-03-13 연세대학교 산학협력단 피검사체의 뇌 활동을 재구성한 이미지 생성 장치 및 그 방법
US11087177B2 (en) * 2018-09-27 2021-08-10 Salesforce.Com, Inc. Prediction-correction approach to zero shot learning
US10657214B2 (en) * 2018-10-09 2020-05-19 Applied Materials, Inc. Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
US10705514B2 (en) * 2018-10-09 2020-07-07 Applied Materials, Inc. Adaptive chamber matching in advanced semiconductor process control
US10930531B2 (en) * 2018-10-09 2021-02-23 Applied Materials, Inc. Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
EP3637186A1 (en) * 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
KR102585137B1 (ko) * 2018-10-17 2023-10-06 에이에스엠엘 네델란즈 비.브이. 특성 패턴을 생성하고 기계 학습 모델을 트레이닝하는 방법들
US11468553B2 (en) * 2018-11-02 2022-10-11 Kla Corporation System and method for determining type and size of defects on blank reticles
WO2020094325A1 (en) * 2018-11-07 2020-05-14 Asml Netherlands B.V. Determining a correction to a process
EP3650939A1 (en) * 2018-11-07 2020-05-13 ASML Netherlands B.V. Predicting a value of a semiconductor manufacturing process parameter
US10796426B2 (en) * 2018-11-15 2020-10-06 The Gillette Company Llc Optimizing a computer vision inspection station
CN109522454B (zh) * 2018-11-20 2022-06-03 四川长虹电器股份有限公司 自动生成web样本数据的方法
TWI697846B (zh) * 2018-11-26 2020-07-01 財團法人工業技術研究院 物體辨識方法及其裝置
US10825148B2 (en) * 2018-11-29 2020-11-03 Adobe Inc. Boundary-aware object removal and content fill
CN109816509A (zh) * 2018-12-14 2019-05-28 平安科技(深圳)有限公司 评分卡模型的生成方法、终端设备及介质
KR20200075344A (ko) 2018-12-18 2020-06-26 삼성전자주식회사 검출기, 객체 검출 방법, 학습기 및 도메인 변환을 위한 학습 방법
US10923318B2 (en) * 2018-12-20 2021-02-16 Fei Company Optical alignment correction using convolutional neural network evaluation of a beam image
CN109782459B (zh) * 2018-12-24 2020-11-24 惠科股份有限公司 偏光片贴附检测方法、装置和显示装置
WO2020141072A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Fully automated sem sampling system for e-beam image enhancement
CN109902709B (zh) * 2019-01-07 2020-12-08 浙江大学 一种基于对抗学习的工业控制系统恶意样本生成方法
US20200243165A1 (en) * 2019-01-28 2020-07-30 International Business Machines Corporation Prediction model for determining whether feature vector of data in each of multiple input sequences should be added to that of the other data in the sequence
CN110020593B (zh) * 2019-02-03 2021-04-13 清华大学 信息处理方法及装置、介质及计算设备
US10922808B2 (en) 2019-02-14 2021-02-16 KLA—Tencor Corp. File selection for test image to design alignment
JP7108562B2 (ja) * 2019-02-22 2022-07-28 株式会社日立製作所 処理の制御パラメータの決定方法、及び計測システム
US11922301B2 (en) 2019-04-05 2024-03-05 Samsung Display Co., Ltd. System and method for data augmentation for trace dataset
US11551348B2 (en) 2019-04-09 2023-01-10 KLA Corp. Learnable defect detection for semiconductor applications
US20220206434A1 (en) * 2019-04-22 2022-06-30 The Regents Of The University Of California System and method for deep learning-based color holographic microscopy
CN110163796B (zh) * 2019-05-29 2023-03-24 北方民族大学 一种无监督多模态对抗自编码的图像生成方法及框架
TW202115390A (zh) 2019-06-06 2021-04-16 日商東京威力科創股份有限公司 基板檢查裝置、基板檢查系統及基板檢查方法
US11880193B2 (en) * 2019-07-26 2024-01-23 Kla Corporation System and method for rendering SEM images and predicting defect imaging conditions of substrates using 3D design
US11586982B2 (en) 2019-09-18 2023-02-21 Samsung Electronics Co., Ltd. Electronic and atomic structure computation utilizing machine learning
US11580650B2 (en) 2019-10-01 2023-02-14 KLA Corp. Multi-imaging mode image alignment
US11537898B2 (en) 2019-10-02 2022-12-27 Samsung Electronics Co., Ltd. Generative structure-property inverse computational co-design of materials
US11087449B2 (en) 2019-10-24 2021-08-10 KLA Corp. Deep learning networks for nuisance filtering
WO2021084587A1 (ja) * 2019-10-28 2021-05-06 株式会社安川電機 機械学習データ生成装置、機械学習装置、作業システム、コンピュータプログラム、機械学習データ生成方法及び作業機械の製造方法
CN110840482B (zh) * 2019-10-28 2022-12-30 苏州佳世达电通有限公司 超音波成像系统及其方法
CN111291639B (zh) * 2020-01-20 2023-05-16 西北工业大学 基于分层变分自编码的跨源舰船特征融合学习与识别方法
KR20210094314A (ko) 2020-01-21 2021-07-29 삼성전자주식회사 반도체 장치의 제조 방법
US11961219B2 (en) * 2020-02-27 2024-04-16 KLA Corp. Generative adversarial networks (GANs) for simulating specimen images
CN111462087B (zh) * 2020-04-01 2022-07-26 腾讯医疗健康(深圳)有限公司 基于人工智能的图像检测方法、装置、系统及存储介质
KR20210134129A (ko) * 2020-04-29 2021-11-09 삼성전자주식회사 웨이퍼 검사 장치 및 방법
US11415526B2 (en) 2020-05-06 2022-08-16 Kla Corporation Multi-controller inspection system
TWI758762B (zh) * 2020-06-24 2022-03-21 萬里雲互聯網路有限公司 兼顧不平衡資料集與高召回率要求的對抗樣本生成方法、系統及電腦可讀取記錄媒體
US11776108B2 (en) 2020-08-05 2023-10-03 KLA Corp. Deep learning based defect detection
US11748872B2 (en) * 2020-08-31 2023-09-05 KLA Corp. Setting up inspection of a specimen
WO2022058870A1 (en) * 2020-09-17 2022-03-24 Center For Deep Learning In Electronics Manufacturing, Inc. Methods and systems for registering images for electronic designs
US20220114717A1 (en) * 2020-10-09 2022-04-14 Nec Laboratories America, Inc. Distortion-based filtering for image classification
EP4050328A1 (en) * 2021-02-25 2022-08-31 ASML Netherlands B.V. Method to predict metrology offset of a semiconductor manufacturing process
TWI817680B (zh) * 2021-12-21 2023-10-01 鴻海精密工業股份有限公司 影像擴增方法以及裝置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110276935A1 (en) 2008-06-11 2011-11-10 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
US20110310388A1 (en) 2010-06-17 2011-12-22 Kla-Tencor Corporation Discrete polarization scatterometry
US20150324965A1 (en) 2014-05-12 2015-11-12 Kla-Tencor Corporation Using High Resolution Full Die Image Data for Inspection

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US7418124B2 (en) 2002-07-15 2008-08-26 Kla-Tencor Technologies Corp. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US20040267397A1 (en) * 2003-06-27 2004-12-30 Srinivas Doddi Optical metrology of structures formed on semiconductor wafer using machine learning systems
US7729529B2 (en) 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) * 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US8073240B2 (en) * 2007-05-07 2011-12-06 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for identifying one or more optical modes of an inspection system as candidates for use in inspection of a layer of a wafer
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US8126255B2 (en) * 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
US8041106B2 (en) 2008-12-05 2011-10-18 Kla-Tencor Corp. Methods and systems for detecting defects on a reticle
US8775101B2 (en) * 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8648906B2 (en) * 2010-10-13 2014-02-11 Mitutoyo Corporation Precision solder resist registration inspection method
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
JP5880454B2 (ja) 2013-01-11 2016-03-09 富士ゼロックス株式会社 画像識別装置及びプログラム
KR102019534B1 (ko) * 2013-02-01 2019-09-09 케이엘에이 코포레이션 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
US9222895B2 (en) * 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9171364B2 (en) * 2013-06-21 2015-10-27 Kla-Tencor Corp. Wafer inspection using free-form care areas
US9224660B2 (en) * 2013-08-30 2015-12-29 Kla-Tencor Corp. Tuning wafer inspection recipes using precise defect locations
US9293298B2 (en) 2013-12-23 2016-03-22 Kla-Tencor Corp. Defect discovery and inspection sensitivity optimization using automated classification of corresponding electron beam images
US20150204799A1 (en) * 2014-01-21 2015-07-23 International Business Machines Corporation Computer-based defect root cause and yield impact determination in layered device manufacturing for products and services
US10267746B2 (en) 2014-10-22 2019-04-23 Kla-Tencor Corp. Automated pattern fidelity measurement plan generation
US10186026B2 (en) 2015-11-17 2019-01-22 Kla-Tencor Corp. Single image detection
US9965901B2 (en) 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
US9916965B2 (en) * 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US10648924B2 (en) 2016-01-04 2020-05-12 Kla-Tencor Corp. Generating high resolution images from low resolution images for semiconductor applications

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110276935A1 (en) 2008-06-11 2011-11-10 Kla-Tencor Corporation Systems and methods for detecting design and process defects on a wafer, reviewing defects on a wafer, selecting one or more features within a design for use as process monitoring features, or some combination thereof
US20110310388A1 (en) 2010-06-17 2011-12-22 Kla-Tencor Corporation Discrete polarization scatterometry
US20150324965A1 (en) 2014-05-12 2015-11-12 Kla-Tencor Corporation Using High Resolution Full Die Image Data for Inspection

Also Published As

Publication number Publication date
CN108463876B (zh) 2020-10-09
IL260101B (en) 2020-06-30
WO2017123555A1 (en) 2017-07-20
TWI713672B (zh) 2020-12-21
CN108463876A (zh) 2018-08-28
KR20180095708A (ko) 2018-08-27
IL260101A (en) 2018-07-31
US10043261B2 (en) 2018-08-07
US20170200265A1 (en) 2017-07-13
TW201734955A (zh) 2017-10-01

Similar Documents

Publication Publication Date Title
KR102408319B1 (ko) 시료에 대한 시뮬레이팅된 출력 생성
US10346740B2 (en) Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US10599951B2 (en) Training a neural network for defect detection in low resolution images
KR102352697B1 (ko) 컨투어 기반 결함 검출
US10733744B2 (en) Learning based approach for aligning images acquired with different modalities
US10395356B2 (en) Generating simulated images from input images for semiconductor applications
KR102637409B1 (ko) 반도체 적용들을 위한 저해상도 이미지들로부터 고해상도 이미지들의 생성
US11580398B2 (en) Diagnostic systems and methods for deep learning models configured for semiconductor applications
CN108475351B (zh) 用于训练基于机器学习的模型的系统和计算机实施方法
KR102445522B1 (ko) 설계 정보로부터의 시뮬레이션된 이미지의 생성

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant