KR102445522B1 - 설계 정보로부터의 시뮬레이션된 이미지의 생성 - Google Patents

설계 정보로부터의 시뮬레이션된 이미지의 생성 Download PDF

Info

Publication number
KR102445522B1
KR102445522B1 KR1020187017221A KR20187017221A KR102445522B1 KR 102445522 B1 KR102445522 B1 KR 102445522B1 KR 1020187017221 A KR1020187017221 A KR 1020187017221A KR 20187017221 A KR20187017221 A KR 20187017221A KR 102445522 B1 KR102445522 B1 KR 102445522B1
Authority
KR
South Korea
Prior art keywords
design information
layers
images
specimen
simulated
Prior art date
Application number
KR1020187017221A
Other languages
English (en)
Other versions
KR20180073704A (ko
Inventor
징 장
크리스 바스카르
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20180073704A publication Critical patent/KR20180073704A/ko
Application granted granted Critical
Publication of KR102445522B1 publication Critical patent/KR102445522B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/214Generating training patterns; Bootstrap methods, e.g. bagging or boosting
    • G06F18/2148Generating training patterns; Bootstrap methods, e.g. bagging or boosting characterised by the process organisation or structure, e.g. boosting cascade
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/047Probabilistic or stochastic networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/088Non-supervised learning, e.g. competitive learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T19/00Manipulating 3D models or images for computer graphics
    • G06T19/20Editing of 3D images, e.g. changing shapes or colours, aligning objects or positioning parts
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T9/00Image coding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/40Extraction of image or video features
    • G06V10/44Local feature extraction by analysis of parts of the pattern, e.g. by detecting edges, contours, loops, corners, strokes or intersections; Connectivity analysis, e.g. of connected components
    • G06V10/443Local feature extraction by analysis of parts of the pattern, e.g. by detecting edges, contours, loops, corners, strokes or intersections; Connectivity analysis, e.g. of connected components by matching or filtering
    • G06V10/449Biologically inspired filters, e.g. difference of Gaussians [DoG] or Gabor filters
    • G06V10/451Biologically inspired filters, e.g. difference of Gaussians [DoG] or Gabor filters with interaction between the filter responses, e.g. cortical complex cells
    • G06V10/454Integrating the filters into a hierarchical structure, e.g. convolutional neural networks [CNN]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/044Recurrent networks, e.g. Hopfield networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2200/00Indexing scheme for image data processing or generation, in general
    • G06T2200/08Indexing scheme for image data processing or generation, in general involving all processing steps from image acquisition to 3D model generation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • G06T2207/10061Microscopic image from scanning electron microscope
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Data Mining & Analysis (AREA)
  • Molecular Biology (AREA)
  • General Health & Medical Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Biomedical Technology (AREA)
  • Software Systems (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Mathematical Physics (AREA)
  • Computing Systems (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Computer Hardware Design (AREA)
  • Multimedia (AREA)
  • Probability & Statistics with Applications (AREA)
  • Biodiversity & Conservation Biology (AREA)
  • Quality & Reliability (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Computer Graphics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Evolutionary Biology (AREA)
  • Geometry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Image Processing (AREA)
  • Investigating Or Analysing Biological Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

설계 정보로부터 시뮬레이션된 이미지를 생성하기 위한 방법 및 시스템이 제공된다. 하나의 시스템은 하나 이상의 컴퓨터 서브시스템 및 컴퓨터 서브시스템(들)에 의해 실행되는 하나 이상의 컴포넌트를 포함하며, 상기 컴포넌트는 생성 모델을 포함한다. 생성 모델은 표본에 대한 설계 정보의 특징(feature)들을 결정하도록 구성된 2개 이상의 인코더 레이어(encoder layer)를 포함한다. 생성 모델은 또한 결정된 특징들로부터 하나 이상의 시뮬레이션된 이미지를 생성하도록 구성된 2개 이상의 디코더 레이어(decoder layer)를 포함한다. 시뮬레이션된 이미지(들)은 표본 상에 형성된 설계 정보가 이미징(imaging) 시스템에 의해 생성된 표본의 하나 이상의 실제 이미지에서 어떻게 나타나는지를 보여준다.

Description

설계 정보로부터의 시뮬레이션된 이미지의 생성
본 발명은 일반적으로 설계 정보로부터 시뮬레이션된 이미지를 생성하는 방법 및 시스템에 관한 것이다.
아래의 설명 및 예시들은 본 섹션 내에 포함된다고 해서 종래기술인 것으로 인정되는 것은 아니다.
로직 및 메모리 디바이스들과 같은 반도체 디바이스들을 제조하는 것은 일반적으로 방대한 수의 반도체 제조 공정들을 이용하여 반도체 웨이퍼와 같은 기판을 처리하여 반도체 디바이스들의 다양한 피처들 및 다중 레벨들을 형성하는 것을 포함한다. 예를 들어, 리소그래피는 레티클로부터의 패턴을 반도체 웨이퍼 상에 배열된 레지스트에 전사시키는 것을 수반하는 반도체 제조 공정이다. 반도체 제조 프로세스들의 추가적인 예시들은, 비제한적인 예시로서, 화학적 기계적 폴리싱(chemical-mechanical polishing; CMP), 에칭, 퇴적, 및 이온 주입을 포함한다. 다중 반도체 디바이스들이 단일 반도체 웨이퍼 상에서 배열을 갖고 제조되고, 그 후 개별적인 반도체 디바이스들로 분리될 수 있다.
설계 규칙이 축소됨에 따라, 레티클 및 웨이퍼와 같은 표본 상에 형성된 설계물은, 최적으로 수행되는 공정을 사용하여 형성되는 경우에도, 실제 설계와 크게 달라 보일 수 있다. 예를 들어, 물리적인 표본 상에 설계물을 형성하는 것과 관련된 물리적인 공정들의 내재적인 한계로 인해, 물리적인 표본 상에 형성된 설계물 내의 피처들은 일반적으로 (예컨대, 모서리 라운딩 및 기타 근접 효과로 인해) 상이한 형상과 같은, 설계와는 다소 상이한 특성을 가지며, 설계물의 가능할 수 있는 최상 버전이 표본 상에 형성되어 있다고 하더라도 (예컨대, 근접 효과로 인해) 약간 상이한 치수를 가질 수 있다.
때때로, 검사 툴, 결함 검토 툴, 계측 툴 등과 같은 툴에 의해 생성된, 설계 정보가 형성되어 있는 표본의 이미지에서 그리고 표본 상에서 설계물이 어떻게 나타날지를 아는 것은 가능하지 않다. 그러나, 여러 가지 이유로 인해 이러한 툴에 의해 생성된 이미지에서 그리고 표본 상에서 설계물이 어떻게 나타날 것인지를 아는 것은 종종 좋을 수 있다. 그 한 가지 이유는 설계물이 표본 상에 허용가능한 방식으로 형성될 것을 확실히 하는 것이다. 다른 이유는 설계물이 표본 상에서 어떻게 형성되기로 되어 있는지를 보여주는, 설계에 대한 레퍼런스(reference)를 제공하는 것이며, 이는 표본에 대해 수행되는 하나 이상의 기능을 위해 사용될 수 있다. 예를 들면, 일반적으로, 결함 검출을 위한 레퍼런스가 필요하며, 이는 표본 상에 형성된 설계물과 레퍼런스 간의 어떠한 차이도 결함 또는 잠재적인 결함으로서 검출되고 식별될 수 있도록 한다.
따라서, 표본 상에서 설계물이 어떻게 형성되고 표본의 이미지에서 나타날 것인지를 시뮬레이션할 수 있는 다양한 방법과 시스템을 개발하기 위해 많은 작업이 이루어졌다. 이러한 시뮬레이션된 이미지를 생성하기 위해 현재 사용되는 몇 가지 방법이 있다. 예를 들어, 현재 사용되는 한가지 방법은 순방향 전자기(electromagnetic; EM) 모델링이다. 이 방법에서는, 컴퓨터 보조 설계(CAD)와 같은 설계 정보와 표본에 대한 물질 정보가 입력으로서 사용되며, 본 방법은 광과 물질 간의 물리적 상호작용을 시뮬레이션하고 근거리 필드(near field) 또는 원거리 필드(far field) 이미지를 생성한다. 현재 사용되는 방법의 다른 예시는 규칙 기반 근사법(rule-based approximation)이다. 일부 실용적인 제약을 감안할 때, 이 방법은 CAD로부터, 관측된 이미지로의 변환을 근사화하는 규칙들의 수집을 직접 행한다.
그러나, 시뮬레이션된 이미지를 생성하는 현재 사용되는 방법에는 몇가지 단점이 있다. 예를 들어, 순방향 EM 시뮬레이션은 매우 연산 집약적이어서, 실제 생산에서 사용하기가 불가능한데, 예를 들어, 생산 사용의 경우 순방향 EM 시뮬레이션의 사용을 통해서는 시뮬레이션된 이미지를 충분히 빠르게 생성할 수 없다. 이는 마스크 검사, 웨이퍼 검사, 및 웨이퍼 계측 응용예에도 들어맞는다. 또한, 순방향 EM 시뮬레이션은 전자빔 및 광학 이미지에 의해 캡처된 CMP 및 에칭 효과를 시뮬레이션할 수 없다. 다른 예시에서, 규칙 기반 근사법은 매우 복잡한 규칙들의 방대한 수집을 초래시켜서 일반적으로 적용불가능하게 하고 현실에서 예측이 떨어지는 경향이 있다.
따라서, 상술한 단점들 중 하나 이상을 갖지 않는, 설계 정보로부터 시뮬레이션된 이미지를 생성하는 시스템 및 방법을 개발하는 것이 바람직할 것이다.
아래의 다양한 실시예들의 설명은 첨부된 청구항들의 발명내용을 어떠한 식으로든지 제한시키려는 것으로서 해석되어서는 안된다.
일 실시예는 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템에 관한 것이다. 본 시스템은 하나 이상의 컴퓨터 서브시스템 및 하나 이상의 컴퓨터 서브시스템에 의해 실행되는 하나 이상의 컴포넌트를 포함한다. 하나 이상의 컴포넌트는 생성 모델(generative model)을 포함한다. 생성 모델은 표본에 대한 설계 정보의 특징(feature)들을 결정하도록 구성된 2개 이상의 인코더 레이어(encoder layer)를 포함한다. 생성 모델은 또한 결정된 특징들로부터 하나 이상의 시뮬레이션된 이미지를 생성하도록 구성된 2개 이상의 디코더 레이어(decoder layer)를 포함한다. 하나 이상의 시뮬레이션된 이미지는 표본 상에 형성된 설계 정보가 이미징(imaging) 시스템에 의해 생성된 표본의 하나 이상의 실제 이미지에서 어떻게 나타나는지를 보여준다. 본 시스템은 본 명세서에서 설명되는 바와 같이 추가적으로 구성될 수 있다.
추가적인 실시예는 설계 정보로부터 이미지를 생성하도록 구성된 다른 시스템에 관한 것이다. 이 시스템은 상술한 바와 같이 구성된다. 이 시스템은 또한 표본의 하나 이상의 실제 이미지를 생성하도록 구성된 이미징 서브시스템을 포함한다. 컴퓨터 서브시스템(들)은, 이 실시예에서, 하나 이상의 실제 이미지 및 하나 이상의 시뮬레이션된 이미지를 획득하고, 하나 이상의 실제 이미지 및 하나 이상의 시뮬레이션된 이미지에 기초하여 표본에 대한 하나 이상의 기능을 수행하도록 구성된다.
다른 실시예는 설계 정보로부터 시뮬레이션된 이미지를 생성하기 위한 컴퓨터 구현 방법에 관한 것이다. 본 방법은 생성 모델의 2개 이상의 인코더 레이어에 설계 정보를 입력함으로써 표본에 대한 설계 정보의 특징들을 결정하는 단계를 포함한다. 본 방법은 또한 생성 모델의 2개 이상의 디코더 레이어에 상기 결정된 특징들을 입력함으로써 하나 이상의 시뮬레이션된 이미지를 생성하는 단계를 포함한다. 하나 이상의 시뮬레이션된 이미지는 표본 상에 형성된 설계 정보가 이미징(imaging) 시스템에 의해 생성된 표본의 하나 이상의 실제 이미지에서 어떻게 나타나는지를 보여준다. 상기 결정하는 단계 및 상기 생성하는 단계는 하나 이상의 컴퓨터 시스템으로 수행된다.
전술한 방법의 단계들 각각은 본 명세서에서 추가로 설명되는 바와 같이 추가적으로 수행될 수 있다. 또한, 전술한 방법의 실시예는 본 명세서에서 설명된 임의의 다른 방법(들)의 임의의 다른 단계(들)을 포함할 수 있다. 또한, 전술한 방법은 본 명세서에서 설명된 시스템들 중 임의의 시스템에 의해 수행될 수 있다.
다른 실시예는 설계 정보로부터 시뮬레이션된 이미지를 생성하기 위한 컴퓨터 구현 방법을 수행하기 위해 컴퓨터 시스템 상에서 실행가능한 프로그램 명령어들을 저장하는 컴퓨터로 판독가능한 비일시적 매체에 관한 것이다. 컴퓨터 구현 방법은 전술한 방법의 단계들을 포함한다. 컴퓨터 판독가능 매체는 본 명세서에서 설명된 바와 같이 추가적으로 구성될 수 있다. 컴퓨터 구현 방법의 단계들은 본 명세서에서 추가적으로 설명된 바와 같이 수행될 수 있다. 또한, 프로그램 명령어들이 실행가능한 컴퓨터 구현 방법은 본 명세서에서 설명된 임의의 다른 방법(들)의 임의의 다른 단계(들)을 포함할 수 있다.
본 발명의 추가적인 장점들은 첨부된 도면들에 대한 참조와 함께 아래의 바람직한 실시예들의 상세한 설명을 통해 당 업계의 당업자에게 명백해질 것이다.
도 1 및 도 1a는 본 명세서에서 설명된 바와 같이 구성된 시스템의 실시예들의 측면도들을 도시하는 개략도들이다.
도 2는 본 명세서에서 설명된 실시예들에 포함될 수 있는 생성 모델의 일 실시예를 도시하는 개략도이다.
도 3은 본 명세서에서 설명된 실시예들에 의해 생성될 수 있는 트레이닝(training) 데이터세트의 일 실시예를 도시하는 개략도이다.
도 4는 본 명세서에서 설명된 실시예들에 의해 수행될 수 있는 사전 트레이닝(pre-training) 단계에서의 생성 모델의 실시예를 도시하는 개략도이다.
도 5 및 도 6은 본 명세서에서 설명된 실시예들에 포함될 수 있는 생성 모델의 실시예들을 도시하는 개략도이다.
도 7은 컴퓨터 시스템으로 하여금 본 명세서에서 설명된 컴퓨터 구현 방법을 수행하도록 하기 위한 프로그램 명령어들이 저장되어 있는 컴퓨터로 판독가능한 비일시적 매체의 일 실시예를 도시하는 블록도이다.
본 발명은 다양한 수정들 및 대안적인 형태들이 가능하지만, 도면들에서는 본 발명의 특정한 실시예들을 예시로서 도시하며, 본 명세서에서는 이를 보다 자세하게 설명한다. 도면들은 실척도로 도시되지 않을 수 있다. 하지만, 도면들 및 이에 대한 상세한 설명은 개시된 특정한 형태로 본 발명을 한정시키려는 의도는 없으며, 그 반대로, 본 발명은 청구항들에 의해 정의된 본 발명의 사상 및 범위 내에 속하는 모든 수정들, 등가물들 및 대안구성들을 커버한다.
본 명세서에서 상호교환적으로 이용되는 "설계", "설계 데이터", 및 "설계 정보"의 용어들은 일반적으로 복잡한 시뮬레이션 또는 간단한 기하학적 및 부울 연산들을 통해 물리적 설계로부터 도출된 IC의 물리적 설계(레이아웃) 및 데이터를 가리킨다. 또한, 레티클 검사 시스템 및/또는 그 파생물에 의해 획득된 레티클의 이미지는 설계를 위한 "프록시" 또는 "프록시들"로서 사용될 수 있다. 이러한 레티클 이미지 또는 그 파생물은 설계를 사용하는 본 명세서에서 설명된 임의의 실시예들에서 설계 레이아웃의 대체물로서 기능할 수 있다. 본 설계는 2009년 8월 4일자로 허여되고 자파(Zafar) 등에 의해 공동 소유된 미국 특허 제7,570,796호, 및 2010년 3월 9일에 허여되고 쿨카르니(Kulkarni) 등에 의해 공동 소유된 미국 특허 제7,676,077호에서 기재된 임의의 다른 설계 데이터 또는 설계 데이터 프록시들을 포함할 수 있으며, 이들 특허들은 본 명세서에서 완전히 설명된 것처럼 참고 문헌으로서 본 명세서 내에 병합된다. 또한, 설계 데이터는 표준 셀 라이브러리 데이터, 통합 레이아웃 데이터, 하나 이상의 레이어들에 대한 설계 데이터, 설계 데이터의 파생물, 및 전체적인 또는 부분적인 칩 설계 데이터일 수 있다.
또한, 본 명세서에서 기술된 "설계", "설계 데이터", 및 "설계 정보"는 설계 공정에서 반도체 디바이스 설계자들에 의해 생성되는 정보 및 데이터를 가리키며, 이에 따라, 이것은 레티클 및 웨이퍼와 같은 임의의 물리적 표본들 상의 설계물의 인쇄에 앞서 본 명세서에 잘 설명된 실시예들에서의 이용을 위해 이용가능하다.
이제 도면들을 참조하면, 도면들은 실척도로 도시되지 않는다는 것을 유념한다. 특히, 도면들의 엘리먼트들 중 몇몇의 엘리먼트들의 스케일은 그 특성들을 강조하기 위해 과하게 과장되었다. 또한 도면들은 동일한 척도로 작도되지 않는다는 것을 유념한다. 하나 보다 많은 도면에서 도시된, 유사하게 구성될 수 있는 엘리먼트들은 동일한 참조 번호들을 이용하여 표시되었다. 본 명세서에서 달리 언급되지 않는 한, 여기서 설명되고 도시되는 임의의 엘리먼트들은 상업적으로 입수가능한 임의의 적절한 엘리먼트들을 포함할 수 있다.
본 명세서에서 설명된 일부 실시예들은 반도체 검사 및 계측과 같은 응용을 위한 컴퓨터 보조 설계(CAD)의 사실적인 렌더링을 위한 심층적 생성 모델(deep generative model)을 포함한다. 또한, 본 명세서에 설명된 실시예들은 전자 빔 및 광학 툴과 같은 툴을 위한 관련 CAD로부터 실감나는 이미지를 생성하기 위한 연산 효율적인 방법론을 제공할 수 있다. 예를 들어, 일 실시예는 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템에 관한 것이다. 하나 이상의 시뮬레이션된 이미지는 표본 상에 형성된 설계 정보가 이미징 시스템(또는 이미징 서브시스템)에 의해 생성된 표본의 하나 이상의 실제 이미지에서 어떻게 나타나는지를 보여준다.
이러한 시스템의 하나의 실시예가 도 1에서 도시된다. 시스템은 하나 이상의 컴퓨터 서브시스템(예를 들어, 컴퓨터 서브시스템(36 및 102)) 및 하나 이상의 컴퓨터 서브시스템에 의해 실행되는 하나 이상의 컴포넌트(100)를 포함한다. 일부 실시예들에서, 시스템은 이미징 시스템(또는 서브시스템)(10)을 포함한다. 일반적으로, 이미징 시스템은 물리적 버전의 표본을 에너지로 스캐닝하면서 표본으로부터 에너지를 검출하고, 이로써 표본에 대한 이미지들을 생성하도록 구성된다. 또한, 이미징 시스템은 다중 모드로 스캐닝 및 검출을 수행하도록 구성된다.
일 실시예에서, 표본은 웨이퍼이다. 웨이퍼는 당 업계에 공지된 임의의 웨이퍼를 포함할 수 있다. 다른 실시예에서, 표본은 레티클이다. 레티클은 당 업계에 공지된 임의의 레티클을 포함할 수 있다.
일 실시예에서, 이미징 시스템은 광학 기반 이미징 시스템이다. 예를 들어, 표본을 스캐닝한 에너지는 광을 포함할 수 있고, 표본으로부터 검출된 에너지는 광을 포함할 수 있다. 그러한 하나의 예시에서, 도 1에서 도시된 시스템의 실시예에서, 이미징 시스템(10)은 광을 표본(14)에 지향시키도록 구성된 조명 서브시스템을 포함한다. 조명 서브시스템은 적어도 하나의 광원을 포함한다. 예를 들어, 도 1에서 도시된 바와 같이, 조명 서브시스템은 광원(16)을 포함한다. 일 실시예에서, 조명 서브시스템은 하나 이상의 경사각 및/또는 하나 이상의 수직각을 포함할 수 있는 하나 이상의 입사각으로 표본에 광을 지향시키도록 구성된다. 예를 들어, 도 1에서 도시된 바와 같이, 광원(16)으로부터의 광은 광학 엘리먼트(18)를 통해 그리고 렌즈(20)를 통해 경사 입사각으로 표본(14)에 지향된다. 경사 입사각은 임의의 적절한 경사 입사각을 포함할 수 있으며, 이는, 예를 들어, 표본의 특성에 따라 달라질 수 있다.
이미징 서브시스템은 상이한 시간에 상이한 입사각으로 표본에 광을 지향시키도록 구성될 수 있다. 예를 들어, 이미징 시스템은 광이 도 1에서 도시된 것과는 상이한 입사각으로 표본에 지향될 수 있도록 조명 서브시스템의 하나 이상의 엘리먼트의 하나 이상의 특성들을 변경하도록 구성될 수 있다. 그러한 하나의 예시에서, 이미징 시스템은 광이 상이한 경사 입사각 또는 수직(또는 거의 수직) 입사각으로 표본에 지향되게끔 광원(16), 광학 엘리먼트(18), 및 렌즈(20)를 이동시키도록 구성될 수 있다.
경우에 따라, 이미징 시스템은 광을 동시에 하나보다 많은 입사각으로 표본에 지향시키도록 구성될 수 있다. 예를 들어, 조명 서브시스템은 하나보다 많은 조명 채널을 포함할 수 있으며, 조명 채널들 중 하나는 도 1에서 도시된 바와 같이 광원(16), 광학 엘리먼트(18) 및 렌즈(20)를 포함할 수 있고, 조명 채널들 중 다른 하나(도시되지 않음)는 이와 상이하게 또는 동일하게 구성될 수 있는 유사한 엘리먼트들을 포함할 수 있거나, 또는 적어도 광원 및 가능하게는 본원에서 추가로 설명되는 것과 같은 하나 이상의 다른 컴포넌트들을 포함할 수 있다. 그러한 광이 다른 광과 동시에 표본에 지향되면, 상이한 입사각들로 표본을 조명함으로써 초래된 광이 검출기(들)에서 서로 구별될 수 있도록, 상이한 입사각들로 표본에 지향되는 광의 하나 이상의 특성들(예를 들어, 파장, 편광 등)은 상이할 수 있다.
다른 예시에서, 조명 서브시스템은 하나의 광원(예를 들어, 도 1에서 도시된 광원(16))만을 포함할 수 있으며, 광원으로부터의 광은 조명 서브시스템의 하나 이상의 광학 엘리먼트들(미도시됨)에 의해 상이한 광 경로들(예를 들어, 파장, 편광 등에 기초함)로 분리될 수 있다. 그런 후, 상이한 광학 경로들 각각에서의 광은 표본에 지향될 수 있다. 다중 조명 채널들은 광을 동시에 또는 상이한 시간(예를 들어, 상이한 조명 채널들이 순차적으로 표본을 조명하는데 사용되는 경우)에 표본에 지향시키도록 구성될 수 있다. 다른 예시에서, 동일한 조명 채널은 상이한 시간에 상이한 특성을 갖는 광을 표본에 지향시키도록 구성될 수 있다. 예를 들어, 몇몇 예시들에서, 광학 엘리먼트(18)는 스펙트럼 필터로서 구성될 수 있으며, 스펙트럼 필터의 특성들은 상이한 파장의 광이 상이한 시간에 표본에 지향될 수 있도록(예를 들어, 스펙트럼 필터를 바꿈으로써) 다양한 상이한 방식으로 변경될 수 있다. 조명 서브시스템은 상이하거나 동일한 특성을 갖는 광을 상이한 입사각 또는 동일한 입사각으로 순차적으로 또는 동시에 표본에 지향시키기 위한, 당 업계에 공지된 임의의 다른 적절한 구성을 가질 수 있다.
일 실시예에서, 광원(16)은 광대역 플라즈마(broadband plasma; BBP) 광원을 포함할 수 있다. 이러한 방식으로, 광원에 의해 생성되어 표본에 지향되는 광은 광대역 광을 포함할 수 있다. 그러나, 광원은 레이저와 같은 임의의 적절한 다른 광원을 포함할 수 있다. 레이저는 당 업계에 공지된 임의의 적절한 레이저를 포함할 수 있으며, 당 업계에 공지된 임의의 적절한 파장 또는 파장들의 광을 생성하도록 구성될 수 있다. 또한, 레이저는 단색 또는 거의 단색인 광을 생성하도록 구성될 수 있다. 이러한 방식으로, 레이저는 협대역 레이저일 수 있다. 광원은 또한 다중 이산적 파장 또는 파대역의 광을 생성하는 다색 광원을 포함할 수 있다.
광학 엘리먼트(18)로부터의 광은 렌즈(20)에 의해 표본(14) 상에 포커싱될 수 있다. 렌즈(20)가 단일 굴절 광학 엘리먼트로서 도 1에서 도시되어 있지만, 실제로, 렌즈(20)는 결합시 광학 엘리먼트로부터의 광을 표본에 포커싱하는 복수의 굴절 및/또는 반사 광학 엘리먼트들을 포함할 수 있다는 것을 이해할 것이다. 도 1에서 도시되고 본 명세서에서 설명된 조명 서브시스템은 임의의 다른 적절한 광학 엘리먼트들(미도시됨)을 포함할 수 있다. 이러한 광학 엘리먼트들의 예시들은, 비제한적인 예시로서, 편광 컴포넌트(들), 스펙트럼 필터(들), 공간 필터(들), 반사 광학 엘리먼트(들), 아포다이저(apodizer)(들), 빔 스플리터(들), 조리개(들) 등을 포함하며, 당 업계에 공지된 임의의 이러한 적절한 광학 엘리먼트들을 포함할 수 있다. 또한, 이미징 시스템은 이미징에 사용될 조명 유형에 기초하여 조명 서브시스템의 하나 이상의 엘리먼트들을 변경하도록 구성될 수 있다.
또한, 이미징 시스템은 광이 표본을 스캐닝하게 하도록 구성된 스캐닝 서브시스템을 포함할 수 있다. 예를 들어, 이미징 시스템은 검사 동안에 표본(14)이 배치되는 스테이지(22)를 포함할 수 있다. 스캐닝 서브시스템은 광이 표본을 스캐닝할 수 있게끔 표본을 이동시키도록 구성될 수 있는 임의의 적절한 기계적 및/또는 로봇식 어셈블리(스테이지(22)를 포함함)를 포함할 수 있다. 추가적으로 또는 대안적으로, 이미징 시스템은 이미징 시스템의 하나 이상의 광학 엘리먼트가 표본에 대한 광의 일부 스캐닝을 수행하도록 구성될 수 있다. 구불구불한 경로 또는 나선형 경로와 같은 임의의 적절한 방식으로 표본을 광으로 스캐닝할 수 있다.
이미징 시스템은 하나 이상의 검출 채널들을 더 포함한다. 하나 이상의 검출 채널들 중 적어도 하나의 검출 채널은 시스템에 의한 표본의 조명으로 인한 표본으로부터의 광을 검출하고 검출된 광에 응답하는 출력을 생성하도록 구성된 검출기를 포함한다. 예를 들어, 도 1에서 도시된 이미징 시스템은 2개의 검출 채널들을 포함하는데, 그 중 하나의 검출 채널은 집광기(24), 엘리먼트(26) 및 검출기(28)에 의해 형성되고, 다른 하나의 검출 채널은 집광기(30), 엘리먼트(32) 및 검출기(34)에 의해 형성된다. 도 1에서 도시된 바와 같이, 2개의 검출 채널들이 상이한 수집 각도에서 광을 수집하고 검출하도록 구성된다. 몇몇 예시들에서, 두 검출 채널들은 산란광을 검출하도록 구성되며, 검출 채널들은 표본으로부터 상이한 각도들로 산란된 광을 검출하도록 구성된다. 그러나, 하나 이상의 검출 채널들이 표본으로부터의 다른 유형의 광(예를 들어, 반사광)을 검출하도록 구성될 수 있다.
도 1에서 더 도시된 바와 같이, 두 검출 채널들은 지면 내에 위치된 것으로 도시되어 있고, 조명 서브시스템이 또한 지면 내에 위치된 것으로 도시되어 있다. 그러므로, 이 실시예에서, 두 검출 채널들은 입사면에 (예를 들어, 입사면 중심에) 위치된다. 그러나, 하나 이상의 검출 채널들이 입사면 밖에 위치될 수 있다. 예를 들어, 집광기(30), 엘리먼트(32), 및 검출기(34)에 의해 형성된 검출 채널은 입사면 밖으로 산란된 광을 수집하고 검출하도록 구성될 수 있다. 그러므로, 그러한 검출 채널을 "측면" 채널로서 통칭할 수 있으며, 그러한 측면 채널은 입사면에 실질적으로 수직한 평면에서 그 중심이 위치할 수 있다.
도 1은 2개의 검출 채널들을 포함하는 이미징 시스템의 실시예를 도시하지만, 이미징 시스템은 상이한 수의 검출 채널들(예를 들어, 단하나의 검출 채널 또는 2개 이상의 검출 채널들)을 포함할 수 있다. 그러한 하나의 예시에서, 집광기(30), 엘리먼트(32), 및 검출기(34)에 의해 형성된 검출 채널은 전술한 바와 같이 하나의 측면 채널을 형성할 수 있으며, 이미징 시스템은 입사면의 대향 측면 상에 위치된 다른 측면 채널로서 형성된 추가적인 검출 채널(도시되지 않음)을 포함할 수 있다. 따라서, 이미징 시스템은, 집광기(24), 엘리먼트(26), 및 검출기(28)를 포함하고, 입사면에서 그 중심이 위치하며, 표본 표면에 수직이거나 또는 수직 가까이에 있는 산란각(들)에서 광을 수집하고 검출하도록 구성된 검출 채널을 포함할 수 있다. 따라서, 이 검출 채널을 "최상부" 채널로서 통칭할 수 있고, 이미징 시스템은 전술한 바와 같이 구성된 2개 이상의 측면 채널들을 또한 포함할 수 있다. 이와 같이, 이미징 시스템은 적어도 세 개의 채널들(즉, 하나의 최상부 채널과 2개의 측면 채널들)을 포함할 수 있고, 상기 적어도 세 개의 채널들 각각은 각자의 집광기를 가지며, 각각의 집광기는 다른 집광기들 각각과는 상이한 산란각으로 광을 수집하도록 구성된다.
전술한 바와 같이, 이미징 시스템에 포함된 검출 채널들 각각은 산란광을 검출하도록 구성될 수 있다. 따라서, 도 1에서 도시된 이미징 시스템은 표본의 암시야(dark field; DF) 이미징을 위해 구성될 수 있다. 그러나, 이미징 시스템은 또한 또는 대안적으로 표본의 명시야(bright field; BF) 이미징을 위해 구성된 검출 채널(들)을 포함할 수 있다. 달리 말하면, 이미징 시스템은 표본으로부터 정반사된(specularly reflected) 광을 검출하도록 구성된 적어도 하나의 검출 채널을 포함할 수 있다. 따라서, 본 명세서에서 설명된 이미징 시스템은 DF 이미징만을 위해 구성되거나, BF 이미징만을 위해 구성되거나, 또는 DF 및 BF 이미징 둘 다를 위해 구성될 수 있다. 각각의 집광기가 단일 굴절 광학 엘리먼트로서 도 1에서 도시되어 있지만, 집광기 각각은 하나 이상의 굴절 광학 엘리먼트(들) 및/또는 하나 이상의 반사 광학 엘리먼트(들)을 포함할 수 있음을 이해해야 한다.
하나 이상의 검출 채널들은 당 업계에 공지된 임의의 적절한 검출기를 포함할 수 있다. 예를 들어, 검출기는 광전 증배 튜브(photo-multiplier tube; PMT), 전하 결합 디바이스(charge coupled device; CCD), 시간 지연 통합(time delay integration; TDI) 카메라, 및 당 업계에 공지된 임의의 다른 적절한 검출기들을 포함할 수 있다. 검출기는 또한 비 이미징(non-imaging) 검출기 또는 이미징(imaging) 검출기를 포함할 수 있다. 이러한 방식으로, 검출기가 비 이미징 검출기인 경우, 검출기 각각은 세기와 같은 산란광의 일정한 특성을 검출하도록 구성될 수 있지만, 이러한 특성을 이미징 평면 내의 위치 함수로서 검출하도록 구성되지는 않을 수 있다. 이와 같이, 이미징 시스템의 각각의 검출 채널에 포함된 각각의 검출기에 의해 생성된 출력은 신호 또는 데이터일 수 있지만, 이미지 신호 또는 이미지 데이터는 아닐 수 있다. 이러한 예시들에서, 컴퓨터 서브시스템(36)과 같은 컴퓨터 서브시스템은 검출기의 비 이미징 출력으로부터 표본의 이미지들을 생성하도록 구성될 수 있다. 그러나, 다른 예시들에서, 검출기는 이미징 신호 또는 이미지 데이터를 생성하도록 구성된 이미징 검출기로서 구성될 수 있다. 따라서, 이미징 시스템은 복수의 방식으로 본 명세서에서 설명된 이미지들을 생성하도록 구성될 수 있다.
도 1은 본 명세서에서 설명된 시스템 실시예들에 포함될 수 있거나 또는 본 명세서에서 설명된 시스템 실시예들에 의해 사용되는 이미지들을 생성할 수 있는 이미징 시스템 또는 서브시스템의 구성을 일반적으로 나타내기 위해 여기서 제공된 것임을 유념한다. 분명하게도, 본 명세서에서 설명된 이미징 시스템 구성은 상업적 이미징 시스템을 설계할 때 보통 수행되는 이미징 시스템의 성능을 최적화하도록 변경될 수 있다. 또한, 본 명세서에서 설명되는 시스템들은 (예컨대, 본 명세서에서 설명된 기능을 기존의 시스템에 추가함으로써) 캘리포니아주의 밀피타스에 있는 KLA-Tencor로부터 상업적으로 입수가능한 29xx/28xx 시리즈의 툴과 같은 기존의 시스템을 이용하여 구현될 수 있다. 이러한 몇가지 시스템들의 경우, 본 명세서에서 설명된 실시예들은 (예컨대, 시스템의 다른 기능에 더하여) 시스템의 옵션적 기능으로서 제공될 수 있다. 대안적으로, 본 명세서에서 설명된 이미징 시스템은 완전히 새로운 이미징 시스템을 제공하기 위해 “처음부터 새롭게” 설계될 수 있다.
이미징 시스템의 컴퓨터 서브시스템(36)은, 컴퓨터 서브시스템이 표본의 스캐닝 동안 검출기에 의해 생성된 출력을 수신할 수 있도록,(예를 들어, "유선" 및/또는 "무선" 전송 매체를 포함할 수 있는, 하나 이상의 전송 매체를 통해) 임의의 적절한 방식으로 이미징 시스템의 검출기에 결합될 수 있다. 컴퓨터 서브시스템(36)은 검출기의 출력을 사용하여 본 명세서에서 추가로 설명되는 복수의 기능을 수행하도록 구성될 수 있다.
도 1에서 도시된 컴퓨터 서브시스템(뿐만이 아니라, 본 명세서에서 설명된 다른 컴퓨터 서브시스템들)은 본 명세서에서 컴퓨터 시스템(들)이라고도 칭해질 수 있다. 본 명세서에서 설명된 컴퓨터 서브시스템(들) 또는 시스템(들) 각각은 개인 컴퓨터 시스템, 이미지 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 어플라이언스, 인터넷 어플라이언스, 또는 다른 디바이스를 비롯하여, 다양한 형태를 취할 수 있다. 일반적으로, "컴퓨터 시스템"의 용어는 메모리 매체로부터의 명령어들을 실행하는 하나 이상의 프로세서들을 갖는 임의의 디바이스를 망라하도록 광범위하게 정의될 수 있다. 컴퓨터 서브시스템(들) 또는 시스템(들)은 또한 병렬 프로세서와 같은 당 업계에 공지된 임의의 적절한 프로세서를 포함할 수 있다. 또한, 컴퓨터 서브시스템(들) 또는 시스템(들)은 고속 처리 및 소프트웨어를 갖는 컴퓨터 플랫폼을 독립형 또는 네트워크형 툴로서 포함할 수 있다.
시스템이 하나보다 많은 컴퓨터 서브시스템을 포함하는 경우, 이미지, 데이터, 정보, 명령어 등이 본 명세서에서 추가로 설명되는 바와 같이 컴퓨터 서브시스템 간에 전송될 수 있도록, 상이한 컴퓨터 서브시스템은 서로 결합될 수 있다. 예를 들어, 컴퓨터 서브시스템(36)은 당 업계에 공지된 임의의 적절한 유선 및/또는 무선 전송 매체를 포함할 수 있는, 임의의 적절한 전송 매체에 의해 (도 1의 점선으로 도시된 바와 같이) 컴퓨터 서브시스템(들)(102)에 결합될 수 있다. 그러한 컴퓨터 서브시스템들 중 둘 이상은 또한 공유형 컴퓨터 판독가능 저장 매체(도시되지 않음)에 의해 효과적으로 결합될 수 있다.
이미징 시스템이 광학 또는 광 기반 이미징 시스템인 것으로서 전술되었지만, 이미징 시스템은 전자 빔 기반 이미징 시스템일 수 있다. 그러한 하나의 실시예에서, 표본을 스캐닝한 에너지는 전자를 포함하고, 표본으로부터 검출된 에너지는 전자를 포함한다. 도 1a에서 도시된 그러한 하나의 실시예에서, 이미징 시스템은 컴퓨터 서브시스템(124)에 결합된 전자 칼럼(electron column)(122)을 포함한다.
또한 도 1a에서 도시된 바와 같이, 전자 칼럼은 하나 이상의 엘리먼트(130)에 의해 표본(128)에 포커싱된 전자를 생성하도록 구성된 전자 빔 소스(126)를 포함한다. 전자빔원은, 예를 들어, 음극 소스 또는 이미터 팁을 포함할 수 있으며, 하나 이상의 엘리먼트(130)는, 예를 들어, 건(gun) 렌즈, 양극, 빔 제한 조리개, 게이트 밸브, 빔 전류 선택 조리개, 대물 렌즈, 및 스캐닝 서브시스템을 포함할 수 있으며, 이들 모두는 당 업계에 공지된 임의의 적절한 엘리먼트를 포함할 수 있다.
표본으로부터 복귀한 전자(예를 들어, 2차 전자)는 하나 이상의 엘리먼트(132)에 의해 검출기(134)에 포커싱될 수 있다. 하나 이상의 엘리먼트(132)는, 예를 들어, 엘리먼트(들)(130)에 포함된 동일한 스캐닝 서브시스템일 수 있는 스캐닝 서브시스템을 포함할 수 있다.
전자 칼럼은 당 업계에 공지된 임의의 다른 적절한 엘리먼트를 포함할 수 있다. 또한, 전자 칼럼은 지앙(Jiang) 등의 2014년 4월 4일자로 등록된 미국 특허 제8,664,594호, 코지마(Kojima) 등의 2014년 4월 8일자로 등록된 미국 특허 제8,692,204호, 구벤스(Gubbens) 등의 2014년 4월 15일자로 등록된 미국 특허 제8,698,093호, 및 맥도날드(MacDonald) 등의 2014년 5월 6일자로 등록된 미국 특허 제 8,716,662호에 기술되어 있는 바와 같이 추가로 구성될 수 있으며, 이들 특허들은 본 명세서에서 완전히 설명된 것처럼 참고 문헌으로서 본 명세서 내에 포함된다.
도 1a에서는 전자들이 경사 입사각으로 표본에 지향되고 다른 경사각으로 표본으로부터 산란되도록 전자 칼럼이 구성되어 있는 것으로서 도시되고 있지만, 전자 빔은 임의의 적절한 각도로 표본에 지향되고 표본로부터 산란될 수 있다는 것을 이해해야 한다. 또한, 전자 빔 기반 이미징 시스템은 본 명세서에 추가로 설명되는 바와 같이(예를 들어, 상이한 조명 각도, 집광 각도 등으로) 표본의 이미지들을 생성하기 위해 다중 모드들을 사용하도록 구성될 수 있다. 전자 빔 기반 이미징 시스템의 다중 모드들은 이미징 시스템의 임의의 이미지 생성 파라미터들에서 상이할 수 있다.
컴퓨터 서브시스템(124)은 전술한 바와 같이 검출기(134)에 결합될 수 있다. 검출기는 표본의 표면으로부터 복귀한 전자들을 검출하여 표본의 전자 빔 이미지들을 형성할 수 있다. 전자 빔 이미지들은 임의의 적절한 전자 빔 이미지들을 포함할 수 있다. 컴퓨터 서브시스템(124)은 검출기(134)에 의해 생성된 출력을 사용하여 표본에 대해 본 명세서에서 설명된 하나 이상의 기능을 수행하도록 구성될 수 있다. 컴퓨터 서브시스템(124)은 본 명세서에서 설명된 임의의 추가적인 단계(들)을 수행하도록 구성될 수 있다. 도 1a에서 도시된 이미징 시스템을 포함하는 시스템은 본 명세서에서 설명된 바와 같이 추가로 구성될 수 있다.
본 명세서에서 설명되는 실시예들 내에 포함될 수 있는 전자 빔 기반 이미징 시스템의 구성을 일반적으로 나타내기 위해 본 명세서에서는 도 1a를 제공하였다는 것을 유념해둔다. 전술한 광학 이미징 시스템에서와 같이, 본 명세서에서 설명된 전자 빔 기반 이미징 시스템 구성은 상업적 이미징 시스템을 설계할 때 보통 수행되는 이미징 시스템의 성능을 최적화하도록 변경될 수 있다. 또한, 본 명세서에서 설명되는 시스템들은 (예컨대, 본 명세서에서 설명된 기능을 기존의 시스템에 추가함으로써) KLA-Tencor로부터 상업적으로 입수가능한 eSxxx 및 eDR-xxxx 시리즈의 툴과 같은 기존의 시스템을 이용하여 구현될 수 있다. 이러한 몇가지 시스템들의 경우, 본 명세서에서 설명된 실시예들은 (예컨대, 시스템의 다른 기능에 더하여) 시스템의 옵션적 기능으로서 제공될 수 있다. 대안적으로, 본 명세서에서 설명된 시스템은 완전히 새로운 시스템을 제공하기 위해 “맨 처음부터 새롭게” 설계될 수 있다.
이미징 서브시스템이 광 기반 또는 전자 빔 기반 이미징 서브시스템인 것으로서 위에서 기술되었지만, 이미징 서브시스템은 이온 빔 기반 이미징 서브시스템일 수 있다. 이러한 이미징 서브시스템은 전자빔원이 당 업계에 공지된 임의의 적절한 이온빔원으로 대체될 수 있다는 것을 제외하고는 도 2에서 도시된 바와 같이 구성될 수 있다. 또한, 이미징 서브시스템은 상업적으로 입수가능한 포커싱 이온빔(focused ion beam; FIB) 시스템, 헬륨 이온 현미경(helium ion microscopy; HIM) 시스템, 및 2차 이온 질량 분광기(secondary ion mass spectroscopy; SIMS) 시스템 내에 포함된 것과 같은 다른 적절한 이온 빔 기반 이미징 서브시스템일 수 있다.
전술한 바와 같이, 이미징 시스템은 물리적 버전의 표본에 대해 에너지를 스캐닝함으로써 물리적 버전의 표본에 대한 실제 이미지를 생성하도록 구성된다. 이러한 방식으로, 이미징 시스템은 "가상" 시스템보다는 "실제" 시스템으로서 구성될 수 있다. 예를 들어, 도 1에서 도시된 저장 매체(미도시됨) 및 컴퓨터 서브시스템(들)(102)은 "가상" 시스템으로서 구성될 수 있다. 특히, 저장 매체 및 컴퓨터 서브시스템(들)은 이미징 시스템(10)의 일부가 아니며, 물리적 버전의 표본을 처리하기 위한 어떠한 능력도 갖지 않는다. 달리 말하면, 가상 시스템으로서 구성된 시스템에서, 그 하나 이상의 "검출기"의 출력은, 실제 시스템의 하나 이상의 검출기에 의해 이전에 생성되었고 가상 시스템에 저장되는 출력일 수 있으며, "스캐닝" 동안, 가상 시스템은 마치 표본이 스캐닝되고 있는 것처럼 이러한 저장된 출력을 재생할 수 있다. 이러한 방식으로, 가상 시스템으로 표본을 스캐닝하는 것은 물리적 표본이 실제 시스템으로 스캐닝 중에 있는 것과 동일하게 나타날 수 있지만, 실제로, "스캐닝"은 표본을 스캐닝할 때와 동일한 방식으로 표본의 출력을 단순히 재생하는 것을 포함할 뿐이다. "가상" 검사 시스템으로서 구성된 시스템 및 방법은 바스카(Bhaskar) 등의 공동 양도된 미국 특허 제8,126,255호(2012년 2월 28일에 등록됨) 및 듀피(Duffy) 등에 의해 출원된 미국 특허 공개 제2014/0241610호(2014년 8월 28일에 공개됨)에서 기술되어 있으며, 이 둘다는 본 명세서에서 완전히 설명된 것처럼 참고 문헌으로서 본 명세서 내에 포함된다. 본 명세서에서 설명된 실시예들은 이 특허 및 특허 출원에 기재된 바와 같이 추가로 구성될 수 있다. 예를 들어, 본 명세서에서 설명된 하나 이상의 컴퓨터 서브시스템은 이 특허 및 특허 출원에 기재된 바와 같이 추가로 구성될 수 있다.
전술한 바와 같이, 이미징 시스템은 다중 모드들로 표본의 이미지들을 생성하도록 구성될 수 있다. 일반적으로, "모드"는 표본의 이미지들을 생성하는데 사용되는 이미징 시스템의 파라미터들 또는 표본의 이미지들을 생성하는데 사용되는 출력의 값들에 의해 정의될 수 있다. 따라서, 상이한 모드들은 이미징 시스템의 이미징 파라미터들 중 적어도 하나의 이미징 파라미터에 대한 값들이 상이할 수 있다. 예를 들어, 표본을 스캐닝한 에너지 및 표본으로부터 검출된 에너지가 광(light)인 일 실시예에서, 상기 다중 모드들 중 적어도 하나의 모드는, 상기 다중 모드들 중 적어도 하나의 다른 모드에 대해 사용되는 적어도 하나의 조명광 파장과는 상이한 적어도 하나의 조명광 파장을 사용한다. 모드들은 상이한 모드들에 대해 본 명세서에 추가로 설명되는 바와 같이 (예를 들어, 상이한 광원, 상이한 스펙트럼 필터 등을 사용함으로써) 조명 파장이 상이할 수 있다. 다른 실시예에서, 다중 모드들 중 적어도 하나의 모드는 다중 모드들 중 적어도 하나의 다른 모드에 대해 사용되는 이미징 시스템의 조명 채널과는 상이한, 이미징 시스템의 조명 채널을 사용한다. 예를 들어, 전술한 바와 같이, 이미징 시스템은 하나보다 많은 조명 채널을 포함할 수 있다. 이와 같이, 상이한 조명 채널들이 상이한 모드들에 대해 사용될 수 있다.
일 실시예에서, 이미징 시스템은 검사 시스템이다. 예를 들어, 본 명세서에서 설명된 광학 및 전자 빔 이미징 시스템은 검사 시스템으로서 구성될 수 있다. 다른 실시예에서, 이미징 시스템은 결함 검토 시스템이다. 예를 들어, 본 명세서에서 설명된 광학 및 전자 빔 이미징 시스템은 결함 검토 시스템으로서 구성될 수 있다. 추가적인 실시예에서, 이미징 시스템은 계측 시스템이다. 예를 들어, 본 명세서에서 설명된 광학 및 전자 빔 이미징 시스템은 계측 시스템으로서 구성될 수 있다. 특히, 본 명세서에서 설명되고 도 1 및 도 1a에서 도시된 이미징 시스템의 실시예들은 이들이 사용될 응용예에 따라 상이한 이미징 능력을 제공하기 위해 하나 이상의 파라미터가 수정될 수 있다. 그러한 하나의 예시에서, 도 1에서 도시된 이미징 시스템은 검사보다는 결함 검토 또는 계측을 위해 사용될 경우 더 높은 분해능을 갖도록 구성될 수 있다. 다시 말해서, 도 1 및 도 1a에서 도시된 이미징 시스템의 실시예들은, 상이한 응용들에 더 적절하거나 덜 적절한 상이한 이미징 능력들을 갖는 이미징 시스템을 생산하기 위해 당업자에게 자명한 여가가지 방식으로 맞춤화될 수 있는 이미징 시스템을 위한 몇몇 일반적이고 다양한 구성을 설명한다.
검사 시스템, 결함 검토 시스템, 및 계측 시스템은 또한 웨이퍼 및 레티클과 같은 표본의 검사, 결함 검토, 및 계측을 위해 구성될 수 있다. 예를 들어, 본 명세서에서 설명된 실시예들은 마스크 검사, 웨이퍼 검사, 및 웨이퍼 계측을 위한 주사 전자 현미경(SEM) 이미지와 광학 이미지 둘 다에 대한 사실적인 CAD 렌더링을 위해 심층적 생성 모델을 사용하도록 구성될 수 있다. 특히, 본 명세서에서 설명된 실시예들은 광대역 플라즈마 검사기, 전자 빔 검사기, 또는 결함 검토 툴, 마스크 검사기, 가상 검사기 등과 같은, 이미징 시스템의 컴포넌트이거나 이에 결합된 컴퓨터 노드 또는 컴퓨터 클러스터 상에 설치될 수 있다. 이러한 방식으로, 본 명세서에서 설명된 실시예들은, 비제한적인 예시로서, 웨이퍼 검사, 마스크 검사, 전자 빔 검사, 및 검토, 계측 등을 포함하는 다양한 응용들에서 사용될 수 있는 시뮬레이션된 이미지를 생성할 수 있다. 도 1 및 도 1a에서 도시된 이미징 시스템의 특성은 실제 이미지를 생성할 대상인 표본에 기초하여 전술한 바와 같이 수정될 수 있다.
예를 들어, 컴퓨터 서브시스템(들), 예컨대, 컴퓨터 서브시스템들(36 및/또는 102)에 의해 실행되는 컴포넌트(들), 예컨대, 도 1에서 도시된 컴포넌트(들)(100)은 생성 모델을 포함한다. "생성" 모델은 일반적으로 확률론적 성질을 갖는 모델로서 정의될 수 있다. 달리 말하면, "생성" 모델은 순방향 시뮬레이션이나 규칙 기반 접근법을 수행하는 모델은 아니며, 그렇기 때문에 실제 이미지(이에 대한 시뮬레이션된 이미지가 생성됨)를 생성하는데 있어서 수반되는 공정들의 물리 모델은 필요가 없다. 대신에, 본 명세서에서 추가로 설명되는 바와 같이, 생성 모델은 (생성 모델의 파라미터가 학습될 수 있다는 점에서) 적절한 트레이닝 데이터 세트에 기초하여 학습될 수 있다. 본 명세서에서 추가로 설명되는 바와 같이, 이러한 생성 모델은 본 명세서에서 설명된 실시예들에 대해 여러가지 이점들을 갖는다.
생성 모델은 표본에 대한 설계 정보의 특징들을 결정하도록 구성된 2개 이상의 인코더 레이어를 포함한다. 생성 모델의 일 실시예가 도 2에서 도시된다. 예를 들어, 도 2에서 도시된 바와 같이, 생성 모델은 인코더 레이어(200, 202, 204)(또는 인코더 레이어 1~3)를 포함할 수 있다. 제1 인코더 레이어는 CAD 이미지(208)와 같은 설계 정보 또는 본 명세서에서 설명된 임의의 다른 설계 정보일 수 있는 입력 X(206)를 수신할 수 있다. 인코더 레이어들은 설계 정보로부터 특징(210)을 결정하도록 구성될 수 있다. 특징들은 입력으로부터 추론될 수 있고 본 명세서에서 추가로 설명되는 출력을 생성하는데 사용될 수 있는, 당 업계에 공지된 임의의 적절한 특징들을 포함할 수 있다. 예를 들어, 특징들은 픽셀 당 세기 값의 벡터를 포함할 수 있다. 특징들은 또한 본 명세서에서 설명된 임의의 다른 유형의 특징들, 예컨대, 스칼라 값의 벡터, 독립 분포의 벡터, 연합 분포(joint distribution), 또는 당 업계에 공지된 임의의 다른 적절한 특징 유형을 포함할 수 있다.
생성 모델은 또한 결정된 특징들로부터 하나 이상의 시뮬레이션된 이미지를 생성하도록 구성된 2개 이상의 디코더 레이어를 포함한다. 예를 들어, 도 2에서 도시된 바와 같이, 생성 모델은 디코더 레이어(212, 214, 216, 218, 220, 222)(또는 디코더 레이어 4~6 및 7~9)를 포함할 수 있다. 디코더 레이어는 시뮬레이션된 이미지(226)를 포함하는 출력 Y(224)를 생성할 수 있다. 하나 이상의 시뮬레이션된 이미지는 표본 상에 형성된 설계 정보가 이미징 시스템(또는 이미징 서브시스템)에 의해 생성된 표본의 하나 이상의 실제 이미지에서 어떻게 나타나는지를 보여준다. 예를 들어, 시뮬레이션된 이미지는 광학 이미지, 전자 빔 이미지, 또는 광학 이미징 시스템, 전자 빔 이미징 시스템, 또는 본 명세서에서 설명된 다른 유형의 이미징 시스템에 의해 생성된 다른 유형의 이미지에서 설계 정보가 표본 상에 형성될 때 어떻게 나타날 것인지를 보여준다.
일 실시예에서, 생성 모델은 심층적 생성 모델이다. 예를 들어, 생성 모델은 복수의 알고리즘 또는 변환을 수행하는 복수의 레이어들을 포함할 수 있다는 점에서 심층적 학습 아키텍처를 갖도록 구성될 수 있다. 생성 모델의 한 측 또는 양 측 상의 레이어의 개수는 본 명세서에서 설명된 도면에서 도시된 것과는 다를 수 있다. 예를 들어, 생성 모델의 인코더측 상의 레이어의 개수는 사용 경우에 따라 다르다. 또한, 디코더측 상의 레이어의 개수는 사용 경우에 따라 다르며, 인코더측 상의 레이어의 개수에 따라 달라질 수 있다(이것은, 본 명세서에서 추가로 설명되는 바와 같이, 디코더측이 투 패스(two pass) 디코더로서 구성된 경우, 또는 본 명세서에서 추가로 설명되는 바와 같이, 디코더측 상의 투 패스가 채널 차원(channel dimension)을 통해 단일 패스로서 결합되는 경우일 수 있다). 일반적으로, 생성 모델의 한 측 또는 양 측 상의 레이어의 개수는 중요하지 않으며 사용 경우에 따라 다르다. 실용적인 목적을 위해, 양 측 상의 레이어의 적절한 범위는 2개 레이어에서 수십개 레이어이다.
추가적인 실시예에서, 결정된 특징들로부터 설계 정보를 재생성하도록 2개 이상의 디코더 레이어가 구성된다. 이러한 방식으로, 디코더는 설계 정보를 재생성하고 하나 이상의 시뮬레이션된 이미지를 생성한다. 예를 들어, 생성 모델의 인코더 부분은 표현 X가 주어진 경우 특징들을 추론한다. 생성 모델의 디코더 부분은 특징들로부터 (X, Y)의 연합 확률(joint probability)을 생성한다. 예를 들어, 도 2에서 도시된 바와 같이, 디코더 레이어는 재생성된 설계 정보인 출력 X(228)를 생성할 수 있으며, 이는 도 2에서 도시된 실시예에서 CAD 이미지(230)이다.
일 실시예에서, 2개 이상의 디코더 레이어는 2개 이상의 디코더 레이어의 제1 및 제2 부분을 포함하고, 제1 및 제2 부분은 연합하여 구축된다. 예를 들어, 본 명세서에서 설명된 실시예들은 통상적으로 다루기 어려운 표현 변환 문제(예를 들어, 렌더링)를 해결하기 위해 연합 구축과 같은 심층적 학습 개념을 이용할 수 있다. 이러한 하나의 예시에서, 디코더측에서의 2개의 패스들(하나의 패스에서는 레이어 4~6이 구성되고, 다른 패스에서는 레이어 7~9가 구성됨)은 도 2의 점선들에 의해 도시된 바와 같이 연합하여 구축될 수 있다. 특히, 레이어 4와 레이어 7은 각각 특징(210)의 함수인 각자의 출력을 생성할 수 있다. 따라서, 레이어 4와 레이어 7은 하나의 입력(즉, 특징)만을 수신하고 레이어 당 하나의 출력만을 생성할 수 있다. 그러나, 디코더측 상의 다른 레이어들은 복수의 입력을 수신하고 단일 출력만을 생성할 수 있다. 예를 들어, 레이어 5와 레이어 8 둘 다로의 입력은 레이어 4와 레이어 7 둘 다의 출력일 수 있다. 레이어 5와 레이어 8은 레이어 4와 레이어 7의 출력에 대해 상이한 기능을 수행할 수 있다. 이러한 방식으로, 레이어 5와 레이어 8의 출력은 동일하지 않을 것이다. 또한, 레이어 6과 레이어 9 둘 다로의 입력은 레이어 5와 레이어 8 둘 다의 출력일 수 있다. 레이어 6과 레이어 9는 레이어 6과 레이어 9의 출력에 대해 상이한 기능을 수행할 수 있다. 이러한 방식으로, 레이어 6과 레이어 9의 출력은 또한 서로 동일하지 않을 것이다.
따라서, 레이어 4~6 및 레이어 7~9는 데이터 의존적일 수 있다. 특히, 본 명세서에서 설명된 일부 실시예들에서, 레이어 8의 출력은 레이어 4와 레이어 7로부터의 결과에 의존하고, 레이어 9의 출력은 레이어 4, 레이어 5, 레이어 7, 및 레이어 8로부터의 결과에 의존한다. 마찬가지 방식으로, 본 명세서에서 설명된 일부 실시예들에서, 레이어 5의 출력은 레이어 4와 레이어 7로부터의 결과에 의존하고, 레이어 6의 출력은 레이어 4, 레이어 5, 레이어 7, 및 레이어 8로부터의 결과에 의존한다.
이러한 방식으로, 레이어 6과 레이어 9의 출력은 동일하지 않을 것이다. 예를 들어, 레이어 9의 출력은, 도 2에서 도시된 바와 같이, Y일 수 있으며, 이는 본 명세서에서 추가로 설명되는 시뮬레이션된 이미지일 수 있다. 또한, 도 2에서 도시된 바와 같이, 레이어 6의 출력은 X일 수 있으며, 이는 재생성된 설계 정보, 예를 들어, 재생성된 CAD 이미지일 수 있다. 그러나, 레이어 6과 레이어 9의 출력은 출력 X 및 출력 Y를 생성하기 위해 몇가지 방식으로 결합될 수 있다. 예를 들어, 레이어 6과 레이어 9의 출력은 결합되어 Y를 생성할 수 있는데, 이는 본 명세서에서 추가로 설명되는 시뮬레이션된 이미지이다. 레이어 6과 레이어 9의 출력은 또한 결합되어 X를 생성할 수 있는데, 이는 재생성된 설계 정보이다.
이러한 방식으로, 본 명세서에 설명된 실시예들 중 일부는, 디코더 부분이 오리지널 입력의 연합 표현(예를 들어, 재생성된 설계 정보와 시뮬레이션된 이미지)을 생성할 수 있도록, 생성 모델에서 인코더와 디코더의 대칭성을 깨트린다. 달리 말하면, 인코더와 디코더가 대칭인 경우, 인코더는 설계 정보로부터 특징들을 생성하는 반면에 디코더는 특징들로부터 설계 정보를 생성할 것이다. 이러한 방식으로, 인코더와 디코더의 기능은 대칭적이며, X에서 시작하여 X에서 끝난다. 이 경우 대칭성을 깨뜨린다는 것은 X가 아닌 다른 것을 예측(렌더링)하도록 디코더를 구성하는 것을 의미한다. 본 명세서에서 설명된 실시예들에서, 디코더는 특징들(f)로부터 X와 Y 둘 다를 예측(렌더링)하도록 구성된다. 또한, 인코더와 디코더의 대칭성을 깨뜨리는 것은 인코더의 레이어 및 레이어 유형에 의해 디코더의 레이어 및 레이어 유형을 제약시키지 않음으로써 구현될 수 있다.
다른 실시예에서, 생성 모델은 컨볼루션(convolution) 및 디컨볼루션(deconvolution) 신경망이다. 예를 들어, 본 명세서에서 설명된 실시예들은 통상적으로 다루기 어려운 표현 변환 문제(예를 들어, 렌더링)를 해결하기 위해 컨볼루션 및 디컨볼루션 신경망과 같은 심층적 학습 개념을 이용할 수 있다. 생성 모델은 당 업계에 공지된 임의의 컨볼루션 및 디컨볼루션 신경망 구성 또는 아키텍처를 가질 수 있다.
일부 실시예들에서, 2개 이상의 인코더 레이어는 2개 이상의 컨볼루션 및 풀링(pooling) 레이어를 포함하고, 2개 이상의 디코더 레이어는 2개 이상의 디컨볼루션 및 업풀링(up-pooling) 레이어를 포함한다. 예를 들어, 도 2에서 도시된 실시예에서, 인코더 레이어 1~3은 컨볼루션 및 풀링 레이어로서 구성될 수 있는 반면에, 디코더 레이어 4~9는 디컨볼루션 레이어일 수 있다. 디코더 레이어는 또한 업풀링 레이어를 포함할 수 있다. 그러나, 인코더측과 디코더측 상에서 다른 레이어 유형도 가능하다. 예를 들어, 인코더측과 디코더측에 대한 가능한 레이어 유형은, 비제한적인 예시로서, 컨볼루션 레이어, 디컨볼루션 레이어, 완전 연결 레이어, 로컬 응답 정규화 레이어, 풀링 레이어, 업풀링 레이어 등을 포함한다. 인코더 레이어와 디코더 레이어에 포함된 레이어 유형은 당 업계에 공지된 임의의 적절한 구성을 가질 수 있다.
일 실시예에서, 2개 이상의 인코더 레이어는 설계 정보의 차원 축소(dimension reduction)에 의해 특징들을 결정한다. 예를 들어, 본 명세서에서 설명된 실시예들은 통상적으로 다루기 어려운 표현 변환 문제(예를 들어, 렌더링)를 해결하기 위해 차원 축소와 같은 심층적 학습 개념을 이용할 수 있다. 특히, 차원 축소는 입력 이미지(예를 들어, CAD)를 더 낮은 차원 특징들로 축소시킴으로써 생성 모델의 인코더 부분에 의해 수행된다. 또한, 인코더 레이어는 (a) 정보 내용을 압축하는 것, 및/또는 (b) 특징들을 추출하는 것에 의해, 본 명세서에서 설명된 기능을 수행할 수 있으며, 이는 당 업계에 공지된 임의의 적절한 방식으로 수행될 수 있다. 인코더의 복수의 레이어들은 또한 컨볼루션 신경망(convolutional neural network; CNN)이 행하는 것과 유사하게 계층적 로컬 특징들을 결정할 수 있다. 디코더의 복수의 레이어들은 특징 레이어에서 특징 값이 주어진 경우 계층적 특징들을 재구축할 수 있다.
일부 실시예들에서, 설계 정보는 CAD 데이터이다. 일부 실시예들을 CAD 또는 CAD 데이터 또는 이미지와 관련하여 본 명세서에서 설명할 수 있지만, 본 명세서에서 설명된 실시예들은 본 명세서에서 설명된 임의의 다른 설계 정보를 사용할 수 있음을 이해해야 한다.
일 실시예에서, 컴퓨터 서브시스템(들)은 생성 모델을 트레이닝하는데 사용되는 트레이닝 데이터세트를 생성하도록 구성되며, 트레이닝 데이터세트는 다른 설계 정보의 부분과 다른 설계 정보의 부분에 대해 생성된 실제 이미지의 쌍들의 세트를 포함한다. 다른 설계 정보는 본 명세서에서 설명된 임의의 설계 정보를 포함할 수 있다. 따라서, 컴퓨터 서브시스템(들)은 트레이닝 데이터세트를 준비할 수 있다. 이 단계에서, 트레이닝 데이터세트는 CAD와 실제 이미지의 정렬 및 이미지 잘라내기에 의해 생성되어, 정렬된 CAD와 실제 이미지(예컨대, SEM 또는 광학 이미지)의 쌍들의 집합체를 초래시킬 수 있으며, 여기서 "실제" 이미지는 물리적 표본(이에 대해 설계 정보가 형성됨)을 이미징하여 생성된 이미지이다. 특히, 도 3에서 도시된 바와 같이, CAD의 상이한 부분들(300) 및 이들에 대응하는 실제 이미지들(302)은 대응하는 CAD 부분들과 실제 이미지들의 쌍(304)을 생성하도록 정렬되고 잘라내기될 수 있다.
CAD는 바이너리 이미지로서 렌더링될 수 있으며, 불균일한 픽셀 크기는 정렬 전에 복원될 수 있다. 픽셀 크기는 하드웨어로부터의 이미지 왜곡으로 인해 "불균일"할 수 있다. 예를 들어, 전자 빔 이미지에서, 전자 빔의 불안정성으로 인해, 표본 상의 제1 위치에 있는 픽셀은 표본 상에서 10㎚ x 10㎚ 영역을 나타낼 수 있는 반면에, 표본 상의 제2 위치(제1 위치에 비교적 가까울 수 있음)에 있는 다른 픽셀은 표본 상에서 11㎚ x 11㎚ 영역을 나타낼 수 있고, 예상 픽셀 크기는 10.5㎚ x 10.5㎚이다.
설계 정보 및 실제 이미지의 부분들은 임의의 적절한 크기를 가질 수 있으며, 표본 상에 설계 정보를 형성하는데 이용되는 공정(들) 및/또는 표본 상에 형성된 설계 정보의 실제 이미지들을 생성하는데 이용되는 공정(들)의 특성에 따라 변할 수 있다. 예를 들어, 실제 이미지가 트레이닝을 위한 유용한 정보를 포함하도록 하기 위해, 실제 이미지의 유용한 크기에 대한 하한(lower limit)은 (예를 들어, 광학 실제 이미지의 경우에서 리소그래피 툴 및/또는 광학 이미징 툴의 점 확산 함수(point spread function; PSF)로 인해) 실제 이미지를 생성하는데 있어서 수반되는 광학 산란 효과에 기초하여 결정될 수 있다. 일부 예시들에서, 실제 이미지의 크기는 이미지 프레임 정도(예를 들어, 수천 개의 픽셀)에서 패치 이미지 정도(예를 들어, 수십 개의 픽셀)일 수 있다. 트레이닝 데이터세트에 포함된 설계 정보 부분과 실제 이미지의 쌍의 개수는 임의의 적절한 개수일 수 있으며, 사용 경우에 따라 달라질 수 있다.
다른 실시예에서, 컴퓨터 서브시스템(들)은 다른 설계 정보의 부분을 수정하여 다른 설계 정보의 부분에서 미리 결정된 결함을 생성하고 생성 모델을 트레이닝하는데 사용되는 트레이닝 데이터세트를 생성하도록 구성되며, 트레이닝 데이터세트는, 다른 설계 정보의 수정된 부분과 다른 설계 정보의 수정된 부분에 대해 생성된 실제 이미지의 쌍; 및 다른 설계 정보의 부분과 다른 설계 정보의 부분에 대해 생성된 실제 이미지의 다른 쌍을 포함할 수 있다. 예를 들어, 본 명세서에서 설명된 실시예들은 합성 결함 시뮬레이션(또는 "합성 결함 생성")을 위해 구성될 수 있다. 그러한 하나의 예시에서, 결함(예컨대, 돌출부)을 주입하기 위해 CAD를 수정하고, 그런 후 네트워크를 사용하여 CAD를 렌더링하고 이를 트레이닝 예제로서 추가함으로써 SEM 및 광학 시스템에 대한 트레이닝 데이터세트에 합성 결함을 주입할 수 있다. 설계 정보는 임의의 적절한 방식으로 수정되어 설계 정보에 합성 결함을 생성할 수 있다. 수정된 설계 정보 부분에 대해 실제 이미지가 생성되지 않았다면, 시뮬레이션된 이미지(생성 모델을 사용하여 본 명세서에서 설명된 바와 같이 생성될 수 있음)가 트레이닝 데이터세트에서 그 실제 이미지로서 사용될 수 있다. 임의의 하나의 설계 정보 부분은 상이한 합성 결함을 생성하기 위해 복수의 상이한 방식으로 수정될 수 있다. 또한, 설계 정보의 상이한 부분들은 설계 정보의 상이한 부분들 각각에서 동일하거나 상이한 합성 결함들을 생성하기 위해 동일하거나 상이한 방식으로 수정될 수 있다. 또한, 전술한 바와 같이, 수정된 설계 정보와 이미지 쌍은 수정되지 않은 설계 정보와 이미지 쌍과 결합되어 트레이닝 데이터세트를 생성할 수 있다. 트레이닝 데이터세트의 쌍에 포함된 이미지는 실제 이미지가 이용가능하지 않는 한, 바람직하게는 실제 이미지(또는 시뮬레이션되지 않은 이미지)이다. 이 실시예에서 사용되는 다른 설계 정보는 본 명세서에서 설명된 임의의 설계 정보를 포함할 수 있다.
일부 실시예들에서, 하나 이상의 컴퓨터 서브시스템은 2개 이상의 인코더 레이어 및 2개 이상의 디코더 레이어의 부분을 사전 트레이닝하도록 구성된다. 예를 들어, 컴퓨터 서브시스템(들)은 선택적 사항인 비지도(unsupervised) 사전 트레이닝을 위해 구성될 수 있다. 사전 트레이닝 단계의 목적은 CAD에 대한 컴팩트한 표현(즉, 특징)을 학습하는 것일 수 있다. 본 명세서에서 설명된 사전 트레이닝은 인코더측 상의 모든 레이어를 포함할 수 있지만 생성 모델의 디코더측 상의 모든 레이어를 포함하지는 않을 수 있다. 예를 들어, 사전 트레이닝은 디코더측 상의 레이어 4~6을 포함할 수 있지만, 디코더측 상의 레이어 7~9를 포함하지 않을 수 있다. 특히, 선택적 사항인 사전 트레이닝은 정확한 결과를 산출할 필요가 없다. 대신에, 레이어에 대한 근사적 파라미터들을 산출할 수 있으며, 이 파라미터들은 나중에 실제 트레이닝 중에 수정되거나 조정될 수 있다. 따라서, 레이어 4~6과 레이어 7~9 사이의 데이터 의존성을 무시함으로써, 사전 트레이닝을 통해 레이어 4~6에 대한 근사적 파라미터들을 산출할 수 있으며, 이는 생성 모델의 모든 레이어에 대해 나중에 수행되는 트레이닝을 단순화할 수 있다. 이러한 실시예들에서 수행된 사전 트레이닝은 본 명세서에서 추가로 설명되는 바와 같이 수행될 수 있다.
다른 실시예에서, 컴퓨터 서브시스템(들)은 트레이닝 데이터세트 내의 설계 정보에 대한 특징들을 학습함으로써 2개 이상의 인코더 레이어 및 2개 이상의 디코더 레이어의 부분을 트레이닝하도록 구성되며, 학습은 2개 이상의 인코더 레이어를 사용하여 트레이닝 데이터세트 내의 설계 정보에 대한 특징들을 추론하는 단계, 및 2개 이상의 디코더 레이어의 부분을 사용하여 상기 추론된 특징들로부터 트레이닝 데이터세트 내의 설계 정보를 재생성하는 단계를 포함한다. 예를 들어, 사전 트레이닝 단계에서, 생성 네트워크는 도 4에서 도시된 바와 같이, 입력 CAD를 추론하고 재생성하도록 트레이닝될 수 있다. (특징 레이어 아래의) 하부 좌측 절반과 더불어 (특징 레이어 위의) 상부 절반, 즉, 레이어 1~6은, 예컨대, 자동 인코더 또는 그 변형물과 같은 추가적인 컴포넌트를 사용하여 트레이닝된다. 특히, 사전 트레이닝 동안, 인코더 레이어 1(200)은 본 실시예에서 CAD 이미지(208)인 것으로 도시된 입력 X(206)을 수신할 수 있다. 인코더 레이어 1~3(200, 202, 204)은 입력으로부터 특징들(210)을 생성한다. 특징들은 디코더 레이어 4(212)에 입력된다. 디코더 레이어 4~6(212, 214, 216)은 이 실시예에서 CAD 이미지(230)인 출력 X(228)를 재생성한다. 이러한 방식으로, 인코더 레이어들은 설계 정보로부터 특징들을 생성할 수 있고, 이 특징들은 이후에 설계 정보를 재생성하기 위해 디코더 레이어들에 의해 사용될 수 있다. 입력된 설계 정보와 재생성된 설계 정보 간의 차이에 기초하여, 입력된 설계 정보가 재생성된 설계 정보와 실질적으로 일치할 때까지, 인코더 레이어들 및/또는 디코더 레이어들의 하나 이상의 파라미터가 수정될 수 있다. 이와 같이, 사전 트레이닝은 레이어 1~6 중 하나 이상에 대한 하나 이상의 파라미터를 산출할 수 있다. 하나 이상의 파라미터는 가중치와 같은 본 명세서에서 설명된 임의의 파라미터를 포함할 수 있다.
사전 트레이닝은, 하나 이상의 파라미터가 트레이닝 단계 중에 수행된 트레이닝에 의해 추가로 수정되는 트레이닝 단계가 뒤따를 수 있다는 점에서 선택적 사항이다. 그러나, 사전 트레이닝 단계는, 사전 트레이닝에서 수반되는 인코더 레이어들 및 디코더 레이어들의 부분의 하나 이상의 파라미터가 사전 트레이닝 후에 실질적인 수정을 필요로 하지 않을 수 있기 때문에, 트레이닝 단계에서 수반되는 시간과 노력을 유리하게 감소시킬 수 있다.
도 4에서 도시된 바와 같이, 디코더 레이어 7~9는 사전 트레이닝 이전에 생성 모델에 포함될 수 있지만, 디코더 레이어 7~9는 사전 트레이닝에 수반되지 않는다. 이러한 방식으로, (도 4에서 디코더 레이어 7~9가 레이어 4~6 및 특징들(210)에 연결되지 않은 것으로 도시된 것에 의해 나타난 바와 같이) 디코더 레이어 7~9는 사전 트레이닝 동안 출력 Y(224)를 생성하지 않고, 사전 트레이닝 동안 출력 X(228)를 생성하는데 기여하지 않는다.
그러한 하나의 실시예에서, 트레이닝은 비지도(unsupervised)된다. 예를 들어, 본 명세서에서 설명된 실시예들은 통상적으로 다루기 어려운 표현 변환 문제(예를 들어, 렌더링)를 해결하기 위해 비지도 학습과 같은 심층적 학습 개념을 이용할 수 있다. 특히, 도 4에서 도시된 바와 같이, (특징 레이어 아래의) 하부 좌측 절반과 더불어 (특징 레이어 위의) 상부 절반, 즉, 레이어 1~6은, 예컨대, 자동 인코더 또는 그 변형물과 같은 추가적인 컴포넌트를 사용하여 비지도 방식으로(즉, 어떠한 라벨렝도 없이) 트레이닝된다. 특히, 이 트레이닝은 트레이닝을 위해 어떠한 예상된 특징들이나 또는 특징들의 예상값들이 제공되지 않는다는 점에서 비지도된다.
그러한 다른 실시예에서, 하나 이상의 컴포넌트는 추가적인 컴포넌트를 포함하고, 트레이닝은 추가적인 컴포넌트를 사용하여 수행된다. 그러한 실시예들에서, 추가적인 컴포넌트는, 자동 인코더, 적층형 자동 인코더, 규칙화(L0, L1, L2, L_무한대를 비롯한 임의의 규칙화)를 갖는 자동 인코더, 디노이징(denoising) 자동 인코더, 적층형 디노이징 자동 인코더, 희소(sparse) 자동 인코더, 변분(variational) 자동 인코더, 특징들의 분포(본 명세서에서 추가로 설명되는 임의의 분포들)를 학습하도록 구성된 자동 인코더, 제한형 볼쯔만 머신(Restricted Boltzmann Machine; RBM), 특징들의 분포를 학습하도록 구성된 RBM(특히 베르누이 RBM 및 평균 공분산 RBM, 가능한 분포들은 본 명세서에서 추가로 설명되는 임의의 분포들을 포함함), 게이트형 마르코프 랜덤 필드(Markov Random Field; 게이트형 MRF), 심층적 볼쯔만 머신, 심층적 빌리프 네트워크, 컨볼루션 RBM, 컨볼루션 자동 인코더, 컨볼루션 신경망, 순환 신경망(recurrent neural network; RNN), 롱 솟텀(long short-term) 메모리, 생성적 적대 네트(generative adversarial net), 또는 이들의 몇몇 조합을 포함한다. 예를 들어, 본 명세서에서 설명된 실시예들은 통상적으로 다루기 어려운 표현 변환 문제(예를 들어, 렌더링)를 해결하기 위해 변분 자동 인코더와 같은 심층적 학습 개념을 이용할 수 있다. 변분 자동 인코더는 심층적 학습 및 변분 추론의 장점을 취하고 생성 모델링에서 상당한 진보를 이끄는 컴포넌트이다. 본 명세서에서 설명된 실시예들에서 사용될 수 있는 적층형 자동 인코더들의 예시들은 벤지오(Bengio) 등의 "Greedy layer-wise training of deep networks"[신경 정보 처리 시스템의 진보 19(NIPS'06), pp. 153~160, MIT 출판, 2007년]에 기재되어 있으며, 이 내용 전체는 본 명세서에서 완전히 기재된 것처럼 참조로서 원용된다. 본 명세서에서 설명된 실시예들에서 사용될 수 있는 적층형 디노이징 자동 인코더들의 예시들은 빈센트(Vincent) 등의 "Extracting and composing robust features with denoising autoencoders"[머신 학습에 관한 제25회 국제회의의 회보, pp.1096~1103, 2008년 7월 05~09, 핀란드 헬싱키]에 기재되어 있으며, 이 내용 전체는 본 명세서에서 완전히 기재된 것처럼 참조로서 원용된다. 본 명세서에서 설명된 실시예들에서 사용될 수 있는 게이트형 MRF의 예시들은 란자토(Ranzato) 등의 "Generating more realistic images using gated MRF’s"[신경 정보 처리 시스템의 진보 23(NIPS'06), pp. 2002~2010, 2010년]에 기재되어 있으며, 이 내용 전체는 본 명세서에서 완전히 기재된 것처럼 참조로서 원용된다. 트레이닝 또는 사전 트레이닝에 사용되는 모든 변형들에서의 추가적인 컴포넌트는 당 업계에 공지된 임의의 적절한 구성을 가질 수 있다.
특징들이 추론되거나 학습되는 본 명세서에서 설명된 임의의 실시예들에서, 특징들은 스칼라 값의 벡터, 독립 분포의 벡터, 또는 연합 분포를 포함할 수 있다. 일부 실시예들에서, 학습된 특징들은 독립 분포의 벡터를 포함하고, 독립 분포의 벡터는 베르누이, 이항, 다항, 포아송 이항, 베타 이항, 다항, 볼쯔만, 포아송, 콘웨이 맥스웰 포아송, 베타, 가우시안/노멀, 스큐 노멀, 레일리, 라플라스, 감마, 파레토, 또는 스튜던트 t 분포들을 포함한다. 다른 실시예에서, 학습된 특징들은 연합 분포를 포함하고, 연합 분포는 다변량 가우시안/노멀, 다변량 스튜던트 t, 디리클레, 행렬 가우시안/노멀, 또는 행렬 t 분포들을 포함한다. 그러나, 특징들은 당 업계에 공지된 임의의 다른 적절한 유형의 특징들을 포함할 수 있다. 상이한 유형의 특징들이 당 업계에 공지된 임의의 적절한 방식으로 학습되거나 추론될 수 있다.
일 실시예에서, 컴퓨터 서브시스템(들)은 2개 이상의 디코더 레이어의 부분 및 2개 이상의 인코더 레이어를 사전 트레이닝하고, 사전 트레이닝에 후속하여, 2개 이상의 인코더 레이어, 2개 이상의 디코더 레이어의 부분, 및 2개 이상의 디코더 레이어의 다른 부분을 트레이닝하도록 구성된다. 다른 실시예에서, 2개 이상의 디코더 레이어는 2개 이상의 디코더 레이어의 제1 및 제2 부분을 포함하고, 하나 이상의 컴퓨터 서브시스템은 제1 및 제2 부분을 연합하여 트레이닝하도록 구성된다. 예를 들어, 컴퓨터 서브시스템(들)은 전이 학습(transfer learning) 및 연합 트레이닝(joint training)을 위해 구성될 수 있다. 그러한 하나의 예시에서, 사전 트레이닝 이후, 도 2에서 도시된 바와 같이, 레이어 1~6에 대해 학습된 가중치가 연합 트레이닝 네트워크에서 레이어 7~9에 전이되어 사용될 수 있다. 이러한 방식으로, 연합 트레이닝은 특징(f)에 대한 X 및 Y의 연합 확률을 학습하기 위해 추가적인 컴포넌트(레이어 1~3 및 4~6을 트레이닝시키기 위함) 및 회귀(레이어 1~3 및 7~9를 트레이닝시키기 위함)를 사용하여 수행될 수 있다. 생성 네트워크는 렌더링된 CAD가 주어지면 동시에 설계 정보와 시뮬레이션된 이미지를 추론하고 생성하도록 트레이닝된다. 이러한 방식으로, 레이어 4~6 및 레이어 7~9는 함께 트레이닝될 수 있다.
달리 말하면, 레이어 4~6은 레이어 1~3에 의존하고, 레이어 7~9이 또한 레이어 1~3에 의존한다. 레이어 1~3이 레이어 7~9와 함께 트레이닝되면, 사전 트레이닝된 레이어 4~6이 바람직하게 업데이트된다. 특히, 레이어 7~9의 트레이닝은 레이어 4~6의 파라미터에 의존하기 때문에, 레이어 4~6 및 7~9는 함께 트레이닝되는 것이 바람직하다. 또한, 본 명세서에서 추가로 설명되는 바와 같이, 디코더측 상의 2개의 패스들, 즉 레이어 4~6과 레이어 7~9는 연대적으로 구축될 수 있다. 따라서, 레이어 4~6은 바람직하게는 레이어 7~9와의 데이터 의존성으로 인해 (사전 트레이닝에 더하여) 다시 트레이닝된다. 마찬가지로, 본 명세서에서 추가로 설명되는 채널 차원을 통한 원 패스(one pass) 구현이 사용되는 경우, 레이어 4~6은 바람직하게는 레이어 7~9와의 데이터 의존성으로 인해 (사전 트레이닝에 더하여) 다시 트레이닝된다. 이러한 방식으로, 레이어 4~6을 수반하는 사전 트레이닝은 특징들에 대한 "양호한" 인코더(즉, 특징 추출기)를 생성하기 위해 레이어 1~3을 사전 트레이닝하는 것일 수 있다. 레이어 4~6을 수반하는 후속 트레이닝은 레이어 7~9와의 연합 트레이닝이다. 이 두번째 트레이닝은 표현 X와 Y를 예측하는 디코더를 학습하는 것이며, 또한 사전 트레이닝된 인코더를 미세 조정하는 것이다.
사전 트레이닝은 여러 개의 에포크(epoch)(하나의 에포크는 트레이닝 데이터세트를 통한 한 번의 실행을 수반함)에 대한 트레이닝 데이터세트에 대해 수행될 수 있다. 연합 트레이닝이 또한 여러 개의 에포크에 대한 트레이닝 데이터세트에 대해 수행될 수 있다. 트레이닝 데이터세트의 측면에서, 사전 트레이닝 및 연합 트레이닝은 동일한 트레이닝 데이터세트를 사용한다.
일부 실시예들에서, 하나 이상의 컴퓨터 서브시스템은 트레이닝 데이터세트 내의 설계 정보에 대한 특징들을 학습함으로써 2개 이상의 인코더 레이어 및 2개 이상의 디코더 레이어를 트레이닝하도록 구성되며, 학습은, 2개 이상의 인코더 레이어를 사용하여 트레이닝 데이터세트 내의 설계 정보에 대한 특징들을 추론하는 단계, 2개 이상의 디코더 레이어를 사용하여 상기 추론된 특징들로부터 트레이닝 데이터세트 내의 설계 정보를 재생성하는 단계, 2개 이상의 디코더 레이어를 사용하여 상기 추론된 특징들로부터 트레이닝 데이터세트 내의 설계 정보에 대한 시뮬레이션된 이미지를 생성하는 단계, 및 트레이닝 데이터세트 내의 설계 정보에 대한 시뮬레이션된 이미지가 트레이닝 데이터세트 내의 설계 정보에 대한 실제 이미지와 일치할 때까지 2개 이상의 디코더 레이어의 하나 이상의 파라미터를 수정하는 단계를 포함한다. 이러한 방식으로, 컴퓨터 서브시스템(들)은 전이 학습을 위해 구성될 수 있다. 트레이닝 데이터세트 내의 설계 정보에 대한 특징들을 추론하는 단계 및 추론된 특징들로부터 트레이닝 데이터세트 내의 설계 정보를 재생성하는 단계는 본 명세서에서 추가로 설명되는 바와 같이 수행될 수 있다. 예를 들어, 레이어 1~6은 재생성된 설계 정보가 오리지널 설계 정보와 일치할 때까지 특징들을 추론하고 설계 정보를 재생성하는데 사용될 수 있다.
이 단계는 사전 트레이닝 단계에서 수행될 수 있다. 이것은 또한 또는 대안적으로 트레이닝 단계에서 수행될 수 있다. 예를 들어, 컴퓨터 서브시스템(들)이 본 명세서에서 설명된 바와 같이 사전 트레이닝을 수행하는 경우, 추가적인 디코더 레이어가 트레이닝되면서 트레이닝 동안 특징들이 추론될 수 있고 설계 정보가 추론된 특징들로부터 재생성될 수 있다. 대신에, 컴퓨터 서브시스템(들)이 레이어 1~6의 사전 트레이닝을 위해 구성되지 않은 경우, 트레이닝은 레이어 7~9가 또한 트레이닝되면서 레이어 1~6을 트레이닝하는 것을 포함할 수 있다. 달리 말하면, 사전 트레이닝이 수행되는지 여부에 관계없이, 생성 모델의 트레이닝은 레이어 1~6을 트레이닝 또는 재트레이닝하는 것을 포함할 수 있다.
설계 정보로부터 특징들이 추론되고 추론된 특징들로부터 설계 정보가 재생성되는 동안, 시뮬레이션된 이미지가 추론된 특징들로부터 본 명세서에서 기술된 바와 같이 생성될 수 있다. 시뮬레이션된 이미지는 실제 이미지와 비교될 수 있고, 시뮬레이션된 이미지와 실제 이미지 사이의 임의의 차이가 결정되어 디코더 레이어의 하나 이상의 파라미터를 수정하는데 사용될 수 있다. 이러한 방식으로, 시뮬레이션된 이미지의 생성, 시뮬레이션된 이미지와 실제 이미지의 비교, 및 생성 모델의 파라미터의 수정은 시뮬레이션된 이미지가 실제 이미지와 일치할 때까지 반복적으로 수행될 수 있다. 이 실시예에서 수정된 생성 모델의 파라미터는 본 명세서에서 설명된 임의의 파라미터를 포함할 수 있다.
그러한 일부 실시예들에서, 트레이닝은 지도(supervised)된다. 예를 들어, 본 명세서에서 설명된 실시예들은 통상적으로 다루기 어려운 표현 변환 문제(예를 들어, 렌더링)를 해결하기 위해 지도 학습과 같은 심층적 학습 개념을 이용할 수 있다. 특히, 레이어 1~3 및 7~9를 트레이닝 하기 위해 수행된 회귀는, 답변(예를 들어, 시뮬레이션된 이미지가 실질적으로 유사해지게 될 대상인 SEM 이미지와 같은 실제 이미지)이 제공되기 때문에 지도된 회귀일 수 있다.
그러한 추가적인 실시예에서, 하나 이상의 파라미터는 가중치를 포함한다. 예를 들어, 본 명세서에서 설명된 실시예들에 의해 수정된 생성 모델의 하나 이상의 파라미터는 트레이닝가능한 가중치를 갖는 생성 모델의 임의의 레이어에 대한 하나 이상의 가중치를 포함할 수 있다. 그러한 하나의 예시에서, 가중치는 컨볼루션 레이어에 대한 가중치를 포함할 수 있지만 풀링 레이어에 대한 가중치를 포함하지는 않을 수 있다.
일 실시예에서, 2개 이상의 인코더 레이어는 고정된다. 다른 실시예에서, 2개 이상의 인코더 레이어는 트레이닝가능하다. 예를 들어, 레이어 1~3은 (사용 경우에 따라) 고정되거나 트레이닝가능할 수 있다.
연합 트레이닝 네트워크에서의 학습된 가중치는 학습된 모델을 나타내며, 이 학습된 모델은 나중에 타겟 시스템, 예를 들어, 본 명세서에서 설명된 이미징 시스템 중 하나에 설치될 수 있다. 시스템 런타임에서, 본 명세서에서 설명된 렌더링된 CAD 또는 다른 설계 정보는, 도 2에서 도시된 바와 같이, 시뮬레이션된 이미지를 생성하기 위해 학습된 모델에서 레이어 1~3 및 7~9에 의해 제공되고 처리된다. 이러한 방식으로, 본 명세서에서 설명된 연합 트레이닝은 (즉, 비지도 트레이닝을 통해) 특징 추론과 및 (즉, 지도된 트레이닝을 통해) 회귀를 동시에 해결하는 새로운 기술이며, 이는 이 표현 변환 문제를 실제로 해결할 수 있게 한다.
일 실시예에서, 2개 이상의 디코더 레이어는 제1 패스에서 구성된 2개 이상의 디코더 레이어의 제1 부분 및 제2 패스에서 구성된 2개 이상의 디코더 레이어의 제2 부분을 포함한다. 그러한 하나의 예시에서, 디코더측에서의 2개의 패스들(레이어 4~6 및 레이어 7~9)은 도 2의 점선들에 의해 도시된 바와 같이 연합하여 구축될 수 있다. 이러한 방식으로, 도 2의 실시예는 연합된 투 패스 구현을 도시한다. 투 패스 구성은 당 업계에 공지된 임의의 적절한 아키텍처를 가질 수 있다. 본 명세서에서 설명된 바와 같이, 디코더 레이어의 상이한 부분들은 데이터 의존적이며, 이에 따라, 생성 모델의 디코더 부분이 투 패스 디코더로서 구성될 수 있지만, 실제로 2개의 패스들은 동시에 수행된다(즉, 하나의 패스는 다른 패스의 수행에 이어서 수행되지 않는다).
다른 실시예에서, 2개 이상의 디코더 레이어는 채널 구성을 통해 단일 패스로서 구성된 2개 이상의 디코더 레이어의 제1 및 제2 부분을 포함한다. 예를 들어, 도 5에서 도시된 바와 같이, 디코더측에서의 연합된 2개의 패스들은 가중치의 채널 차원을 통해 단일 패스로서 구현될 수 있다. 달리 말하면, 연합된 투 패스 디코더는 가중치의 채널 차원을 통해 원 패스 디코더로서 등가적으로 구현될 수 있고, 결과적인 X 및 Y는 상이한 채널들에서 폴딩(fold)된다. 이러한 방식에서, 채널 폴딩은 본 명세서에서 설명된 투 패스 구성과 수학적으로 동일한데, 그 이유는, 레이어 5 및 레이어 8의 일례에서, 레이어 5 및 레이어 8은 자신들의 입력들(및 출력들)을 디컨볼루션 레이어의 입력(및 출력) 채널 차원으로 함께 폴딩함으로써 단일 레이어로서 함께 결합될 수 있기 때문이다. 이 경우, 선택적 사항의 사전 트레이닝에 의해 생성된 사전 트레이닝 가중치는 대응하는 채널에 전이될 수 있다. 이러한 방식으로, 도 5는 채널 차원을 통한 대안적인 원 패스 구현을 도시한다.
특히, 도 5에서 도시된 바와 같이, 생성 모델의 인코더측은 본 명세서에 설명된 바와 같이 구성될 수 있다. 그러나, 도 5에서 도시된 생성 모델의 디코더측은 본 명세서에 설명된 생성 모델의 다른 실시예들과는 상이할 수 있다. 예를 들어, 디코더 레이어(500)에 의해 도시된 바와 같이, 디코더 레이어 4 및 디코더 레이어 7은 본 명세서에서 추가로 설명되는 레이어 4 및 레이어 7과 동일한 기능을 수행하는 단일 레이어으로서 함께 결합될 수 있다. 또한, 디코더 레이어 5 및 디코더 레이어 8은 본 명세서에서 추가로 설명되는 레이어 5 및 레이어 8과 동일한 기능을 수행하는 단일 레이어, 즉 디코더 레이어(502)로서 함께 결합될 수 있다. 또한, 디코더 레이어 6 및 디코더 레이어 9는 본 명세서에서 추가로 설명되는 레이어 6 및 레이어 9와 동일한 기능을 수행하는 단일 레이어, 즉 디코더 레이어(504)로서 함께 결합될 수 있다. 이러한 방식으로, 도 5에서 도시된 실시예에 포함된 최종 디코더 레이어, 예를 들어, 디코더 레이어(504)의 출력은 출력 X(228)(이 경우에서는 CAD 이미지(230)인 것으로 도시됨), 및 출력 Y(224)(이 경우에서는 시뮬레이션된 이미지(226)로서 도시됨) 둘 다를 포함할 수 있다.
도 5에서 도시된 실시예는 본 명세서에서 추가로 설명되는 바와 같이 출력 X 및 Y를 생성하도록 구성될 수 있다. 컴퓨터 서브시스템(들)은 본 명세서에서 추가로 설명되는 바와 같이 도 5에서 도시된 생성 모델의 실시예를 트레이닝(및 선택적으로 사전 트레이닝)하도록 구성될 수 있다.
추가적인 실시예에서, 공정이 표본에 대해 수행된 후 이미징 시스템에 의해 표본에 대해 하나 이상의 실제 이미지가 생성되며, 공정은 리소그래피 공정이 아닌 최종 제조 공정 단계를 포함한다. 예를 들어, 본 명세서에서 설명된 실시예들은 다양한 학습이 가능하다. 그러한 하나의 예시에서, 본 명세서에서 설명된 실시예들은 실제 이미지에서 보여질 수 있는 주요 사후 리소그래피 효과를 재생성하는 복잡하고 다양한 암시적 모델을 학습할 수 있다. 이러한 방식으로, 본 명세서에서 설명된 실시예들은 사후 리소그래피 피처들을 학습하기 위한 심층적 생성 모델을 사용하도록 구성될 수 있다. 특히, 본 명세서에서 설명된 실시예들은 에칭 및 화학적 기계적 폴리싱(CMP)과 같은 사후 리소그래피 공정에 기인한 표본 상의 패터닝된 피처들에서(및 이에 따라 패터닝된 피처들의 이미지에서) 존재할 수 있는 변동들을 시뮬레이션하는데 사용될 수 있는데, 이러한 변동들은 표본 상의 패터닝된 피처들의 이미지 생성에 수반되는 공정들(광학적 또는 기타) 및/또는 표본 상의 패터닝된 피처들의 인쇄에 수반되는 광학 공정들만을 시뮬레이션할 수 있는 모델에 의해서는 시뮬레이션될 수 없다. 이러한 방식으로, 본 명세서에서 설명된 실시예들은 에칭 및 CMP 단계에서 야기된 패터닝된 피처들(및 이에 따라 패터닝된 피처들의 이미지)의 체계적인 공정 왜곡을 시뮬레이션하도록 구성될 수 있다.
에칭 및 CMP와 같은 비 리소그래피 공정 단계가 표본에 대해 수행된 후 이미징 시스템에 의해 생성될 표본의 실제 이미지와 동등한 시뮬레이션된 이미지를 생성하는 능력을 갖는 것은 중요한데, 왜냐하면 이러한 공정들은 표본 상에 형성된 패터닝된 피처들에 상당한 영향을 미칠 수 있기 때문이다. 이와 같이, 검사, 결함 검토, 계측 등과 같은 목적의 이러한 공정들 이후 패터닝된 피처들의 실제 이미지를 생성하는 것은 상당히 빈번하게 수행된다. 그러므로, 이러한 공정들 이후에 생성된 실제 이미지와 함께 사용될 수 있는 본 명세서에서 설명된 바와 같은 시뮬레이션된 이미지를 갖는 것은 본 명세서에서 추가로 설명된 것과 같은 용도, 예를 들어, 검사, 정렬, 칼리브레이션, 결함 리뷰, 계측 등에 특히 중요하다.
본 명세서에서 설명된 생성 모델은 특정 표본(예를 들어, 특정 웨이퍼 또는 레티클), 공정, 및 이미징 파라미터에 대해 생성될 수 있다. 달리 말해서, 본 명세서에서 설명된 생성 모델은 표본 특이적, 공정 특이적, 및 이미징 파라미터 특이적일 수 있다. 이러한 방식으로, 상이한 생성 모델이 상이한 웨이퍼 레이어에 대해 생성될 수 있다. 또한, 상이한 생성 모델은 상이한 세트의 이미징 파라미터(예를 들어, 상이한 이미징 모드)에 대해 생성되어야 할 것이다. 상이한 모델들 각각은 상이한 트레이닝 데이터 세트들로 생성될 수 있다. 상이한 트레이닝 데이터 세트 각각은 본 명세서에서 추가로 설명되는 바와 같이 생성될 수 있다.
일 실시예에서, 하나 이상의 시뮬레이션된 이미지 중 적어도 하나는 하나 이상의 시뮬레이션된 이미지에 대한 하나 이상의 통계를 나타낸다. 특히, 하나 이상의 시뮬레이션된 이미지는 시뮬레이션된 이미지(들)에서의 각 픽셀의 특성(예컨대, 세기)에 대해 상이한 그레이 레벨이 상이한 통계 값(예컨대, 평균 또는 표준 편차)에 대응하는 이미지(들)일 수 있다. 예를 들어, 본 명세서에서 설명된 실시예들은 통계로부터 실질적으로 사실적인 렌더링을 하도록 구성될 수 있다. 특히, 학습된 암시적 모델은 트레이닝 데이터세트의 통계를 나타내며, 중요한 통계(예컨대, 평균(각 픽셀에 대한 평균 추정치) 및 그레이 레벨의 표준 편차(각 픽셀에서의 분산 또는 신뢰도 확산))를 생성/예측할 수 있다. 따라서, 본 명세서에서 설명된 실시예들은 시뮬레이션된 이미지에 대한 픽셀 값뿐만이 아니라 픽셀 값의 분포 및 불확실성을 생성할 수 있다. 이러한 방식으로, 본 명세서에서 설명된 실시예들은 본 명세서에서 설명된 것과 같은 시뮬레이션된 이미지를 생성하기 위한 다른 방법 및 시스템과는 상이한데, 왜냐하면, 실시예들에 포함되거나 실시에들에 의해 사용되는 생성 모델은 단지 예측뿐만이 아니라 이들 예측들의 신뢰도를 생성할 수 있기 때문이다.
하나 이상의 통계는 베르누이, 이항, 다항, 포아송 이항, 베타 이항, 베타 다항, 볼쯔만, 포아송, 콘웨이 맥스웰 포아송, 베타, 가우시안/노멀, 스큐 노멀, 레일리, 라플라스, 감마, 파레토, 스튜던트 t, 다변량 가우시안/노멀, 다변량 스튜던트 t, 디리클레, 행렬 가우시안/노멀, 또는 행렬 t 분포로 구성된 그룹으로부터 선택된 하나 이상의 분포를 포함할 수 있다. 또한, 통계는 이러한 통계 예시로 한정되지 않고, 당 업계에 공지된 임의의 다른 적절한 통계를 포함할 수 있다. 이러한 통계 및 분포는 당 업계에 공지된 임의의 적절한 방식으로 계산될 수 있다.
다른 실시예에서, 하나 이상의 시뮬레이션된 이미지를 생성하는 단계는 결정된 특징들로부터 하나 이상의 시뮬레이션된 이미지를 직접 유도하는 단계를 포함한다. 예를 들어, 특수한 경우로서, Y가 X로부터 직접 유도된 특징들(예를 들어, 엣지, 윤곽, 분할 경계 등)인 경우, Y는 "X의 특징" 또는 "X 특징"로서 정의될 수 있다. 따라서, 연합 확률 p(X, Y) 또는 p(X, X 특징)는 p(X 특징)의 확률만에 의해 근사화될 수 있다. 이러한 대안적인 구현은 주어진 CAD 이미지 또는 다른 설계 정보에 대응하는 SEM 이미지의 윤곽 렌더링과 같이 다소 사소한(그러나 중요한) 사용 경우에 유용할 수 있다. 이러한 경우, 재생성된 설계 정보와 시뮬레이션된 이미지의 연합 확률을 학습하는 것은 반드시 필요한 것은 아니다. 따라서, 본 명세서에서 설명된 연합 트레이닝은 도 6에서 도시된 바와 같이 단순화될 수 있다.
특히, 도 6에서 도시된 바와 같이, 생성 모델의 인코더측은 본 명세서에 설명된 바와 같이 구성될 수 있다. 그러나, 도 6에서 도시된 생성 모델의 디코더측은 본 명세서에 설명된 생성 모델의 다른 실시예들과는 상이할 수 있다. 예를 들어, 도 6에서 도시된 바와 같이, 생성 모델의 디코더 부분은 디코더 레이어(600, 602, 604)(디코더 레이어 7~9)를 포함한다. 이들 디코더 레이어는 본 명세서에서 설명된 생성 모델의 다른 모든 실시예들에 의해 생성된 출력과는 상이한 출력을 생성한다. 특히, 도 6에서 도시된 바와 같이, 디코더 레이어는 이 실시예에서 시뮬레이션된 이미지(608)를 포함하는 X 특징(606)을 생성한다. 특히, 상기에서 추가로 설명된 바와 같이, 시뮬레이션된 이미지가 설계 정보의 특징들로서 추정될 수 있는 경우, 디코더 레이어는 표본 상에 형성된 설계 정보를 위한 이미징 시스템 또는 서브시스템에 의해 생성될 실제 이미지의 비교적 양호한 추정치인 X 특징 또는 "X의 특징"을 출력할 수 있다.
일 실시예에서, 이미징 시스템은 하나 이상의 실제 이미지 및 하나 이상의 시뮬레이션된 이미지를 획득하고, 하나 이상의 실제 이미지를 하나 이상의 시뮬레이션된 이미지에 비교함으로써 표본 상의 결함을 검출하도록 구성된다. 예를 들어, 본 명세서에서 설명된 실시예들은 마스크 및 웨이퍼 검사 및 계측을 위한 다이 투 데이터베이스(die-to-database) 결함 검출 알고리즘을 개선하기 위해 "골든(golden)" 또는 "표준" 레퍼런스를 생성하는데 사용될 수 있다. 특히, 실제 이미지(들)은 시뮬레이션된 이미지(들)과 비교될 수 있고, 이에 의해 차이 이미지(들)을 생성할 수 있다. 차이 이미지(들)의 어느 부분이 결함 또는 잠재적인 결함에 대응하는지를 결정하기 위해, 임계 또는 결함 검출 알고리즘 및/또는 방법이 차이 이미지(들)에 적용될 수 있다.
이러한 방식으로, "골든" 또는 "표준" 레퍼런스, 즉 시뮬레이션된 이미지(들)이 표본에 대한 설계 정보로부터 생성될 수 있다. 이러한 "골든" 또는 "표준" 레퍼런스를 생성하고 사용하는 능력을 갖는 것은 표본에 관한 설계 정보를 형성하는데 사용되는 공정들이 순방향 또는 판별 모델을 사용하여 전혀 예측될 수 없거나 또는 아주 잘 예측될 수 없는 경우에 특히 중요할 수 있다. 또한, (예컨대, 표본에 관한 설계 정보의 적절하게 형성된 인스턴스에 관한 지식 부족으로 인해 및/또는 단일 다이 레티클에서와 같이, 표본에 관한 설계 정보의 단하나의 인스턴스만이 형성된 경우) "골든" 또는 "표준" 레퍼런스가 표본 자체로부터 생성될 수 없는 경우, 이러한 "골든" 또는 "표준" 레퍼런스를 생성하는 것이 특히 중요할 수 있다.
다른 실시예에서, 이미징 시스템은 하나 이상의 시뮬레이션된 이미지를 사용하여 이미징 시스템의 칼리브레이션을 수행하도록 구성된다. 예를 들어, 본 명세서에서 설명된 실시예들은 소프트웨어 칼리브레이션을 위한 "골든" 또는 "표준" 레퍼런스를 생성하는데 사용될 수 있다. 그러한 하나의 예시에서, 시뮬레이션된 이미지(들)은 이미징 시스템에 의해 생성된 실제 이미지(들)과 비교될 수 있다. 시뮬레이션된 이미지(들)과 실제 이미지(들) 사이의 임의의 차이는 이미징 시스템이 예상대로 수행되는지를 결정하는데 사용될 수 있다. 그런 후, 시뮬레이션된 이미지(들)과 실제 이미지(들) 사이에 차이가 없을 때 까지(또는 사실상 없을 때 까지, 예컨대, 최소한의 차이 또는 무시가능한 차이가 있을 때 까지) 이미징 시스템의 하나 이상의 파라미터는 시뮬레이션된 이미지(들)와 실제 이미지(들) 사이의 차이에 기초하여 수정될 수 있다. 이 때, 이미징 시스템은 적절하게 칼리브레이션될 것이다.
추가적인 실시예에서, 이미징 시스템은 하나 이상의 시뮬레이션된 이미지에 대한 하나 이상의 실제 이미지의 정렬을 수행하도록 구성된다. 예를 들어, 본 명세서에서 설명된 실시예들은 하드웨어 및/또는 소프트웨어 정렬을 위한 "골든" 또는 "표준" 레퍼런스를 생성하는데 사용될 수 있다. 예를 들어, 본 명세서에서 설명된 바와 같이 생성된 시뮬레이션된 이미지(들)은 이미징 시스템에 의해 생성된 실제 이미지(들)에 정렬될 수 있다. 시뮬레이션된 이미지(들)이 실제 이미지(들)에 정렬되면, 실제 이미지(들)이 표본의 설계 정보에 효과적으로 정렬될 것이다. 이러한 방식으로, 본 명세서에서 설명된 시뮬레이션된 이미지(들)은 설계를 검사 시스템 출력에 정렬시키는데 사용될 수 있다. 이러한 정렬은 2009년 8월 4일자로 허여되고 자파(Zafar) 등에 의해 공동 소유된 미국 특허 제7,570,796호, 및 2010년 3월 9일에 허여되고 쿨카르니(Kulkarni) 등에 의해 공동 소유된 미국 특허 제7,676,077호에서 기재된 것과 같은 여러 목적들로 사용될 수 있으며, 이들 특허들은 본 명세서에서 완전히 설명된 것처럼 참고 문헌으로서 본 명세서 내에 원용된다. 본 명세서에서 설명된 실시예들은 이 특허들에 기재된 바와 같이 추가로 구성될 수 있다. 본 명세서에서 설명된 바와 같이 생성된 시뮬레이션된 이미지(들)은 또한 이미징 시스템에 대한 표본의 특정 정렬을 달성하기 위해 이미징 시스템에 의해 생성된 실제 이미지(들)에 정렬될 수 있다. 예를 들어, 실제 이미지(들)에 대한 시뮬레이션된 이미지(들)의 정렬뿐만이 아니라 표본 상에 형성된 설계 정보의 레이아웃에 관한 정보에 기초하여, 이미징 시스템에 대한 표본의 위치는 비교적 높은 정확도로 결정(및 필요한 경우 정정)될 수 있다.
본 명세서에서 제공된 실시예들의 설명에 기초하여 당업자에게 자명한 바와 같이, 본 명세서에서 설명된 실시예들은 시뮬레이션된 이미지를 생성하기 위한 다른 방법 및 시스템에 비해 여러가지 중요한 이점을 갖는다. 예를 들어, 현재 사용되는 방법 및 시스템과는 달리, 본 명세서에서 설명된 실시예들은 어떠한 명시적 모델도 사용하지 않거나 또는 이를 포함하지도 않는다. 특히, 순방향 시뮬레이션 및 규칙 기반 접근법과는 달리, 일반적으로 첫번째 주요 물리학으로부터 결정하거나 잘 근사화하기가 매우 어려운 미리 정의된(물리적 또는 경험적) 모델에 대한 요구사항은 없다. 대신에, 본 명세서에서 설명된 실시예들은 심층적 신경망을 통해 암시적 모델을 학습함으로써 이 요구사항을 제거한다.
다른 예시에서, 본 명세서에서 설명된 실시예들은 현재 사용되는 방법 및 시스템과 비교하여 상대적으로 다양한 학습을 위해 구성될 수 있다. 그러한 하나의 예시에서, 본 명세서에서 설명된 실시예들은 실제 이미지에서 보여지는 주요 사후 리소그래피 효과(예컨대, 에칭 또는 CMP 공정 후)를 재생성하는 복잡하고 다양한 암시적 모델을 학습할 수 있다.
추가적인 예시에서, 본 명세서에서 설명된 실시예들은 통계로부터 사실적인 렌더링이 가능할 수 있다. 그러한 하나의 예시에서, 본 명세서에서 설명된 학습된 암시적 모델은 트레이닝 데이터세트의 통계를 나타낼 수 있으며, 중요한 통계(예컨대, 평균 및 그레이 레벨의 표준 편차)를 생성/예측할 수 있다.
추가적인 예시에서, 본 명세서에서 설명된 실시예들은 현재 사용되는 방법 및 시스템과 비교하여 비교적 빠른 런타임을 갖는다. 특히, 본 명세서에서 설명된 실시예들은 시뮬레이션된 이미지가 생산 런타임에 적절한 시간에 생성될 수 있게 하는 실질적으로 빠른 속도를 가질 수 있다(예를 들어, 비교적 빠른 예측을 할 수 있음).
또다른 예시에서, 본 명세서에서 설명된 실시예들은 합성 결함 시뮬레이션을 위해 구성될 수 있다. 예를 들어, 본 명세서에서 설명된 바와 같이, 결함(예컨대, 돌출부)을 주입하기 위해 CAD를 수정하고, 그런 후 네트워크를 사용하여 CAD를 렌더링하고 이를 트레이닝 예제로서 추가함으로써 SEM 및 광학 이미징 시스템에 대한 트레이닝 데이터세트에 합성 결함이 주입(즉, 생성)될 수 있다.
본 명세서에서 설명된 실시예들은 또한 다수의 방식으로 수정될 수 있다. 예를 들어, 본 명세서에서 설명된 생성 모델의 대안은, (1) 피라미드 업 샘플링을 갖는 GAN(generative adversarial net); (2) 치밀화(즉, 스트라이드=1)를 갖는 CNN(convolutional neural network);(3) VAE(variational auto-encoder)를 갖는 RNN(recurrent neural network); 또는 (4) 컨볼루션 및 디컨볼루션 레이어가 있는 심층적 볼쯔만 머신을 통해 구축될 수 있다. 이러한 대안은 당 업계에 공지된 임의의 적절한 아키텍처를 사용하여 구현될 수 있다.
또한, 본 명세서에서 설명된 실시예들은 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 것으로서 설명되었지만, 실시예들은 반대 변환을 수행하도록 구성될 수 있다. 예를 들어, 본 명세서에서 설명된 생성 모델은 X가 이미징 시스템 또는 서브시스템에 의해 생성된 실제 이미지이고 Y가 설계 정보(예컨대, CAD 이미지)가 되도록 구성될 수 있다. 이러한 방식으로, 본 명세서에서 설명된 바와 같이 트레이닝된 생성 모델은, 2개 이상의 인코더 레이어가 이미징 시스템 또는 서브시스템에 의해 표본에 대해 생성된 실제 이미지의 특징들을 결정하고 2개 이상의 디코더 레이어가 시뮬레이션된 설계 정보를 결정된 특징들로부터 생성하도록 구성될 수 있다. 시뮬레이션된 설계 정보는 설계 정보로부터 생성된 이미지(예컨대, CAD 이미지)에서 설계 정보가 어떻게 나타나는지를 보여준다. 이러한 실시예들에서, 2개 이상의 디코더 레이어는 또한 실제 이미지 또는 실사를 재생성하도록 구성될 수 있다. 이러한 방식으로, 본 명세서에서 설명된 실시예들은 입력 및 출력이 반전되도록 구성될 수 있다. 이러한 실시예들은 본 명세서에서 설명되는 바와 같이 추가적으로 구성될 수 있다. 이러한 실시예들은 설계 정보가 용이하게 이용가능하지 않지만 몇가지 목적으로 본 명세서에 기술된 것(예를 들어, 검사, 칼리브레이션, 정렬 등)을 포함할 필요가 있을 때 특히 유용할 수 있다.
설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템의 다른 실시예는 하나 이상의 컴퓨터 서브시스템, 예를 들어, 본 명세서에서 추가로 설명된 바와 같이 구성될 수 있는 컴퓨터 서브시스템(들)(102), 및 하나 이상의 컴포넌트, 예를 들어, 하나 이상의 컴퓨터 서브시스템에 의해 실행되는 컴포넌트(들)(100)(본 명세서에서 설명된 임의의 컴포넌트(들)를 포함할 수 있음)을 포함한다. 컴포넌트(들)은 본 명세서에서 설명된 바와 같이 구성될 수 있는 생성 모델, 예컨대, 도 2, 도 5, 또는 도 6에서 도시된 생성 모델을 포함한다. 예를 들어, 생성 모델은 표본에 대한 설계 정보, 예컨대 CAD 이미지(208)의 특징들, 예컨대, 특징들(210)을 결정하도록 구성된, 2개 이상의 인코더 레이어, 예를 들어, 도 2에서 도시된 레이어(200, 202, 204), 및 결정된 특징들로부터 하나 이상의 시뮬레이션된 이미지, 예컨대, 시뮬레이션된 이미지(226)를 생성하도록 구성된 2개 이상의 디코더 레이어, 예를 들어, 디코더 레이어(212, 214, 216, 218, 220, 222)를 포함한다. 시뮬레이션된 이미지(들)은 표본 상에 형성된 설계 정보가 표본의 하나 이상의 실제 이미지에서 어떻게 나타나는지를 보여준다. 시스템은 또한 표본의 하나 이상의 실제 이미지를 생성하도록 구성된 이미징 서브시스템, 예컨대, 도 1에서 도시된 이미징 서브시스템(10)을 포함한다. 하나 이상의 컴퓨터 서브시스템은, 하나 이상의 실제 이미지 및 하나 이상의 시뮬레이션된 이미지를 획득하고, 하나 이상의 실제 이미지 및 하나 이상의 시뮬레이션된 이미지에 기초하여(즉, 실제 이미지(들)과 시뮬레이션된 이미지(들)의 조합에 기초하여) 표본에 대한 하나 이상의 기능을 수행하도록 구성된다.
전술한 시스템들 각각의 실시예들 각각은 하나의 단일 실시예로 함께 결합될 수 있다.
다른 실시예는 설계 정보로부터 시뮬레이션된 이미지를 생성하기 위한 컴퓨터 구현 방법에 관한 것이다. 본 방법은 도 2, 도 5, 또는 도 6에서 도시된 것과 같은 생성 모델의 2개 이상의 인코더 레이어, 예컨대, 인코더 레이어(200, 202, 204)에 설계 정보를 입력함으로써 표본에 대한 설계 정보, 예컨대, CAD 이미지(208)의 특징들, 예컨대, 도 2에서 도시된 특징들(210)을 결정하는 단계를 포함한다. 본 방법은 또한 생성 모델의 2개 이상의 디코더 레이어, 예컨대, 디코더 레이어(212, 214, 216, 218, 220, 222)에 결정된 특징들을 입력함으로써 하나 이상의 시뮬레이션된 이미지, 예컨대, 도 2에서 도시된 시뮬레이션된 이미지(226)를 생성하는 단계를 포함한다. 하나 이상의 시뮬레이션된 이미지는 표본 상에 형성된 설계 정보가 이미징(imaging) 시스템에 의해 생성된 표본의 하나 이상의 실제 이미지에서 어떻게 나타나는지를 보여준다.
본 방법의 단계들 각각은 본 명세서에서 추가적으로 설명된 바와 같이 수행될 수 있다. 본 방법은 또한 본 명세서에서 설명된 시스템, 컴퓨터 서브시스템(들), 및/또는 이미징 시스템 또는 서브시스템에 의해 수행될 수 있는 임의의 다른 단계(들)을 포함할 수 있다. 결정하는 단계 및 생성하는 단계는 본 명세서에서 설명된 임의의 실시예들에 따라 구성될 수 있는 하나 이상의 컴퓨터 시스템, 예컨대, 컴퓨터 서브시스템(들)(102)에 의해 수행된다. 또한, 전술한 방법은 본 명세서에서 설명된 임의의 시스템에 의해 수행될 수 있다.
추가적인 실시예는 설계 정보로부터 시뮬레이션된 이미지를 생성하기 위한 컴퓨터 구현 방법을 수행하기 위해 컴퓨터 시스템 상에서 실행가능한 프로그램 명령어들을 저장하는 컴퓨터로 판독가능한 비일시적 매체에 관한 것이다. 그러한 하나의 실시예가 도 7에 도시된다. 특히, 도 7에서 도시된 바와 같이, 컴퓨터로 판독가능한 비일시적 매체(700)는 컴퓨터 시스템(704) 상에서 실행가능한 프로그램 명령어들(702)을 포함한다. 컴퓨터 구현 방법은 본 명세서에서 설명된 임의의 방법(들)의 임의의 단계(들)을 포함할 수 있다.
본 명세서에서 설명된 것과 같은 방법들을 구현하는 프로그램 명령어들(702)은 컴퓨터로 판독가능한 매체(700) 상에 저장될 수 있다. 컴퓨터로 판독가능한 매체는 자기적 또는 광학적 디스크, 또는 자기적 테이프와 같은 저장 매체, 또는 당 업계에서 알려진 임의의 적절한 다른 컴퓨터로 판독가능한 비일시적 매체일 수 있다.
프로그램 명령어들은 여러가지 중에서도, 프로시저 기반 기술들, 컴포넌트 기반 기술들, 및/또는 객체 지향 기술들을 비롯한 임의의 다양한 방법들로 구현될 수 있다. 예를 들어, 프로그램 명령어들은 액티브X 제어, C++ 오브젝트, 자바빈, MFC("Microsoft Foundation Classes"), SSE(Streaming SIMD Extension), 또는 희망하는 바에 따라 다른 기술들 또는 방법론들을 이용하여 구현될 수 있다.
컴퓨터 시스템(704)은 본 명세서에서 설명된 임의의 실시예들에 따라 구성될 수 있다.
본 발명의 다양한 양태들의 추가적인 수정들 및 대안적인 실시예들은 본 설명을 검토한 당 업계의 당업자에게는 자명한 사항일 것이다. 예를 들어, 설계 정보로부터 시뮬레이션된 이미지를 생성하기 위한 방법 및 시스템이 제공된다. 이에 따라, 본 설명은 단지 예시에 불과하며, 본 발명을 수행하기 위한 일반적인 방법을 당 업계의 당업자에게 교시하기 위한 것으로서 해석되어야 한다. 본 명세서에서 도시되고 설명된 본 발명의 형태들은 현재 바람직한 실시예들로서 간주될 것임을 이해할 것이다. 본 명세서에서 설명되고 예시된 것을 대신하여 엘리먼트들과 물질들이 대체될 수 있고, 부품들 및 공정들은 뒤바뀔 수 있고, 본 발명의 어떠한 특징들은 독립적으로 활용될 수 있으며, 이 모두는 본 발명의 설명의 혜택을 가진 후에 당 업계의 당업자에게는 자명할 것이다. 아래의 청구항들에서 기술된 본 발명의 사상과 범위를 벗어나지 않고서 본 명세서에서 설명된 엘리먼트들에 대해서는 변경들이 이루어질 수 있다.

Claims (43)

  1. 설계 정보로부터 시뮬레이션된(simulated) 이미지를 생성하도록 구성된 시스템에 있어서,
    하나 이상의 컴퓨터 서브시스템; 및
    상기 하나 이상의 컴퓨터 서브시스템에 의해 실행되는 하나 이상의 컴포넌트
    를 포함하고,
    상기 하나 이상의 컴포넌트는 생성 모델(generative model)을 포함하고,
    상기 생성 모델은,
    표본(specimen)에 대한 설계 정보로부터 피처(feature)들을 결정하도록 구성된 2개 이상의 인코더 레이어(encoder layer); 및
    상기 결정된 피처들로부터 하나 이상의 시뮬레이션된 이미지를 생성하도록 구성된 2개 이상의 디코더 레이어(decoder layer)
    를 포함하며, 상기 하나 이상의 시뮬레이션된 이미지는 상기 표본에 대한 상기 설계 정보가 이미징(imaging) 시스템에 의해 생성된 상기 표본의 하나 이상의 실제 이미지에서 어떻게 나타나는지를 보여주는 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  2. 제1항에 있어서,
    상기 생성 모델은 심층적 생성 모델(deep generative model)인 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  3. 제1항에 있어서,
    상기 생성 모델은 컨볼루션(convolution) 및 디컨볼루션(deconvolution) 신경망인 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  4. 제1항에 있어서,
    상기 2개 이상의 인코더 레이어는 2개 이상의 컨볼루션 및 풀링(pooling) 레이어를 포함하고, 상기 2개 이상의 디코더 레이어는 2개 이상의 디컨볼루션 및 업풀링(up-pooling) 레이어를 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  5. 제1항에 있어서,
    상기 2개 이상의 인코더 레이어는 상기 설계 정보의 차원 축소(dimension reduction)에 의해 상기 피처들을 결정하는 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  6. 제1항에 있어서,
    상기 설계 정보는 컴퓨터 보조 설계 데이터인 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  7. 제1항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 상기 생성 모델을 트레이닝(training)하는데 사용되는 트레이닝 데이터세트를 생성하도록 구성되며, 상기 트레이닝 데이터세트는 다른 설계 정보의 부분과 상기 다른 설계 정보의 부분에 대해 생성된 실제 이미지의 쌍들의 세트를 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  8. 제1항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은, 다른 설계 정보의 부분을 수정하여 상기 다른 설계 정보의 부분에서 미리 결정된 결함을 생성하고 상기 생성 모델을 트레이닝하는데 사용되는 트레이닝 데이터세트를 생성하도록 구성되며,
    상기 트레이닝 데이터세트는, 상기 다른 설계 정보의 수정된 부분과 상기 다른 설계 정보의 수정된 부분에 대해 생성된 실제 이미지의 쌍; 및 상기 다른 설계 정보의 부분과 상기 다른 설계 정보의 부분에 대해 생성된 실제 이미지의 다른 쌍을 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  9. 제1항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 상기 2개 이상의 인코더 레이어 및 상기 2개 이상의 디코더 레이어의 부분을 사전 트레이닝하도록 구성된 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  10. 제1항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 트레이닝 데이터세트 내의 설계 정보에 대한 피처들을 학습함으로써 상기 2개 이상의 인코더 레이어 및 상기 2개 이상의 디코더 레이어의 부분을 트레이닝하도록 구성되며,
    상기 학습은, 상기 2개 이상의 인코더 레이어를 사용하여 상기 트레이닝 데이터세트 내의 상기 설계 정보에 대한 피처들을 추론하는 단계, 및 상기 2개 이상의 디코더 레이어의 부분을 사용하여 상기 추론된 피처들로부터 상기 트레이닝 데이터세트 내의 설계 정보를 재생성하는 단계를 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  11. 제10항에 있어서,
    상기 트레이닝은 비지도된(unsupervised) 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  12. 제10항에 있어서,
    상기 하나 이상의 컴포넌트는 추가적인 컴포넌트를 포함하고, 상기 트레이닝은 상기 추가적인 컴포넌트를 사용하여 수행되고,
    상기 추가적인 컴포넌트는, 자동 인코더, 적층형 자동 인코더, 규칙화를 갖는 자동 인코더, 디노이징(denoising) 자동 인코더, 적층형 디노이징 자동 인코더, 희소(sparse) 자동 인코더, 변분(variational) 자동 인코더, 피처들의 분포를 학습하도록 구성된 자동 인코더, 제한형 볼쯔만 머신, 피처들의 분포를 학습하도록 구성된 제한형 볼쯔만 머신, 게이트형 마르코프 랜덤 필드, 심층적 볼쯔만 머신, 심층적 빌리프 네트워크, 컨볼루션 제한형 볼쯔만 머신, 컨볼루션 자동 인코더, 컨볼루션 신경망, 순환 신경망, 롱 솟텀(long short-term) 메모리, 생성적 적대 네트(generative adversarial net), 또는 이들의 몇몇 조합을 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  13. 제10항에 있어서,
    상기 학습된 피처들은 스칼라 값의 벡터, 독립 분포의 벡터, 또는 연합 분포(joint distribution)를 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  14. 제10항에 있어서,
    상기 학습된 피처들은 독립 분포의 벡터를 포함하고, 상기 독립 분포의 벡터는, 베르누이, 이항, 다항, 포아송 이항, 베타 이항, 다항, 볼쯔만, 포아송, 콘웨이 맥스웰 포아송, 베타, 가우시안/노멀, 스큐 노멀, 레일리, 라플라스, 감마, 파레토, 또는 스튜던트 t 분포들을 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  15. 제10항에 있어서,
    상기 학습된 피처들은 연합 분포를 포함하고, 상기 연합 분포는, 다변량(Multivariate) 가우시안/노멀, 다변량 스튜던트 t, 디리클레, 행렬 가우시안/노멀, 또는 행렬 t 분포들을 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  16. 제1항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은, 상기 2개 이상의 디코더 레이어의 부분 및 상기 2개 이상의 인코더 레이어를 사전 트레이닝하고, 상기 사전 트레이닝에 후속하여, 상기 2개 이상의 인코더 레이어, 상기 2개 이상의 디코더 레이어의 부분, 및 상기 2개 이상의 디코더 레이어의 다른 부분을 트레이닝하도록 구성된 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  17. 제1항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 트레이닝 데이터세트 내의 설계 정보에 대한 피처들을 학습함으로써 상기 2개 이상의 인코더 레이어 및 상기 2개 이상의 디코더 레이어를 트레이닝하도록 구성되며,
    상기 학습은, 상기 2개 이상의 인코더 레이어를 사용하여 상기 트레이닝 데이터세트 내의 상기 설계 정보에 대한 피처들을 추론하는 것, 상기 2개 이상의 디코더 레이어를 사용하여 상기 추론된 피처들로부터 상기 트레이닝 데이터세트 내의 설계 정보를 재생성하는 것, 상기 2개 이상의 디코더 레이어를 사용하여 상기 추론된 피처들로부터 상기 트레이닝 데이터세트 내의 설계 정보에 대한 시뮬레이션된 이미지를 생성하는 것, 및 상기 트레이닝 데이터세트 내의 설계 정보에 대한 시뮬레이션된 이미지가 상기 트레이닝 데이터세트 내의 설계 정보에 대한 실제 이미지와 일치할 때까지 상기 2개 이상의 디코더 레이어의 하나 이상의 파라미터를 수정하는 것을 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  18. 제17항에 있어서,
    상기 트레이닝은 지도된(supervised) 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  19. 제17항에 있어서,
    상기 하나 이상의 파라미터는 가중치를 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  20. 제1항에 있어서,
    상기 2개 이상의 인코더 레이어는 고정된 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  21. 제1항에 있어서,
    상기 2개 이상의 인코더 레이어는 트레이닝가능한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  22. 제1항에 있어서,
    상기 2개 이상의 디코더 레이어는 상기 2개 이상의 디코더 레이어의 제1 및 제2 부분을 포함하고, 상기 제1 및 제2 부분은 연합하여 구축된 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  23. 제1항에 있어서,
    상기 2개 이상의 디코더 레이어는 상기 2개 이상의 디코더 레이어의 제1 및 제2 부분을 포함하고, 상기 하나 이상의 컴퓨터 서브시스템은 상기 제1 및 제2 부분을 연합하여 트레이닝하도록 구성된 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  24. 제1항에 있어서,
    상기 2개 이상의 디코더 레이어는 제1 패스(pass)로 구성된 상기 2개 이상의 디코더 레이어의 제1 부분 및 제2 패스로 구성된 상기 2개 이상의 디코더 레이어의 제2 부분을 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  25. 제1항에 있어서,
    상기 2개 이상의 디코더 레이어는 채널 구성을 통해 단일 패스로서 구성된 상기 2개 이상의 디코더 레이어의 제1 및 제2 부분을 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  26. 제1항에 있어서,
    상기 2개 이상의 디코더 레이어는 또한 상기 결정된 피처들로부터 상기 설계 정보를 재생성하도록 구성된 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  27. 제1항에 있어서,
    상기 표본에 대해 공정이 수행된 후 상기 이미징 시스템에 의해 상기 표본에 대해 상기 하나 이상의 실제 이미지가 생성되며, 상기 공정은 리소그래피 공정이 아닌 최종 제조 공정 단계를 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  28. 제1항에 있어서,
    상기 하나 이상의 시뮬레이션된 이미지 중 적어도 하나는 상기 하나 이상의 시뮬레이션된 이미지에 대한 하나 이상의 통계를 나타낸 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  29. 제28항에 있어서,
    상기 하나 이상의 통계는, 베르누이, 이항, 다항, 포아송 이항, 베타 이항, 베타 다항, 볼쯔만, 포아송, 콘웨이 맥스웰 포아송, 베타, 가우시안/노멀, 스큐 노멀, 레일리, 라플라스, 감마, 파레토, 스튜던트 t, 다변량 가우시안/노멀, 다변량 스튜던트 t, 디리클레, 행렬 가우시안/노멀, 또는 행렬 t 분포로 구성된 그룹으로부터 선택된 하나 이상의 분포를 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  30. 제1항에 있어서,
    상기 하나 이상의 시뮬레이션된 이미지를 생성하는 단계는 상기 결정된 피처들로부터 상기 하나 이상의 시뮬레이션된 이미지를 직접 유도하는 단계를 포함한 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  31. 제1항에 있어서,
    상기 이미징 시스템은 전자 빔 기반 이미징 시스템인 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  32. 제1항에 있어서,
    상기 이미징 시스템은 광학 기반 이미징 시스템인 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  33. 제1항에 있어서,
    상기 이미징 시스템은 검사 시스템인 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  34. 제1항에 있어서,
    상기 이미징 시스템은 결함 검토 시스템인 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  35. 제1항에 있어서,
    상기 이미징 시스템은 계측 시스템인 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  36. 제1항에 있어서,
    상기 표본은 웨이퍼인 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  37. 제1항에 있어서,
    상기 표본은 레티클인 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  38. 제1항에 있어서,
    상기 이미징 시스템은, 상기 하나 이상의 실제 이미지 및 상기 하나 이상의 시뮬레이션된 이미지를 획득하고, 상기 하나 이상의 실제 이미지를 상기 하나 이상의 시뮬레이션된 이미지에 비교함으로써 상기 표본 상의 결함을 검출하도록 구성된 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  39. 제1항에 있어서,
    상기 이미징 시스템은 상기 하나 이상의 시뮬레이션된 이미지를 사용하여 상기 이미징 시스템의 칼리브레이션을 수행하도록 구성된 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  40. 제1항에 있어서,
    상기 이미징 시스템은 상기 하나 이상의 시뮬레이션된 이미지에 대한 상기 하나 이상의 실제 이미지의 정렬을 수행하도록 구성된 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  41. 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템에 있어서,
    하나 이상의 컴퓨터 서브시스템;
    상기 하나 이상의 컴퓨터 서브시스템에 의해 실행되는 하나 이상의 컴포넌트; 및
    이미징 서브시스템
    을 포함하고,
    상기 하나 이상의 컴포넌트는 생성 모델을 포함하고,
    상기 생성 모델은,
    표본에 대한 설계 정보로부터 피처들을 결정하도록 구성된 2개 이상의 인코더 레이어; 및
    상기 결정된 피처들로부터 하나 이상의 시뮬레이션된 이미지를 생성하도록 구성된 2개 이상의 디코더 레이어
    를 포함하며, 상기 하나 이상의 시뮬레이션된 이미지는 상기 표본에 대한 상기 설계 정보가 상기 표본의 하나 이상의 실제 이미지에서 어떻게 나타나는지를 보여주며,
    상기 이미징 서브시스템은 상기 표본의 상기 하나 이상의 실제 이미지를 생성하도록 구성되고,
    상기 하나 이상의 컴퓨터 서브시스템은, 상기 하나 이상의 실제 이미지 및 상기 하나 이상의 시뮬레이션된 이미지를 획득하고, 상기 하나 이상의 실제 이미지 및 상기 하나 이상의 시뮬레이션된 이미지에 기초하여 상기 표본에 대한 하나 이상의 기능을 수행하도록 구성된 것인, 설계 정보로부터 시뮬레이션된 이미지를 생성하도록 구성된 시스템.
  42. 설계 정보로부터 시뮬레이션된 이미지를 생성하기 위한 컴퓨터 구현 방법을 수행하기 위해 컴퓨터 시스템 상에서 실행가능한 프로그램 명령어들을 저장하는 컴퓨터로 판독가능한 비일시적 매체에 있어서, 상기 컴퓨터 구현 방법은,
    생성 모델의 2개 이상의 인코더 레이어에 설계 정보를 입력함으로써 표본에 대한 설계 정보로부터 피처들을 결정하는 단계; 및
    상기 생성 모델의 2개 이상의 디코더 레이어에 상기 결정된 피처들을 입력함으로써 하나 이상의 시뮬레이션된 이미지를 생성하는 단계
    를 포함하며, 상기 하나 이상의 시뮬레이션된 이미지는 상기 표본에 대한 상기 설계 정보가 이미징 시스템에 의해 생성된 상기 표본의 하나 이상의 실제 이미지에서 어떻게 나타나는지를 보여주는 것인, 컴퓨터로 판독가능한 비일시적 매체.
  43. 설계 정보로부터 시뮬레이션된 이미지를 생성하기 위한 컴퓨터 구현 방법에 있어서,
    생성 모델의 2개 이상의 인코더 레이어에 설계 정보를 입력함으로써 표본에 대한 설계 정보로부터 피처들을 결정하는 단계; 및
    상기 생성 모델의 2개 이상의 디코더 레이어에 상기 결정된 피처들을 입력함으로써 하나 이상의 시뮬레이션된 이미지를 생성하는 단계
    를 포함하며, 상기 하나 이상의 시뮬레이션된 이미지는 상기 표본에 대한 상기 설계 정보가 이미징 시스템에 의해 생성된 상기 표본의 하나 이상의 실제 이미지에서 어떻게 나타나는지를 보여주며, 상기 결정하는 단계 및 상기 생성하는 단계는 하나 이상의 컴퓨터 시스템으로 수행되는 것인, 컴퓨터 구현 방법.
KR1020187017221A 2015-11-19 2016-11-17 설계 정보로부터의 시뮬레이션된 이미지의 생성 KR102445522B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562257453P 2015-11-19 2015-11-19
US62/257,453 2015-11-19
US15/176,139 2016-06-07
US15/176,139 US9965901B2 (en) 2015-11-19 2016-06-07 Generating simulated images from design information
PCT/US2016/062500 WO2017087653A2 (en) 2015-11-19 2016-11-17 Generating simulated images from design information

Publications (2)

Publication Number Publication Date
KR20180073704A KR20180073704A (ko) 2018-07-02
KR102445522B1 true KR102445522B1 (ko) 2022-09-20

Family

ID=58717921

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187017221A KR102445522B1 (ko) 2015-11-19 2016-11-17 설계 정보로부터의 시뮬레이션된 이미지의 생성

Country Status (7)

Country Link
US (1) US9965901B2 (ko)
EP (1) EP3350654A4 (ko)
KR (1) KR102445522B1 (ko)
CN (1) CN108351600B (ko)
IL (1) IL258890B (ko)
TW (1) TWI707300B (ko)
WO (1) WO2017087653A2 (ko)

Families Citing this family (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10186026B2 (en) 2015-11-17 2019-01-22 Kla-Tencor Corp. Single image detection
US11580375B2 (en) 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US9916965B2 (en) 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US10648924B2 (en) 2016-01-04 2020-05-12 Kla-Tencor Corp. Generating high resolution images from low resolution images for semiconductor applications
US9915625B2 (en) 2016-01-04 2018-03-13 Kla-Tencor Corp. Optical die to database inspection
US10043261B2 (en) 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US10360477B2 (en) 2016-01-11 2019-07-23 Kla-Tencor Corp. Accelerating semiconductor-related computations using learning based models
US11580398B2 (en) 2016-10-14 2023-02-14 KLA-Tenor Corp. Diagnostic systems and methods for deep learning models configured for semiconductor applications
US10267748B2 (en) 2016-10-17 2019-04-23 Kla-Tencor Corp. Optimizing training sets used for setting up inspection-related algorithms
US10395358B2 (en) 2016-11-10 2019-08-27 Kla-Tencor Corp. High sensitivity repeater defect detection
US10395362B2 (en) 2017-04-07 2019-08-27 Kla-Tencor Corp. Contour based defect detection
US11037330B2 (en) 2017-04-08 2021-06-15 Intel Corporation Low rank matrix compression
US10922556B2 (en) 2017-04-28 2021-02-16 Intel Corporation Storage system of DNN outputs for black box
US10733744B2 (en) 2017-05-11 2020-08-04 Kla-Tencor Corp. Learning based approach for aligning images acquired with different modalities
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
JP6973742B2 (ja) * 2017-06-15 2021-12-01 リョーエイ株式会社 金属加工面の検査方法、金属加工面の検査装置
US10699926B2 (en) 2017-08-30 2020-06-30 Kla-Tencor Corp. Identifying nuisances and defects of interest in defects detected on a wafer
US10713534B2 (en) 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
US10607119B2 (en) 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification
US10095977B1 (en) * 2017-10-04 2018-10-09 StradVision, Inc. Learning method and learning device for improving image segmentation and testing method and testing device using the same
US10592368B2 (en) * 2017-10-26 2020-03-17 International Business Machines Corporation Missing values imputation of sequential data
WO2019155467A1 (en) 2018-02-07 2019-08-15 Applied Materials Israel Ltd. Method of generating a training set usable for examination of a semiconductor specimen and system thereof
WO2019157435A1 (en) * 2018-02-12 2019-08-15 Rensselaer Polytechnic Institute Ct big data from simulation, emulation and transfer learning
US10783660B2 (en) 2018-02-21 2020-09-22 International Business Machines Corporation Detecting object pose using autoencoders
US11199506B2 (en) * 2018-02-21 2021-12-14 Applied Materials Israel Ltd. Generating a training set usable for examination of a semiconductor specimen
KR102196820B1 (ko) * 2018-03-05 2020-12-30 서울대학교산학협력단 독성 가스 릴리스 모델링 장치 및 모델링 방법
US10552714B2 (en) * 2018-03-16 2020-02-04 Ebay Inc. Generating a digital image using a generative adversarial network
KR20200123858A (ko) 2018-03-21 2020-10-30 케이엘에이 코포레이션 합성 이미지를 사용한 머신 러닝 모델 트레이닝
US10621718B2 (en) * 2018-03-23 2020-04-14 Kla-Tencor Corp. Aided image reconstruction
US10670536B2 (en) 2018-03-28 2020-06-02 Kla-Tencor Corp. Mode selection for inspection
US10599951B2 (en) 2018-03-28 2020-03-24 Kla-Tencor Corp. Training a neural network for defect detection in low resolution images
JP7017462B2 (ja) * 2018-04-26 2022-02-08 株式会社神戸製鋼所 学習画像生成装置及び学習画像生成方法、並びに画像認識装置及び画像認識方法
DE102018207876A1 (de) 2018-05-18 2019-06-06 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Erzeugen von Bildern für photolithographische Masken aus Designdaten
US10615067B2 (en) 2018-05-18 2020-04-07 Kla-Tencor Corporation Phase filter for enhanced defect detection in multilayer structure
DE102018207880A1 (de) 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
US10621301B2 (en) 2018-06-06 2020-04-14 International Business Machines Corporation Coordinates-based variational autoencoder for generating synthetic via layout patterns
US10579764B2 (en) * 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks
DE102018209562B3 (de) 2018-06-14 2019-12-12 Carl Zeiss Smt Gmbh Vorrichtungen und Verfahren zur Untersuchung und/oder Bearbeitung eines Elements für die Photolithographie
US10169852B1 (en) 2018-07-03 2019-01-01 Nanotronics Imaging, Inc. Systems, devices, and methods for providing feedback on and improving the accuracy of super-resolution imaging
DE102018211099B4 (de) 2018-07-05 2020-06-18 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten eines statistisch verteilten Messwertes beim Untersuchen eines Elements eines Photolithographieprozesses
CN109214408A (zh) * 2018-07-10 2019-01-15 武汉科技大学 基于生成对抗模型识别肝肿瘤的方法及装置
CN109102496B (zh) * 2018-07-10 2022-07-26 武汉科技大学 基于变分生成对抗模型识别乳腺肿瘤区域的方法及装置
US10846845B2 (en) * 2018-07-25 2020-11-24 Fei Company Training an artificial neural network using simulated specimen images
KR102538785B1 (ko) 2018-07-27 2023-05-31 삼성전자주식회사 컴퓨터 지원 설계(cad) 파일로부터 웨이퍼 이미지를 생성하는 방법 및 시스템
CN109239075B (zh) * 2018-08-27 2021-11-30 北京百度网讯科技有限公司 电池检测方法及装置
KR20210036962A (ko) * 2018-08-28 2021-04-05 에이에스엠엘 네델란즈 비.브이. 최적의 계측 안내 시스템들 및 방법들
CN110148170A (zh) * 2018-08-31 2019-08-20 北京初速度科技有限公司 一种应用于车辆定位的定位初始化方法及车载终端
JP7190495B2 (ja) * 2018-09-03 2022-12-15 株式会社Preferred Networks 推論方法、推論装置、モデルの生成方法及び学習装置
KR102513707B1 (ko) * 2018-09-03 2023-03-23 가부시키가이샤 프리퍼드 네트웍스 학습 장치, 추론 장치, 학습 모델 생성 방법 및 추론 방법
KR102150673B1 (ko) * 2018-10-02 2020-09-01 (주)지엘테크 외관불량 검사방법 및 외관불량 검사 시스템
US11157765B2 (en) 2018-11-21 2021-10-26 Samsung Electronics Co., Ltd. Method and system for determining physical characteristics of objects
EP3888007A1 (en) 2018-11-27 2021-10-06 Raytheon Company Computer architecture for artificial image generation using auto-encoder
CN109658330B (zh) * 2018-12-10 2023-12-26 广州市久邦数码科技有限公司 一种发色调整方法及装置
CN111325854B (zh) * 2018-12-17 2023-10-24 三菱重工业株式会社 形状模型修正装置及形状模型修正方法以及存储介质
EP3671347A1 (en) * 2018-12-19 2020-06-24 ASML Netherlands B.V. Method for controling a manufacturing process and associated apparatuses
US10923318B2 (en) * 2018-12-20 2021-02-16 Fei Company Optical alignment correction using convolutional neural network evaluation of a beam image
US11170475B2 (en) * 2019-01-10 2021-11-09 Kla Corporation Image noise reduction using stacked denoising auto-encoder
JP7192512B2 (ja) 2019-01-11 2022-12-20 富士通株式会社 学習プログラム、学習装置及び学習方法
JP7180393B2 (ja) * 2019-01-11 2022-11-30 富士通株式会社 学習プログラム、学習装置及び学習方法
US11815470B2 (en) 2019-01-17 2023-11-14 Applied Materials Israel, Ltd. Multi-perspective wafer analysis
US10902582B2 (en) * 2019-01-17 2021-01-26 Applied Materials Israel, Ltd. Computerized system and method for obtaining information about a region of an object
KR20200099252A (ko) 2019-02-13 2020-08-24 삼성전자주식회사 회로 디자인을 검증하기 위한 검증 벡터를 생성하는 장치, 회로 디자인 시스템 및 이의 강화 학습 방법
US10922808B2 (en) 2019-02-14 2021-02-16 KLA—Tencor Corp. File selection for test image to design alignment
US11263496B2 (en) 2019-02-25 2022-03-01 D2S, Inc. Methods and systems to classify features in electronic designs
US11182929B2 (en) * 2019-02-25 2021-11-23 Center For Deep Learning In Electronics Manufacturing, Inc. Methods and systems for compressing shape data for electronic designs
US11551348B2 (en) 2019-04-09 2023-01-10 KLA Corp. Learnable defect detection for semiconductor applications
US11900026B1 (en) 2019-04-24 2024-02-13 X Development Llc Learned fabrication constraints for optimizing physical devices
EP3955208A4 (en) * 2019-06-03 2023-05-24 Hamamatsu Photonics K.K. SEMICONDUCTOR INSPECTION DEVICE AND SEMICONDUCTOR INSPECTION PROCEDURE
KR20220016030A (ko) 2019-06-03 2022-02-08 하마마츠 포토닉스 가부시키가이샤 반도체 검사 방법 및 반도체 검사 장치
US11379633B2 (en) 2019-06-05 2022-07-05 X Development Llc Cascading models for optimization of fabrication and design of a physical device
US20220318975A1 (en) 2019-06-13 2022-10-06 Hitachi High-Tech Corporation Image Processing Program, Image Processing Device, and Image Processing Method
US11120313B2 (en) * 2019-07-15 2021-09-14 International Business Machines Corporation Generating search determinations for assortment planning using visual sketches
CN110489661B (zh) * 2019-07-24 2022-04-26 武汉大学 一种基于生成对抗网络和迁移学习的社交关系预测方法
US11880193B2 (en) * 2019-07-26 2024-01-23 Kla Corporation System and method for rendering SEM images and predicting defect imaging conditions of substrates using 3D design
CN110633677B (zh) * 2019-09-18 2023-05-26 威盛电子股份有限公司 人脸识别的方法及装置
US11580650B2 (en) 2019-10-01 2023-02-14 KLA Corp. Multi-imaging mode image alignment
CN112764316B (zh) * 2019-10-21 2024-05-14 联华电子股份有限公司 步进曝光机的控制设备与控制方法
US11087449B2 (en) 2019-10-24 2021-08-10 KLA Corp. Deep learning networks for nuisance filtering
SE1930421A1 (en) * 2019-12-30 2021-07-01 Unibap Ab Method and means for detection of imperfections in products
KR20210109387A (ko) 2020-02-27 2021-09-06 성균관대학교산학협력단 양방향 연관성 듀얼 오토인코더 및 이를 이용한 이미지 변환 방법
US11961219B2 (en) * 2020-02-27 2024-04-16 KLA Corp. Generative adversarial networks (GANs) for simulating specimen images
TWI759733B (zh) * 2020-04-28 2022-04-01 友達光電股份有限公司 人工智慧自動光學檢測方法及系統
CN111553012A (zh) * 2020-04-28 2020-08-18 广东博智林机器人有限公司 一种家装设计方法、装置、电子设备及存储介质
TWI785582B (zh) * 2020-05-08 2022-12-01 荷蘭商Asml荷蘭公司 用於在帶電粒子束檢測系統中增強檢測影像之方法、影像增強裝置及其相關非暫時性電腦可讀媒體
KR20230004819A (ko) 2020-06-16 2023-01-06 주식회사 히타치하이테크 화상 처리 방법, 형상 검사 방법, 화상 처리 시스템 및 형상 검사 시스템
KR20230038764A (ko) * 2020-07-14 2023-03-21 에이에스엠엘 네델란즈 비.브이. 노이즈 제거 모델 생성 장치 및 방법
US11776108B2 (en) 2020-08-05 2023-10-03 KLA Corp. Deep learning based defect detection
US11250199B1 (en) * 2020-09-16 2022-02-15 Center For Deep Learning In Electronics Manufacturing, Inc. Methods and systems for generating shape data for electronic designs
EP4001902A1 (en) * 2020-11-23 2022-05-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method and system for simulating an optical image of a photonic and/or electronic device
US11874899B2 (en) 2020-12-15 2024-01-16 International Business Machines Corporation Automated multimodal adaptation of multimedia content
US20220301133A1 (en) * 2021-03-16 2022-09-22 Kla Corporation Segmentation of design care areas with a rendered design image
FR3127061B1 (fr) * 2021-09-15 2024-01-12 Faurecia Sieges Dautomobile Procédé de génération d’images d’apprentissage pour l’apprentissage supervisé d’un modèle de détection de défaut d’un objet manufacturé
WO2023091970A1 (en) * 2021-11-16 2023-05-25 The General Hospital Corporation Live-cell label-free prediction of single-cell omics profiles by microscopy
DE102021213084A1 (de) 2021-11-22 2022-12-15 Carl Zeiss Smt Gmbh Verfahren zum Betreiben eines EUV-Reflektometers
US11886955B2 (en) 2022-02-16 2024-01-30 Protopia AI, Inc. Self-supervised data obfuscation in foundation models
WO2023156125A1 (en) * 2022-02-17 2023-08-24 Asml Netherlands B.V. Systems and methods for defect location binning in charged-particle systems

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040209169A1 (en) 2003-04-15 2004-10-21 International Business Machines Corporation Method of Verifying the Placement of Sub-Resolution Assist Features in a Photomask Layout
WO2012030825A2 (en) 2010-08-30 2012-03-08 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
JP2005208473A (ja) * 2004-01-26 2005-08-04 Toshiba Corp 自動設計装置,自動設計方法,及びこれらを用いて製造可能なレチクルセット,半導体集積回路
US7523429B2 (en) * 2004-02-20 2009-04-21 Takumi Technology Corporation System for designing integrated circuits with enhanced manufacturability
JP4159512B2 (ja) 2004-05-31 2008-10-01 日本電気株式会社 画像パターン補正方法、及びそれを適用した模擬画像生成方法、並びにパターン外観検査方法
JP4758427B2 (ja) 2004-07-21 2011-08-31 ケーエルエー−テンカー コーポレイション シミュレーション・プログラムのための入力生成、あるいは、レチクルのシミュレート画像生成のためのコンピュータに実装された方法
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
JP4933888B2 (ja) 2006-09-19 2012-05-16 株式会社リコー 画像形成装置および画像形成方法
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
KR20110000573A (ko) * 2008-04-09 2011-01-03 가부시키가이샤 니콘 표면 검사 방법 및 표면 검사 장치
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
WO2011096136A1 (ja) 2010-02-02 2011-08-11 コニカミノルタホールディングス株式会社 疑似画像生成装置および疑似画像生成方法
JP2011221350A (ja) 2010-04-12 2011-11-04 Advantest Corp マスク検査装置及び画像生成方法
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US9400857B2 (en) * 2011-09-19 2016-07-26 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US8785112B2 (en) * 2011-09-24 2014-07-22 Global Foundries Inc. Reticle defect correction by second exposure
US8959465B2 (en) * 2011-12-30 2015-02-17 Intel Corporation Techniques for phase tuning for process optimization
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
CN103645612B (zh) * 2013-11-29 2015-08-05 上海华力微电子有限公司 光刻工艺图形缺陷检测方法
TWI661265B (zh) * 2014-03-10 2019-06-01 美商D2S公司 使用多重射束帶電粒子束微影術於表面上形成圖案之方法
US10025201B2 (en) * 2014-04-14 2018-07-17 Asml Netherlands B.V. Flows of optimization for lithographic processes

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040209169A1 (en) 2003-04-15 2004-10-21 International Business Machines Corporation Method of Verifying the Placement of Sub-Resolution Assist Features in a Photomask Layout
WO2012030825A2 (en) 2010-08-30 2012-03-08 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects

Also Published As

Publication number Publication date
TW201740349A (zh) 2017-11-16
EP3350654A4 (en) 2019-09-18
KR20180073704A (ko) 2018-07-02
IL258890B (en) 2021-08-31
CN108351600A (zh) 2018-07-31
WO2017087653A3 (en) 2017-06-29
IL258890A (en) 2018-07-31
US9965901B2 (en) 2018-05-08
EP3350654A2 (en) 2018-07-25
US20170148226A1 (en) 2017-05-25
CN108351600B (zh) 2019-10-25
WO2017087653A2 (en) 2017-05-26
TWI707300B (zh) 2020-10-11

Similar Documents

Publication Publication Date Title
KR102445522B1 (ko) 설계 정보로부터의 시뮬레이션된 이미지의 생성
KR102390313B1 (ko) 저 분해능 이미지에서의 결함 검출을 위한 신경 네트워크의 트레이닝
KR102321953B1 (ko) 다양한 모댈리티들로 획득된 이미지들의 정렬을 위한 학습 기반 접근 방식
KR102213730B1 (ko) 반도체 애플리케이션을 위한 신경망 및 순방향 물리적 모델을 포함하는 방법 및 시스템
KR102408319B1 (ko) 시료에 대한 시뮬레이팅된 출력 생성
EP3465174B1 (en) Generating simulated images from input images for semiconductor applications
CN108475351B (zh) 用于训练基于机器学习的模型的系统和计算机实施方法
KR102637409B1 (ko) 반도체 적용들을 위한 저해상도 이미지들로부터 고해상도 이미지들의 생성
KR102416972B1 (ko) 단일 이미지 검출
JP2019537839A (ja) 半導体用途向けに構成された深層学習モデルのための診断システムおよび方法
US20170193400A1 (en) Accelerated training of a machine learning based model for semiconductor applications

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant