KR102374881B1 - 구배 박막 필름 - Google Patents

구배 박막 필름 Download PDF

Info

Publication number
KR102374881B1
KR102374881B1 KR1020167009588A KR20167009588A KR102374881B1 KR 102374881 B1 KR102374881 B1 KR 102374881B1 KR 1020167009588 A KR1020167009588 A KR 1020167009588A KR 20167009588 A KR20167009588 A KR 20167009588A KR 102374881 B1 KR102374881 B1 KR 102374881B1
Authority
KR
South Korea
Prior art keywords
film
plasma
article
chemical
precursor
Prior art date
Application number
KR1020167009588A
Other languages
English (en)
Other versions
KR20160098165A (ko
Inventor
알파나 래너드
마비 에이. 마토스
Original Assignee
더 보잉 컴파니
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 더 보잉 컴파니 filed Critical 더 보잉 컴파니
Publication of KR20160098165A publication Critical patent/KR20160098165A/ko
Application granted granted Critical
Publication of KR102374881B1 publication Critical patent/KR102374881B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/453Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating passing the reaction gases through burners or torches, e.g. atmospheric pressure CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/503Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using dc or ac discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

물품의 표면으로부터 일정한 두께의 제1 필름을 포함하는 물품으로서, 상기 제1 필름은 상기 물품의 표면에 대해 수직 방향 및/또는 수평 방향으로 상기 제1 필름의 일정한 두께의 최소한 한 부위 내에서 구배 화학적 조성을 가지며, 상기 물건을 제조하는 방법과 시스템을 제공한다.

Description

구배 박막 필름{Gradient thin films}
물품의 표면으로부터 일정 두께의 제1 필름을 포함하는 물품, 상기 일정 두께의 제1 필름의 적어도 한 부위 내에서 상기 물품의 표면에 대해서 수직 및/또는 수평 방향으로 구배(勾配) 화학 조성을 가지는 제1 필름, 및 이를 생산하기 위한 방법과 시스템에 관한 것이다.
필름 증착 기술은 여러 기재 상에다 박막 필름을 만드는데 사용될 수 있다. 종전에, 고성능 필름은 PECVD 또는 마그네트론 스퍼터링(magnetron sputtering)과 같은 진공 기술을 사용하여 만들었다.
하지만, 크고 또는 요철 부분들은 진공실을 이용하여 피복하는 것이 어렵다. 또한, 진공 증착 기술은 진공실 부품을 구입하고 조립하는데 많은 투자 비용이 요구된다.
제1 양상으로서, 다층 구배 조성 박막 필름을 제조하기 위한 방법을 제공하는 것이다. 상기 방법은 최소한 하나의 화학 전구체를 플라스마에 도입하는 단계; 기재의 표면에 일정 두께의 제1 필름을 증착하고, 상기 제1 필름은 최소한 하나의 화학 전구체로부터 유래된 화학 조성을 가지는 단계; 일정 두께의 제1 필름의 증착 도중에 최소한 하나의 화학 전구체를 증착하기 위해서 최소한 하나의 플라스마 관련 공정 파라미터를 조정시키는 단계; 상기 기재에 대해 수직 방향 또는 수직과 수평 방향으로 독립적 또는 조합해서 상기 제1 필름의 두께의 최소한 한 부위의 화학 조성을 변경하는 단계를 포함한다.
도입 단계는 바람직하게, 제1 화학 전구체와 해당 제1 화학 전구체와 함께 플라스마에 도입되는 제1 화학 전구체와는 다른 최소한 하나의 다른 화학 전구체를 포함하며, 상기 방법은 추가로 상기 제1 필름 내에서 제2 화학 조성으로부터 최소한 일부가 유래된 일정 두께의 제2 필름을 증착하는 단계를 포함하되 화학 조성의 제2 필름은 제1 필름과 다르다.
도입 단계는 바람직하게, 제1 화학 전구체와 그 이후에 플라스마로 도입되는 제1 화학 전구체와는 다른 최소한 하나의 다른 화학 전구체를 포함하며, 최소한 다른 화학 전구체로부터 최소한 부분적으로 유래되는 일정 두께의 제2 필름을 증착하는 단계를 포함하되 화학 조성의 제2 필름은 제1 필름과 다르다.
바람직하게, 상기에서, 조정 단계는 플라스마 분말, 담체 가스 유량, 전구체 온도, 버블러 유량, 희석 유량 또는 기재에 대한 플라스마 헤드 수직 위치로 이루어진 군으로부터 선택되는 하나 이상의 파라미터를 조정하는 것을 포함한다.
바람직하게, 상기 기재는 하나 이상의 반도체 재료, 금속 또는 비금속을 포함한다.
바람직하게, 상기 증착 단계는 대기압 플라스마 증착 기술을 포함한다.
다른 양상으로서, 화학 구배를 가지는 필름을 증착하기 위한 시스템을 제공한다. 상기 시스템은 플라스마를 생성하기 위해 설정된 대기압 플라즈마 장치; 상기 대기압 플라즈마 장치에 설정 가능한 하나 이상의 플라스마 공급원 가스; 상기 대기압 플라스마 장치에 구성 가능한 하나 이상의 전구체 공급원; 및 임의로 상기 대기압 플라스마 장치에 설정 가능한 하나 이상의 차폐 가스(shielding gas)를 포함한다.
대기압 플라스마 장치는 바람직하게, 기재 표면에 대해서 수평, 수직 또는 수평과 수직 방향으로 위치할 수 있다.
바람직하게, 대기압 플라스마 장치는 상기 기재 표면에 대해서 최소한 2개의 축에 대해서 위치를 정할 수 있고 및/또는 제어된다.
바람직하게, 대기압 플라스마 장치는 물품에 대해 하나 이상의 수직 또는 수평 관계에서 이동되도록 자동화되어 있다.
다른 양상으로서, 물품이 제공된다. 상기 물품은 물품의 표면으로부터 일정 두께의 제1 필름을 포함하며, 상기 제1 필름은 상기 일정 두께의 제1 필름의 최소한 한 부위 내에서 물품의 표면에 대해 수직 방향 또는 수직과 수평 방향으로 독립적으로 또는 조합해서 구배 화학 조성을 포함한다.
바람직하게, 물품은 추가로 제1 필름과는 다른 제2 필름, 제1 필름 내에 존재하는 제2 필름, 구배 화학 조성의 제2 필름, 물품 표면에 대해서 수평, 수직 또는 수평과 수직 방향으로 상기 제1 필름과 공간적으로 분리되어 있는 제2 필름의 최소한 한 부위를 포함한다.
바람직하게, 제2 필름은 물품 표면에 대해서 수직 방향으로 상기 제1 필름과 화학적으로 다르다.
대안적으로, 상기 제2 필름은 상기 제1 필름 상에 직접적으로 존재한다.
바람직하게, 제2 필름의 최소한 한 부위는 물품 표면에 대해서 상기 제1 필름으로부터 수평 방향으로, 수직 방향으로 또는 수평 및 수직 방향으로 공간적으로 분리되어 있다.
바람직하게, 상기 물품은 상기 제1 필름과 상기 제2 필름 사이에 경계면을 추가로 포함하고 있으며, 상기 경계면은 상기 제2 필름의 원자 조성에 대한 상기 제1 필름의 원자 조성의 변경에 의한 것임을 특징으로 한다.
바람직하게, 상기 경계면은 상기 물품 표면에 대해서 제1 필름의 수직 단면과 제2 필름의 수직 단면 사이에 있다.
대안적으로, 상기 경계면은 상기 물품 표면에 대해서 제1 필름의 수평 단면과 제2 필름의 수평 단면 사이에 있다.
바람직하게, 상기 제1 필름과 상기 제2 필름 사이의 경계면은 산소 및 탄소 중 하나 또는 양방의 원소 조성 구배를 포함한다.
바람직하게, 상기 물품의 표면은 우주항공 운송수단의 최소한 한 부위이다.
도 1은 본 명세서에서 설명하는 본 방법의 양상의 예시적인 흐름도를 도시한 것이다.
도 2는 본 명세서에서 설명하는 본 방법의 양상의 예시적인 흐름도를 도시한 것이다.
도 3a는 본 명세서에서 설명하는 본 방법의 예시적인 양상을 도시한 것이다.
도 3b는 본 명세서에서 설명하는 본 방법의 예시적인 양상을 도시한 것이다.
도 4a는 본 명세서에서 설명하는 본 방법의 예시적인 양상을 도시한 것이다.
도 4b는 본 명세서에서 설명하는 본 방법의 예시적인 양상을 도시한 것이다.
도 5는 본 명세서에서 설명하는 본 방법의 예시적인 양상을 도시한 것이다.
도 6은 본 방법의 양상의 증착된 필름 시료의 두께(나노미터)의 그래프를 도시한 것이다.
도 7은 본 방법의 양상의 증착된 필름 시료의 시험 후 헤이즈 백분율을 그래프로 도시한 것이다.
도 8은 본 방법의 실시태양의 증착된 필름 시료의 공정 파라미터, 물리적인 파라미터, 광학적 및 기계적인 특성을 요약해서 도시한 것이다.
본 발명은 다른 것 중에서, 증착된 박막 필름 코팅물의 기계적인 특성을 최적화하는 방법을 제공한다. 이 방법은 기계적인 특성 및/또는 화학적인 조성을 점차적으로 변경(예, 구배)하여 다층 필름의 형성 및 생성을 제공한다. 본 발명은 독특한 기계적인 특성을 갖는 다층 구배 구조체를 추가로 제공한다. 하나의 양상으로서, 대기압 플라스마 증착을 사용하여 다층 구배 구조체가 제조된다. 투명성, 내부식성, 내마모성 또는 탄성과 같은 새롭거나 향상된 특성을 위해서 박막 필름 증착 도중에 하나 이상의 공정 조건의 제어가 제공될 수 있다.
정의
용어 제1, 제2 등이 여러 가지 구성요소(elements)들을 설명하는데 사용될 수 있지만, 이들 구성요소들이 이들 용어에 한정되지 않는다고 이해될 것이다. 이들 용어들은 하나의 구성요소를 다른 구성요소와 다른 구성요소와 구별하기 위해서만 사용된다. 예를 들면, 제1 구성요소는 제2 구성요소로 명명될 수 있고, 이와 유사하게 본 발명의 범위를 벗어남이 없이 제2 구성요소는 제1 구성요소로 명명될 수 있다. 본 명세서에서 사용하는 바와 같이, 용어 ‘및/또는’은 하나 이상의 관련된 열거 항목의 임의의 모든 조합을 포함한다.
층(layer) 또는 필름(film), 영역(region) 또는 기재(substrate)와 같은 구성요소는 다른 구성요소 ‘위에 증착되어’또는 ‘상에 증착되어’있는 것을 가리키는 경우에는 다른 구성요소 위에 직접 증착 또는 다른 구성상에 직접 증착될 수 있거나, 개재되는 구성요소들이 존재할 수 있다는 것으로 이해될 것이다. 반대로, 구성요소들이 다른 구성요소 ‘위에 직접 증착되어’또는 ‘상에 직접 증착되어’있는 것을 가리키는 경우에는 개재되는 구성요소들이 존재하지 않는다.
‘아래의’또는 ‘위에’또는 ‘상위’또는 ‘하위’또는 ‘수평의’또는 ‘수직의’또는 ‘최상위’또는 ‘최하위’는 와 같은 상대적인 용어들은 하나의 구성요소의 다른 구성요소에 대한 층/필름 또는 영역, 또는 도면에 예시한 층/필름 또는 영역의 관계를 설명하기 위해서 본 명세서에서 사용될 수 있다. 이들 용어들은 도면에 도시한 방향뿐만 아니라 장치의 다른 방향들도 포함하도록 의도된 것으로 이해될 수 있다.
본 명세서에서 사용되는 전문 용어는 단지 특정한 양상을 설명하기 위해 사용된 것이며, 본 발명을 한정하기 위한 의도는 아니다. 본 명세서에서 사용된 바와 같이, 단수 형태들 ‘a’‘an’‘the’는 문맥상 달리 분명히 표시하고 있지 않다면 복수형도 포함하는 것을 의도한다. ‘포함하다’‘포함하는’‘함유하다’및/또는 ‘함유하는’의 용어를 본 명세서에서 사용할 경우, 언급한 특징들, 단계들, 동작들, 구성요소들, 및/또는 구성성분들의 존재를 구체화하는 것이지만, 하나 이상의 다른 특징들, 단계들, 동작들, 구성요소들, 구성성분들, 및/또는 이들의 조합들의 존재 또는 추가를 배제하는 것은 아니다.
달리 표시하고 있지 않다면, 본 명세서에서 사용되는 모든 용어들(기술적이고 과학적인 용어 포함)은 본 발명이 속하는 기술 분야에서 통상의 기술자에 의해서 일반적으로 이해되는 것과 동일한 의미를 갖는다. 본 명세서에서 사용되는 용어들은 본 명세서와 관련 기술의 문맥에서 그들의 의미와 일치하고 본 명세서에서 명백하게 정의하지 않다면 이상적이거나 지나치게 형식적인 의미로 해석되지 않을 것이라는 의미를 가지는 것으로 해석되어야 한다고 또한 이해될 수 있을 것이다.
달리 표시하고 있지 않다면, ‘이하’및 ‘이상’과 같은 비교, 양적 용어는 동등의 개념을 포함하도록 의도된 것이다. 예를 들어, ‘이하’는 엄격한 수학적인 의미에서의 ‘이하’뿐 만 아니라 ‘미만 또는 동등’을 의미할 수 있다.
달리 명백히 설명하고 있지 않다면, ‘코팅’은 하나 이상의 ‘박막 필름’또는 층, 예를 들어 플라스마가 증착된 박막 필름 또는 층을 포함한다. 용어가 본 명세서에서 사용되는 바와 같은 코팅은 약 1미크론 두께 이하의 단일 층(단일 원자층) 및/또는 약 1미크론 내지 수백 미크론의 두께를 가지는 하나 이상의 층을 포함할 수 있다.
본 명세서에서 사용하는 바와 같은, 용어 ‘부식’은 표면 또는 표면층 필름에 대한 하나 이상의 화학 및 기계적인 효과를 포함한다. 예를 들어, 화학제품 및/또는 빗방울 또는 모래와 같은 소형 입자들이 영향을 주고 표면 재료를 닳게 하며, 그 효과의 정도는 표면을 포함하는 재료의 두께, 경도 및 인성과 관련이 있다.
본 명세서에서 사용하는 바와 같은, ‘마모’는 표면 효과, 예를 들면 스크래칭(scratching), ‘스커핑(scuffing), 손상(maruing), 벗겨짐(wearing down)를 포함한다. 이들은 표면의 마멸로 인한 세컨드(second), 경질 또는 초경질, 보다 거친 표면(사포와 같음)과의 상호 작용에 의해서 발생될 수 있으며, 박막 필름의 경도는 모듈러스(탄성률 및/또는 영률)를 결정할 수 있는 압입 기술에 의해서 결정될 수 있다.
본 명세서에서 사용하는 바와 같은, 용어 ‘공간적’은 수직 또는 수평 방향에서 분리 또는 구배를 포함한다. 하나의 실시태양으로, ‘공간적’은 연속식, 반연속식, 또는 계단식 화학 조성 구배를 가지는 증착된 필름뿐만 아니라 기재의 표면상에 두 개 이상의 증착된 필름, 하나 이상의 방향으로 그들의 화학 조성에 관해 인식할 수 있는 두 개 이상의 증착된 필름을 포함한다. 공간적으로 정렬되는 경우, 구배 필름은 기재 상에 다차원적으로 배치될 수 있고, 필름 및/기재에 대한 개선을 제공한다.
본 명세서에서 사용되는 용어 ‘기재’는 평면 또는 만곡진 표면과 같은 불규칙한 또는 요철을 가지는 물체를 포함한다. 기재는 하나 이상의 에지 및/또는 측면들을 가질 수 있다. 하나의 양상으로, ‘기재’는 하나 이상의 물체 표면을 포함한다. 기재들은 반도체, 금속, 플라스틱, 세라믹, 유리 등과 같은 무기 재료, 유기 재료, 무기-유기 재료를 포함한다. 특정한 양상으로, 용어 ‘기재’와 ‘물체’는 구배 필름(들)의 증착과 관련하여 하나 이상의 그들 각각의 표면에 대해 상호 교환적으로 사용될 수 있다.
본 명세서에서 사용되는 용어 ‘약’은 독립적으로 달리 표시하고 있지 않다면, 제시한 값의 +/- 10%를 포함한다.
폴리카보네이트와 연신 아크릴과 같은 폴리머 재료가 항공기 윈도우(windows) 및 캐노피들(canopies)에 사용된다. 하지만, 이들 재료들은 흠집(scratches)과 구멍(pits)의 형태를 초래하여 그들의 물질적인 외관 및 투명성에 영향을 줄 여지가 있다. 현재, 원하지 않는 효과에 대한 재료 내성을 개선시키는 코팅을 생성하기 위해 용액 처리 기술이 사용되고 있다. 시중에 상업적인 내부식성 코팅재가 있지만, 이들은 비용, 적용상의 용이성, 폴리머 기재에 대한 접착성과 같은 다양한 요인으로 인해 사용하기에 반드시 이상적인 용액은 아니다. 현재 설명하는 대기압 플라스마 필름은 폴리카보네이트와 연신된 아크릴과 같은 기재뿐만 아니라 금속, 세라믹 및 복합체와 같은 다른 재료들에 접착하는 내구성 필름의 증착을 위한 해결책을 제공한다. 대기압 플라즈마를 사용하여 생성된 필름은 내부식성 및 내마모성이 있으며, 다른 것들 중에서 부식 및 마모 조건에 따라 폴리머의 수명을 연장시킬 수 있다.
본 명세서에서 개시된 것은 단일 층 필름 코팅에서 원하는 물성을 제공하려는 시도를 하기 보다는 서서히 특성을 변화시켜서 다층 필름의 새로운 또는 개선된 물성을 달성하기 위한 방법이다. 또한, 공간적으로 변화를 주면서 코팅해야만 하는 부품이라면, 그 후에 한 종류의 필름 또는 하나의 연속 필름은 각각의 부분에 대해 최적의 특성을 가질 수 없다.
따라서, 현재 설명하는 방법은 기재 및/또는 전체 기재 상의 특정한 위치에 대해 단일 또는 다층 필름을 조정하는 증착 기술과 결합되어 있는 자동화를 제공한다. 현재 설명하는 증착 방법은 실리콘-옥시-카바이드(silicon-oxy-carbide), 산화 아연, 질화물 코팅물 또는 다이아몬드형 탄소 필름과 같은 특정한 원소의 조성 또는 원소 조성-메이크업의 필름을 생성하는데 사용될 수 있으며, 이들 모두는 항공우주 산업 및 부품의 수명 연장, 질량 감소 및/또는 도전성과 같은 특정 표면 기능을 추가하기 위한 다른 산업에서도 응용을 찾을 수 있다. 하나의 양상으로, 본 발명은 다른 재료의 층이 혼화되고, 다기능 특성을 갖는 표면의 조제를 가능하게 하는 다층 필름의 증착을 제공한다.
현재 설명하는 방법은 또한 하나 이상의 재료 및/또는 조성의 ‘박막(thin)’또는 ‘후막(thick)’필름을 제공한다. 박막 필름은 일괄적으로 약 10 옹스트롬(Angstroms) 내지 약 1000 나노미터의 두께를 가지는 하나 이상의 층을 포함할 수 있다. 이 문맥에서 ‘후막’은 평균 두께가 약 1 미크론 내지 약 1000 미크론의 필름 또는 코팅이다. 청구항의 범위를 벗어남이 없이 박막과 후막으로서 언급되는 것 사이의 두께에서 약간의 중첩이 있을 수 있다. 후막 필름을 생성하는 것에 의해, 하층 기재, 예를 들어, 폴리머 기재의 내부식성에서의 증가가 개선되고, 및/또는 수분 또는 산소 베리어 기능, 전도성, 광학 특성 등과 같은 다른 특성들도 제공되거나 개선된다. 다른 양상으로, 현재 설명하는 방법은 다층/구배 박막 필름을 생성함으로써 양호한 내부식성 및 내마모성을 갖는 필름을 제공한다. 하나의 양상으로, 후막 필름은 폴리머에 대한 양호한 접착성을 제공하고, 구배 층 구조는 다층/구배 박막 필름의 최소한 한 부위에 걸쳐 물성에서 점진적인 변화가 가능하다. 다른 양상으로, 그 다음에 경질 최상위 층/필름은 내마모성을 제공하기 위해서 다층/구배 박막 필름의 최소한 한 부위에 형성될 수 있다. ‘보다 경질’인 최상위 층/필름은 예를 들어 압입 기술(indentation techniques)로 측정한 바와 같이 벌크 보다 큰 최소한 5 %의 모듈러스일 수 있다.
종래 기술은 후막 필름을 생성하는데 매우 긴 증착/경화 시간이 요구되거나 진공실의 사용이 요구된다. 이러한 후막은 단일 필름만 하나의 특성의 최적화를 제공할 수 있으며, 다른 것(예를 들어, 미미하거나 내마모성은 없지만 최적의 내침식성)은 아니다. 그러므로 본 개시는 야외에서 생성되는 특성의 균형을 갖는 다층 또는 구배 필름을 제공한다. 하나의 양상으로, 현재 설명하는 방법은 대기압 플라스마 증착 기술을 사용한다. 대기압 플라즈마 증착은 여러 가지 잇점이 있다. 대기압 플라즈마 증착은 종래의 의미에서 ‘진공실’을 요구하지 않으며, 그러므로 대기압 플라즈마 증착은 이동 가능 및/또는 로봇식 플라즈마원을 제공한다. 대기압 플라즈마 증착은 기재의 전체 또는 한 부위에 걸쳐 스캐닝(scanning) 및/또는 래스터링(rastering)할 수 있는 플라즈마 헤드를 제공하고, 그러므로 다른 형상들 및 표면 요철들의 부품을 코팅하는 방법을 제공한다. 반대로, 종래의 기술들은 일반적으로 진공을 채택함이 없이 사용될 수 없고, 또한 이들은 쉽게 공간적인 변화 및/또는 화학적인 구배 다층 필름을 생성하는데 쉽게 적합하거나 또는 구성될 수도 없고, 또한 복잡하거나 심한 요철 형상에 필름 및/또는 코팅물을 증착하기에 적합한 종래 기술도 아니다. 일반적으로, 종래의 증착 기술은 진공 증착실에서 지지하는 기재 상에 코팅하고자 하는 기재가 배치되는 것이 필요하고, 및/또는 표면에 증착된 필름의 본성과 조성을 변경시킬 마스크 기술이 요구된다. 다른 종래의 방법(예를 들어, 유동 코팅)은 오랜 경화/건조 시간과 추가로 중요한 용매 사용이 요구되며, 공간적으로 변하는 필름의 생성이 쉽게 가능하지 않다. 종래의 증착 기술은 자동화, 휴대 가능 및 로봇(다축 코팅 공정)을 위한 구성이 아니다. 예를 들면, 대기압 플라스마 증착은 다중 축, 예를 들면 6축일 수 있는 로봇 시스템을 갖는 플라즈마 헤드의 통합을 제공한다. 본 명세서에서 설명하는 시스템과 방법을 사용하면, 종래 기술을 사용할 가능성 및/또는 실제성이 없는 공간적인 구배 필름의 생성을 제공한다. 하나의 양상으로, 대기압 플라즈마 헤드를 배치 및/또는 이동하는데 컨베이어 시스템 또는 다중 축 로봇이 채용될 수 있다. 현재 설명하는 방법이 유연한 재료/조성 전환을 갖는 박막 필름 업그레이드 조성을 증착하기 위해 제공된다. 이러한 방법들은 다른 재료들 간의 경계면에서 급격한 변화를 갖는 증착 재료의 계단식 전환을 방지 또는 제거하고 대신에 제어된 조성 구배를 제공한다. 증착되어진 표면에 대해 임의의 방향으로 변형이 만들어질 수 있다. 계단식 전환과 반대되는 단계적인 변화(구배)는 비유사성 재료의 경계면과 비교해서 우수한 접착성과 낮은 스트레스를 제공하여 증착된 필름 내에서의 결함이나 불일치의 원인을 피할 수 있다. 따라서 하나의 양상으로, 현재 설명하는 방법은 기재의 특정한 위치 및/또는 영역에서 표면 위에 증착된 일련의 코팅의 개선된 상용성을 가지는 표면(기재)를 제조하기 위해서 제공된다.
본 발명의 하나의 양상으로, 각각의 연속적인 층 위에 필름 또는 화학 전구체의 증착 파라미터의 조정을 제공한다. 증착 파라미터의 조정에 의해, 누진 및/또는 구배 물성을 갖는 필름 및/또는 코팅이 제공된다. 누진 또는 구배 물성을 갖는 이러한 필름 및/또는 코팅은 플라스틱, 유리 등과 같이 이러한 특성이 부족한 기재에 대해 새롭고 또는 개선된 내부식성 및 내마모성을 제공하도록 제작된다. 현재 설명하는 방법과 그로부터 제조된 필름은 증착 공정 중에 기재의 표면에서 발생하는 비교적 저온 때문에 폴리머와 같은 ‘온도 민감성’기재 상에 증착될 수 있다. 예를 들면, 기재로부터의 가스 또는 유체 냉각 및/또는 열전달과 같은 외측 냉각이 필요에 따라 제공될 수 있다.
현재 설명하는 방법과 그로부터 제조된 필름은 터치스크린(touch screens), 스마트폰(smart phones)과 같은 전자 장치에 장점과 이점을 제공할 수도 있다. 현재 설명하는 방법은 ‘바이오 기능화’의학적인 임플란트에 사용될 수도 있고 또는 내마모성 투명 폴리머 물품, 예를 들면 자동차의 헤드라이트 커버(headlight covers), 윈드쉴드(windshields) 등을 제공하기 위하여 사용될 수 있다.
도 1에 표시한 바와 같이, 시작 프로그램 기능 단계(105)를 가지는 공정 흐름도(100)가 도시되어 있다. 이 단계는 플라스마 조건의 설정 단계(110)를 포함하고 있다. 기재 표면의 최소한 일부를 세척 및/또는 기능화하기 위하여, 예를 들면, 순수 산소 플라스마 또는 가스와의 조합을 사용하여 기재 표면의 제조를 위하여 임의의 단계(113)가 제공된다. 단계(115)는 이하에서 설명하는 제1 화학 전구체의 도입을 위해 제공된다. 반면에 단계들(120,125,130)은 하나 이상의 공정 파라미터의 조정 및 상기와 동일한 또는 상이한 화학 전구체 또는 전구체들에 의해 제공된 연속식, 반연속식, 또는 계단식 구배 화학 조성을 가지는 제1층을 기재 상에 제1 구배층/필름(이하에서는 ‘층’또는 ‘코팅’의 증착과 함께 제1 층의 증착 도중 및/또는 후에 하나 이상의 파라미터를 조정하는 옵션을 제공한다. 예를 들어, ‘공정 파라미터’는 하나 이상의 플라스마 관련 파라미터 또는 플라스마 전력이나 산소 유량, 기재에 대한 플라스마 헤드의 위치 및/또는 거리, 증착시 플라스마 헤드의 속도 및/가속도, 하나 이상의 화학 전구체 재료의 유량 등과 같은 공정 제어를 포함한다. 단계들(140,145,150)는 제2 화학 전구체의 도입 및 제1층에 제2 층이 증착하는 것을 포함하며, 상기 제2 층은 제2 층의 증착을 시작하기 이전, 도중 또는 이후에 하나 이상의 공정 파라미터를 조정하는 옵션으로, 동일 또는 상이한 화학 전구체 및/또는 제1 층의 화학 전구체와 동일 또는 상이한 화학 전구체일 수 있는 전구체에 의해서 제공되는 연속식, 반연속식 또는 계단식 구배 화학 조성을 갖는다. 하나의 양상으로, 제2 화학 전구체는 제1 화학 전구체와 동일하다. 하지만, 일련의 증착된 필름의 구배 화학 조성을 위해 제공하도록 상이한 증착 파라미터의 사용으로 제2 화학 전구체의 증착이 발생한다. 단계(155)는 일련의 증착된 다층 필름 상에 증착 또는 ‘최상의 층’의 형성을 포함한다. 이 층은 부가적인 기능을 제공하거나, 다중 복합체 열역학적으로 또는 화학적으로 안정화시키 위해서 이용될 수 있다. 단계(160,165,170)는 방법의 연속 동작 및/또는 종료를 위해 제공된다.
도 2와 관련하여, 공정 흐름도(200,300)는 각각 별개의 독립적인 공정 또는 결합된 공정 프로그램을 구성할 수 있다. 단계(205,305)는 시작 프로그램과 플라스마 조건 설정(단계 210,310)을 위해서 제공된다. 단계(215,315)는 플라스마 헤드의 스캐닝 및/또는 래스터링을 시작 및/또는 기재의 이동(기재에 대해서 수직 방향 및/또는 수평 방향으로) 위해 제공된다. 단계(220,225,230)은 단계(320) 및/또는 단계(325)의 하나 또는 양방에 대해 독립적 및/또는 결합될 수 있다. 이들은 제1 전구체의 도입, 제1 공정 파라미터의 구현, 최소한 하나의 부가적인 전구체의 도입, 최소한 하나의 부가적인 공정 파라미터의 구현, 및 기재 표면을 따라 구배 화학 조성과 함께 공간적으로 분리된 층의 증착을 위해서 제공된 것이다. 단계(260,360,265,365,370)는 도시한 바와 같이, 방법의 연속 동작 및/또는 종료를 제공한다.
흐름도 및/또는 다이아그램의 방법 또는 상기한 장치(시스템)과 관련하여 본 명세서에서 설명하는 본 발명은 흐름도의 각각의 블록 및 블록 다이아그램 및 흐름도에서의 블록의 조합 및/또는 블록 다이아그램은 컴퓨터 프로그램 명령들에 의해서 구현될 수 있다고 이해될 것이다. 이들 컴퓨터 프로그램 명령들은 범용 컴퓨터의 프로세서, 특수 목적의 컴퓨터 또는 다른 프로그램 가능한 데이터 처리 장치에 제공될 수 있다. 도면에서의 흐름도와 블록 다이아 그램은 본 발명의 다양한 양상에 따른 시스템과 방법의 가능한 구현의 기능 및 동작을 예시한 것이다. 이와 관련하여, 흐름도에서의 각각의 블록 또는 블록 다이아그램은 컴퓨터에 의해 구현하기 위한 하나 이상의 구현 가능한 명령들에 의해 표현될 수 있는 임의의 프로세서 동작을 나타낼 수 있다. 또한 일부 대안적인 구현에서, 블록에서 주목되는 기능은 도면에서 명시된 순서를 벗어나서 일어날 수 있음을 유의해야 한다. 예를 들어, 연속해서 표시된 2개의 블록들은 사실상, 실질적으로 동시에 수행되는 것도 가능하고, 또한 블록들이 때때로 해당하는 기능이나 원하는 수득 필름에 따라 역순으로 수행될 수 있다. 또한 블록도 및/또는 흐름도의 각각의 블록 및 블록 다이아그램 및/또는 흐름도에서의 블록의 조합들은 특정 기능을 수행하는 특수 목적 하드웨어 기반, 자동화된 시스템에 의해서 구현될 수 있다.
도 3a와 3b와 관련하여, 다층 및/또는 구배 조성 코팅을 적용하기 위한 본 발명의 시스템의 하나의 양상을 도시한 것이다. 여기에는 대기압 플라스마 장치(12), 하나 이상의 플라스마 공급원 가스 스트림(14), 하나 이상의 전구체 스트림(16), 임의로 하나 이상의 차폐 가스 스트림(18)를 포함하고 있다. 대기압 플라스마 장치(12)의 출구(20)는 단일 또는 다성분 플라스마(22)로서 기재(24) 상에 다층 코팅(26)을 적용하기 위해 기재(24)에 대해서 수평 방향 또는 수직 방향으로 위치할 수 있다. 플라스마(22)는 예를 들면, 도 3a에 나타낸 바와 같은 어떤 형태이거나 또는 점 광원, 샤워 헤드형 패턴 또는 발산형 또는 수렴형일 수 있다.
기재(24)는 플라스마(22)에 의해 다층 코팅을 수용할 수 있는 어떤 기재일 수 있다. 기재(24)는 금속 또는 비금속일 수 있으며, 비금속은 세라믹, 플라스틱, 폴리머, 무기/유기 재료의 복합체, 폴리머 복합체 및 무기/유기 섬유를 포함한다. 기재(24)는 도전성, 비전도성 또는 반 전도성일 수 있다. 하나의 특별한 양상으로, 기재(24)는 연신된 아크릴과 같은 투명한 폴리머 기재일 수 있다. 또한, 도 3은 실질적으로 평탄한 구조를 가지고 있지만, 이 기술 분야의 통상의 기술자들은 다양한 형상, 표면 윤곽, 크기 및 구조를 가지는 기재(24)가 본 발명의 벗어남이 없이 사용될 수 있다고 이해할 것이다.
대기압 플라스마 장치(12)는 바람직하게 대기압 조건 하에서 플라스마(22)를 형성하기 위하여 물질을 여기할 수 있는 어떤 장치 또는 시스템일 수 있다. 대기압 플라스마 장치(12)는 종래에도 잘 알려진 직류 에너지, 라디오 주파수 에너지를 사용하여 플라스마(22)를 발생하도록 구성되어 있다. 하나의 양상에서, 대기압 플라스마 장치(12)는 대기압 플라스마 분무건일 수 있다. 본 발명에 따라 유용한 대기압 플라스마 장치(12)의 일례는 캘리포니아, 컬버 시티의 Surfx Technologies, LLC 로 이용할 수 있는 ATOMFLOTM 400 플라스마 시스템이다.
플라스마 공급원 가스 스트림(14)은 대기압 플라스마 장치(12)에 의해서 활성시 대기압 플라스마를 형성할 수 있는 하나 이상의 가스상 화학 전구체(반응성 및/또는 비반응성)일 수 있다. 적당한 플라스마 형성 가스는 산소 가스(O2), 질소 가스(N2), 수소 가스(H2) 및 불소 가스(F2) 그 외에 희가스(헬륨, 네온, 아르곤, 크립톤)와 같은 다른 가스와 같이 가스로서 천연적으로 발생하는 천연 폴리머 화합물을 포함한다. 플라스마 공급원 가스 스트림(14)은 특별한 조합의 가스를 포함할 수도 있다. 예를 들면, 플라스마 공급원 가스 스트림(14)은 실질적으로 순수한 헬륨, 아르곤의 스트림일 수 있다. 이것은 온도 민감성 폴리머 상에 증착하기 위해서 저온을 여전히 유지하는 활성 종의 고농도를 가질 수 있다. 헬륨 또는 아르곤 가스는 하나 이상의 추가적인 가스로서, 예를 들면, 산소, 질소, 이산화탄소, 황화수소 , 암모니아 등을 포함할 수 있다. 플라스마 가스 공급원 스트림(14)은 특별한 공급원 가스 스트림 조합을 도입하기에 용이하도록 절적한 시간 동안 플라스마 장치를 공급하기 위해 프로그램화될 수 있다.
하나의 양상으로, 플라스마 공급원 가스 스트림(14)는 비주변 조건하에서 대기압 플라스마 장치(12)에 공급할 수 있다. 또 다른 양상으로, 플라스마 공급원 가스 스트림(14)은 주변 조건에서 대기압 플라스마 장치(12)에 공급될 수 있다. 예를 들어, 플라스마 공급원 가스 스트림(14)은 약 1기압의 압력과 약 25℃의 온도일 수 있다. 이 기술 분야의 통상의 기술자들은 플라스마 공급원 가스 스트림(14)의 물리성은 특정한 응용분야에 따라 달라질 수 있고, 공급원 재료, 기재 및/또는 원하는 다층 코팅의 최종 두께 및/또는 최종 조성의 본성에 따라 대기압 플라스마 장치(12)의 성능을 최적화하기 위해 조정될 수 있다는 것을 이해할 것이다.
전구체 스트림(16)은 하나 이상의 동일 또는 상이한 전구체 또는 전구체들의 스트림, 및 임의로, 대기압 플라스마 장치(12)에 전구체를 도입하기 위한 담체 가스일 수 있다. 담체 가스는 대기압 플라스마 장치(12)에서 실질적인 플라스마 형성을 겪지 않는 가스 또는 가스들의 조합으로부터 선택될 수 있다. 유용한 담체 가스의 일례로는 희가스, 예를 들면 헬륨 가스(He) 및 아르곤 가스(Ar)을 포함한다.
전구체는 대기압 플라스마(22)에 의해 기재(24) 상에 증착될 때 필름 또는 코팅(26)을 형성할 수 있는 어떤 재료일 수 있다. 하나의 양상으로, 전구체는 대기압 플라스마(22)에 의해서 기재(24) 상에 증착될 때, 실리콘 옥시 카바이드(SiOxCy) 필름 또는 코팅을 형성할 수 있는 재료일 수 있다. 다른 양상으로, 전구체는 환상 실록산일 수 (포함할 수) 있다. 전구체의 일례로는 테트라메틸사이클로사이클로테트라실록산("TMCTS"), 옥타메틸사이클로사이클로테트라실록산("OMCTS"), 디메틸실록산("DMSO") 및 헥사메틸디실록산 ("HMDSO")을 포함한다. 이러한 전구체의 조합은 본 명세서에서 개시된 방법으로 순차적으로 및/또는 함께 이용될 수 있다. 하나의 양상으로, 하나 이상의 모노머가 중합 필름을 생성하기 위해 대기압 플라스마에 단독으로 또는 다른 화학 전구체와 함께 도입될 수 있다. 또 다른 양상으로, 유기 금속 화합물, 예를 들어, 디에틸아연, 테트라알킬티탄화합물 등이 도전성, 반도전성 또는 절연성 필름을 생성하기 위해 대기압 플라스마에 단독으로 또는 다른 화학 전구체와 조합해서 도입될 수 있다. 내마모성 및/또는 내침식성 필름을 제공하기 위해 1,2-비스(트리에톡시실릴)에탄(BTESE), 테트라 에톡시실란(TEOS), 헥사메틸디실라잔(HMDSN); 전도성 폴리머 필름을 제공하기 위해서는 3,4-에틸렌디옥시티오펜(EDOT), 피롤을 함유하는 전구체; 소수성 필름을 제공하기 위해 CHClF2(클로로플루오로메탄); 인듐 산화주석을 제공하기 위해서는 인듐(acac)3; 반도전성 투명한 필름을 제공하기 위해서 Zn-도우프된 산화인듐을 제공하기 위해 다른 아연 질화물과 인듐 질화물의 혼합물과 같은 코팅물이 있다.
하나의 양상으로, 전구체는 표준 온도에서 및 압력 하에서 비교적 높은 증기압 액체일 수 있으며, 담체 가스는 전구체 스트림(16)을 형성하기 위해서 전구체를 통해서 비등될 수 있다. 하지만, 통상의 기술자들은 기화와 같은 다양한 대안적인 기술이 전구체 스트림(16)에 하나 이상의 전구체를 도입하기 위해서 사용될 수 있다. 하나의 특별한 양상으로, 전구체 스트림(16)은 주변 조건 하에서 TMCTS 액체를 통해서 헬륨 가스를 비등하는 것에 의해 형성될 수 있다.
차폐 가스 스트림(18)은 대기압 플라스마 장치(12)에서 실질적인 플라스마 형성을 겪지 않는 차폐 가스의 스트림일 수 있다. 차폐 가스는 플라스마(22)에 존재할 수 있지만, 어떤 특별한 이론에 제한됨이 없이, 플라스마(22)에 있는 대기의 물, 산소 및 다른 오염물의 영향을 최소화할 수 있다. 적당한 차폐 가스의 일례로는 희가스, 예를 들면 헬륨 가스(He) 및 아르곤 가스(Ar)를 포함한다. 차폐 가스 스트림(18)은 차폐 가스의 조합을 포함한다. 하나의 특별한 양상으로, 차폐 가스 스트림(18)은 실질적으로 순수한 헬륨 가스의 스트림일 수 있다.
하나의 양상으로, 차폐 가스 스트림(18)은 비주변 조건에서 대기압 플라스마 장치(12)에 공급될 수 있다. 하나의 다른 양상으로, 차폐 가스 스트림(14)은 주변 조건에서 대기압 플라스마 장치(12)에 공급될 수 있다. 예를 들어, 차폐 가스 스트림(14)은 약 1기압의 압력과 약 25℃의 온도에 있을 수 있다. 통상의 기술자들은 차폐 가스 스트림(14)의 물리성은 특별한 적용분야에 의존하고, 대기압 플라스마 장치(12)의 성능을 최적화하기 위해 조절될 수 있다는 것을 이해할 것이다. 이러한 관점에서, 통상의 기술자는 플라스마(22)를 형성하기 위하여 플라스마 공급원 가스 스트림(14), 전구체 스트림(16), 차폐 가스 스트림(18)을 대기압 플라스마 장치(12)에 도입되기 위해 형성될 수 있을 것으로 이해할 것이다. 하지만, 통상의 기술자는 대기압 플라스마 장치(12)에 도달하기 전에 2개 이상의 플라스마 공급원 가스, 전구체 및 차폐 가스(14,16,18)가 결합 및 혼합될 수 있는 것으로 이해할 것이다. 예를 들어, 플라스마 공급원 가스, 전구체 및 차폐 가스 스트림(14,16,18)은 단일 스트림으로 대기압 플라스마 장치(12)에 공급될 수 있다.
플라스마(22) 내에서 원하는 농도의 플라스마 공급원 가스, 전구체 및 차폐 가스를 얻기 위해서 플라스마 공급원 가스, 전구체 및 차폐 가스(14,16,18)의 유량이 통제될 수 있다. 도 3a에 표시한 바와 같이, 연관된 유량을 제어하기 위해서 플라스마 공급원 가스, 전구체 및 차폐 가스(14,16,18) 상에 제어 밸브(10)가 제공될 수 있다. 통상의 기술자는 플라스마(22)에서 플라스마 공급원 가스, 전구체 및 차폐 가스의 상대 농도가 대기압 플라스마 장치(12)의 성능을 최적화하고, 원하는 특성을 갖는 최종 코팅(26)을 부여하기 위해 조작될 수 있다는 것을 이해할 것이다. 코팅(26)의 탄소 함량을 증가시키기 위해 플라스마(22)에서의 산소의 농도는 감소(예를 들면, 유량의 조정에 의해)될 수 있으며, 그 결과 베이스 필름 또는 층(26)이 얻어진다.
대안적으로, 이하에서 설명하는 바와 같이, 상기 층이 보다 높은 무기성을 갖도록 플라스마(22)에서의 산소 농도는 증가될 수 있으며, 그 결과 치밀한 예를 들어 경질층(예를 들면, 기저 층 또는 필름 보다 큰 모듈러스)이 얻어진다. 이와 마찬가지로, 낮은 모듈러스 및 더 많은 탄력성을 갖는 보다 높은 무기성을 갖도록 플라스마(22)에서의 산소 농도는 감소될 수 있다.
하나의 양상으로, 플라스마 공급원 스트림(14)은 대기압 플라스마 장치(12)에 대한 입력의 약 30 LPM, 전구체 스트림(16)은 대기압 플라스마 장치(12)에 대한 입력의 약 2-5 LPM, 나머지는 차폐 가스 스트림(18)을 포함할 수 있다. 다른 양상으로, 플라스마 공급원 가스 스트림(14)은 고작 대기압 플라스마 장치(12)에 대한 입력의 약 2부피% 및 전구체 스트림(16)은 고작 대기압 플라스마 장치(12)에 대해 입력의 약 5부피%, 나머지는 차폐 가스(18)를 포함한다. 또 다른 양상으로, 플라스마 공급원 가스 스트림(14)은 대기압 플라스마 장치(12)에 대한 입력의 약 1 내지 2부피%, 전구체 스트림(16)은 대기압 플라스마 장치(12)에 대한 입력의 약 10부피%, 차폐 가스(18)는 0 내지 100 부피%를 포함한다.
도 3a, 3b로 돌아와서, 도 4a, 4b 및 5도 마찬가지로, 기재(24)에 다층 필름 코팅을 부여하기 위한 방법은 도시하지는 않았지만, 기재를 세정하는 단계로부터 시작할 수 있다. 기재(24)는 다양한 용매, 예를 들면 케톤 또는 저급 알콜(예를 들면 메탄올 또는 이소프로필 알콜)를 사용하여 세정될 수 있다. 플라스마는 기재(24)를 세정 및/또는 활성화시키기 위하여 사용될 수도 있다. 플라스마에서 산소의 존재는 다층 필름 코팅(26)을 수용하는 표면을 활성화시킬 수 있다. 세정 기재는 임의로 종래에 잘 알려진 바와 같은 알루미늄계 졸-겔 접착 촉진제 또는 실리콘계 접착 촉진제와 같은 접착 촉진제로 처리될 수 있다.
현재 설명하는 시스템은 플라스마(22)를 형성하기 위해 대기압 플라스마 장치(12)를 플라스마 공급원 가스, 전구체 및 차폐 가스 스트림(14,16,18)와 함께 공급하는 것에 의해 형성될 수 있다. 플라스마(22)의 크기(폭 또는 직경)는 대기압 플라스마 장치(12)의 크기, 플라스마 공급원 가스의 유량을 포함해서 다양한 인자들에 의존할 수 있다. 예를 들어, 플라스마(22)는 폭이 약 2인치일 수 있다.
도 3a 및 3b와 특히 관련하여, 기재(24)는 대기압 플라스마 장치(12)에 대해 수평으로 기재(24)를 배치하는 것에 의해 공간적으로 코팅될 수 있으므로 플라스마(22)가 기재 상에 제1 코팅(26)으로 증착한다. 플라스마(22)는 기재의 표면과 실질적으로 평행한 방향을 따라서 이동 및/또는 기재(24)의 표면 상(또는 표면에 직접)에 제2 코팅(27)으로 증착되도록 기재를 횡단하는 래스터(easter) 스캔될 수 있다. 제2 코팅은 예를 들어, 이중 점선의 화살표로 표시한 바와 같이 제1 코팅의 것과는 다른 화학 조성 또는 구배 조성이다. 제1 코팅과 제2 코팅과의 관계는 랜덤할 수 있고, 2차원 예를 들면 증착된 다층 필름의 세로 방향의 축에 존재하는 패턴일 수 있다.
휴대용 장치가 사용될 수 있지만, 통상의 기술자는 다중축 제어 유니트가 기재(24)와 대기압 플라스마 장치(12) 사이 및/또는 이들을 따라서 제공 및/또는 유지되게 적당한 자동화가 채택될 수 있다는 것을 이해할 것이다. 연속성, 반연속성 또는 계단형 구배 화학 조성물을 가지는 하나 이상의 추가적인 코팅이 코팅(26,27) 중 하나 또는 양방 위에 공간적으로 증착될 수 있다. 어떤 다른 적당한 코팅 방법(예, 졸-겔)을 사용하여 다른 코팅(비플라스마 증착)이 공간적으로 분리된 코팅(26,27)에 적용될 수 있다. 도 4a 및 4b와 관련하여, 예시적인 다층 코팅(75)이 도시되어 있으며, 플라스마 장치(12)가 한쌍의 이중 점선의 화살표로 표시한 바와 같이 기재 표면에 대해서 수평 방향 및/또는 수직 방향으로 이동하게 된다. 도 3a 및 3b의 공간적으로 분리된 양상과 4a 및 4b의 양상의 조합이 상상된다.
도 5와 관련하여, 다층 코팅(77)의 양상이 도시되어 있다. 다층 코팅(77)은 복수의 증착 필름(80,82,83,84)으로 표시되며, 이들 필름은 전구체 공급원 조성, 전구체 공급원 조성 및 플라스마 공급원 가스 조성, 기재(24) 단독 또는 플라스마 장치(12)의 수직 조정과 조합한 기재(24)의 이동 속도, 마찬가지로 예를 들어 플라스마 헤드(12) 또는 기재(24)의 이동을 나타내는 점선 화살표로 나타낸 것과 같이 다른 공정 파라미터들 또는 앞서 언급한 공정 파라미터와의 조합을 포함하는 하나 이상의 공정 파라미터의 조정에 의해서 증착될 수 있다. 증착 필름(80,82,83,84,86)은 하나 이상의 공간적으로 분리된 필름 및 필름이 증착되어 있는 기재에 대해서 수직 또는 수평 방향으로 화학 조성 구배를 갖는 증착 필름의 대표적인 것이다. 하나의 양상으로서, 본 명세서에서 기재하는 방법은 물품의 표면에 구배 다층 필름을 제공하기 위해서 사용된다. 물품의 표면은 항공기 운송수단의 최소한 한 부위로 할 수 있다. 도 5에 표시한 바와 같이, 제1 필름은 제2 필름 또는 복수의 필름 내에 제공될 수 있으며, 예를 들면 하나 이상의 공정 파라미터 및/또는 플라스마 헤드 이동 및/또는 물품(또는 기재)의 이동을 제어하는 것에 의해서 다중 차원의 패턴에서 가변하는 원소 조성(필름 조성의 하나 이상의 원소들)을 독립적으로 가지는 하나 이상의 필름(동일 또는 다른 화학 조성) 내에 제공될 수 있다.
실시예
Surfx Technologies LLC로부터 얻어진 대기압 플라스마 Atomflo 400 장치를 다층 필름을 증착하는데 사용하였다. 표 1은 알루미늄 기재 상에 공간적으로 분리된 실리콘-옥시-카바이드(SiOxCy) 코팅의 제조를 위한 공정 조건을 나타낸 것이다. 이 장치는 대략 0.3-0.5 L/min의 산소 가스, 헬륨 가스에 의해 운반되는 1.0 L/min의 TMCTS 및/또는 HMDSO, 및 30 L/min의 헬륨 가스(차폐 가스)가 공급되었다. TMCTS/헬륨 스트림은 주변 조건에서 TMCTS 액체의 용기를 통해서 헬륨 가스 담체를 비등하는 것에 의해 얻어졌다. 앞의 설명으로부터, 상기 조성 및 방법에서의 다양한 변형 및 변경은 통상의 기술자에게서 발생될 수 있을 것이다.
Figure 112016034957289-pct00001
Figure 112016034957289-pct00002
Figure 112016034957289-pct00003
표 1은 오제(Auger) 분석을 위해 제조한 샘플 G-1 및 G-2(알루미늄 기재)에 대한 증착 조건과 노출된 아크릴 기재 상에서 제조한 샘플 G-3, G4 및 ML-17에 대한 증착 조건이다.
표 1은 하나 이상의 플라스마 분말, 담체 가스 유량, 전구체 온도, 비등기 유량율 또는 희석 유량의 조정을 입증하고 있으며, 이들은 표 2에서 표시한 바와 같이 증착 도중에 하나 이상의 층의 조성에 영향을 줄 것이다. 플라스마 헤드의 수직한 위치는 기재 표면에 대해서 이들 실시예에서 일정하게 유지되었다. 그러나, 플라스마 헤드의 수직한 위치는 이상에서 언급한 바와 같이 변할 수 있다.
표 2는 제1의 공간적으로 분리된 영역의 지정 #3에서 상기의 샘플 “”에서 수행한 오제 분석을 나타낸 것이다. 1,000Å 후막 Ta2O3 두께 표준이 아르곤 이온 스퍼터링 속도를 측정하는데 사용되었다. 계산된 스퍼터링 속도는 125Å/min이었다. 오제 전자 분과법 및/또는 주사 전자 현미경법(SEM) 및 X-선 형광 분광기(EDX) 분석(SEM-EDX) 분석 방법에 의해서 측정된 탄소 함량 변화는 최하위 근처에서 보다 많은 탄소 함량을 표시하여 최소한 하나의 차원으로 조성에서의 구배를 보여준다. 필름의 최상위는 사실상 탄소가 없거나 미량을 갖고 있어 최상위에 유리, 내구성, 경질 필름을 생성한다. 필름의 최하위에서의 탄소의 고농도는 폴리머 기재에 대한 개선된 잡착성을 제공한다.
Figure 112016034957289-pct00004
표 2는 제1 공간적으로 분리된 영역 #3에서의 샘플 G1의 오제 분석이다.
표 3은 TMCTS와 HMDSO 전구체의 조합으로 증착된 제2 샘플에 해당하는 샘플 “”에서 수행한 오제 분석을 나타낸 것이다.
Figure 112016034957289-pct00005
표 3은 샘플 G2의 오제 분석이다.
다른 실시예에서, 구배 필름 증착이 제공되는데, 여기서, 탄소가 풍부한 TMCTS 필름의 후막 기저층은 노출된 아크릴 기재의 최상위에 증착되었다. 필름 두께 이상의 필름의 화학적 조성을 그레이드하기 위하여(구배를 만들기 위하여) 보다 보다 단단하고, 유리같고, 코팅을 생성하는 HMDSO, 전구체가 점차적으로 도입되었다. 임의의 특정 이론에 지지되지는 않지만, 폴리머 표면(초기 플라스마 증착 공정 단계) 근처에 TMCTS의 사용은 양호한 접착층을 생성하는 반면에 플라스마 증착 공정의 종료 단계에서 HMDSO의 사용은 내구성이 있는 유리같은 “최상위 표면”끝마무리를 제공한다. 샘플 G-1, G-2, G-3 및 G-4는 유사한 방식으로 만들어진다. BA8과 BA14는 단일층 TMCTS 필름이고, ML_17은 TMCTS의 다층 필름으로서 시간의 함수로서 플라스마 O2 유량만 변화시켜서 만들어진다. 샘플 G-1, G-2, G-3 및 G-4는 도 6에서 보는 바와 같이 BA8, BA14 및 ML_17 보다 상당히 박막이다. 그것들 자체는 후막의 단일층 필름과 만큼 내침식성을 소유하고 있다고 예상되지 않을 것이다. 하지만, 놀라웁게도, 도 7에서 보는 바와 같이, 이들 현재 제조된 구배 필름 몇몇은 실제로 단층의 TMCTS 필름 보다 테이버(Taber) 마모 하에서 더 잘 수행된다. 이것은 플라스마 증착의 최종 단계에서 HMDSO 전구체와 함께 만들어지는 최상위에 있는 보다 단단한, SiO2-층 때문이라고 생각된다. 도 7에 표시된 단일 및 다층 필름에 대한 낙하 샌드 테스트 후의 헤이즈 변화는 후막의 단일층 필름과 비교해서 다층 필름에 대한 유사한 예기치 못한 결과를 보이고 있다.
기재의 성질은 폴리머 또는 비폴리머로 할 수 있지만, 하나의 예시적인 양상으로, TMCTS 전구체의 플라스마 증착으로부터 만들어진 2-4 미크론 후막층 필름을 제공하기 위해 노출된 폴리 메틸 메타크릴레이트(PMMA) 기재 상에 적층 필름을 증착하였다. TMCTS 플라스마 필름은 PMMA 상에 잘 접착되는 상대적으로 낮은 탄성 모듈러스(약 10GPa)를 가지는 것으로 측정되었다. 그 결과, TMCTS-플라스마 필름은 습식 및 건식 접착 시험 하에서 잘 수행하지만, 보다 높은 모듈러스를 갖는 플라스마 증착 필름 만큼 내구성이 있지 않을 것이다. 본 방법은 실시예의 방법으로, 제2 필름 상에(또는 직접적으로) 증착은 플라스마 증착된 OMCTS 전구체 및/또는 나노압입기술(나노인덴터 XP; Agilent Technologies)으로 측정했을 때 10 Gpa 보다 큰, 예를 들어 약 15-20 GPa의 모듈러스의 증착된 필름을 갖는 다른 전구체로부터 만들어진다. 하나의 양상으로, 제2 필름은 1미크론 두께 보다 적을 수 있다. 다른 양상으로서, 하나 이상의 특별한 기능적인 특성을 제공하기 위하여, 20 GPa 이상의 모듈러스를 가지고, 약 50 nm의 두께를 가지는 경질 필름의 최상위 층은 제2 층 상에(또는 직접적으로) 증착될 수 있다. 현재 설명하는 방법에 의해 얻어질 수 있는 적당한 기능으로는 한정하는 것은 아니지만, 네부식성 및/또는 내마모성, 도전성(전지 및/또는 열), 광학 특성(헤이즈 또는 선명도), 방사선의 흡수 및/또는 반사(IR, 마이크로파 등) 또는 이들의 조합(“다기능”을 포함한다.
도 8은 기재 표면에 대한 필름의 수평 방향의 두께에 걸쳐 화학적 구배를 제공하기 위하여 공정 파라미터를 변화시킨 OMCTS 전구체 가스 스트림, 공정 파라미터를 변화시킨 TMCTS 전구체 가스 스트림, 및 이들의 조합으로부터 제조된 구배 다층 필름의 추가 샘플에 대한 공정 파라미터 및 물성을 제공한 것이다. 도 8에 요약한 바와 같이, 화학적 조성, 이에 따른 다층 적층체 전체의 기계적 및/또는 물리적 특성을 서서히 변화시킴으로써, 예를 들어 선명도, 헤이즈 및 테이버 마모 등의 특성이 향상된 기재의 최적화가 제공될 수 있다. 예를 들어, 전체 필름에 걸쳐 접착성을 최적화하면서 부식 및 마모 모두에 대한 내성은 순차적인 방식으로 증착된 TMCTS-OMCTS의 선택으로 제공될 수 있다. 내구성 코팅에 추가해서, 예를 들어 대기압 플라스마 증착으로 만들어지는 다층 적층체는 표면에 다른 다기능성을 부여하기 위하여 사용될 수 있다. 예를 들어, 내구성 실리콘-옥시-카바이드 코팅을 제공하기 위해서 대기압 플라스마 증착(ZnO-플라스마-필름)을 이용하여 반도체 산화아연(ZnO) 필름이 증착될 수 있다. 이와 마찬가지로, ZnO 또는 다른 도전성 필름이 축적된 전하(charge buildup)의 정전 소실(static dissipation)을 개선시키기 위해 폴리카보네이트 또는 탄소섬유 강화 폴리머(CFRP)와 같은 기재 상에 증착될 수 있다.
하나의 양상으로, 도전성(전기적) 특성을 갖는 다층 필름은 유전체, 보호성 플라스마 증착된 필름과 결합될 수 있다. 따라서, 도전성 ZnO 플라스마 필름이 본 개시에 따라서 증착될 수 있고, 이러한 증착 필름은 예를 들면, 상기에서 설명한 바와 같이 TMCTS-OMCTS의 내구성 필름 적층체를 사용하여, 예를 들어, 최상위에 증착 또는 직접적으로 증착하는 것에 의해 보호될 수 있다. 다른 가능한 다기능 필름은 상기에서 설명한 바와 같이 내구성의 필름 적층체에 혼화된 내구성 층 또는 에너지 수확 필름에 의해서 포함되는 열 부하를 감소시키기 위해서 적외선 차단/편향 필름을 포함한다.
본 개시의 한 양상으로서, 전체 증착 또는 재료 배치 시스템을 제어하기 위해서 상업적인 로봇이 형성될 수 있다. 하나의 양상으로, 플라스마 헤드(12)는 다층 또는 구배 필름의 증착에 대해 플라스마 헤드의 최소한 2개 축의 이동을 제공하기 위해 하나 이상의 제어기 및/또는 중앙 제어 시스템에 결합되는 로봇 시스템의 관절 아암에 적합할 수 있다. 마찬가지로, 기재 또는 물품은 증착 도중에 다축 방향으로 이동될 수 있게 구성할 수 있다. 플라스마 헤드 및 물품/기재 모두는 다축 이동을 위해 구성될 수 있다. 다른 양상으로, 예를 들면, 기재 표면 위에 플라스마 헤드 주사로서 증착 조건을 약간 변경함으로써, 현재 개시하는 구배 필름 증착 공정을 그들의 기계적인 특성이 예를 들어 공간적으로 변하는 구배 필름을 제공하기 위해서 완전히 자동화될 수 있다. 현재 개시하는 다중 구배 필름의 기계적임 특성에 영향을 미치는 것으로 밝혀진 하나 이상의 파라미터는 산소 유량, 화학적인 것을 통한 헬륨 유량, 플라스마 헤드 속도, 및 플라스마 전력을 포함한다. 이들 파라미터는 증착 공정 중에 수동적으로 조절될 수 있게 공간적으로 구배 필름을 증착하기 위해서 표면을 가로질러서 플라스마 헤드 주사로 변화를 줄 수 있도록 프로그램화할 수 있다. 일례로서, 이러한 공정 타입이 사용될 수 있는 실시예는 다음과 같다: 기재의 특정 부위가 밀봉재로 피복될 수 있다면, 공간적으로 구배 필름의 적당한 기계적인 특성은 기재의 조성에 따라 달라질 것이다. 이러한 자동화된 대기압 플라스마 증착 방법을 사용하면, 공간적으로 플라스마가 증착된 필름의 조성과 특성을 “그레이드”할 것이며, 그로 인해 마스킹 또는 다른 시간 소모성 및 어려운 기술에 대한 필요성 없이 표면 위에서 변하는 부분들에 대한 최적의 필름을 생성할 것이다. 다른 양상으로, 본 명세서에서 개시한 방법들은 구성성분을 수리하는데도 채택될 수 있다.
본 명세서에서 개시한 양상 및 양상들은 예시 및 설명을 목적으로 나타낸 것이며, 이러한 양상들 또는 양상을 개시한 형태로 포괄하거나 한정하고자 하는 의도는 아니다. 다수의 변형 및 변경은 첨부하는 청구항의 범위 및 양상의 정신을 벗어남이 없이 통상의 기술자에게는 명백할 것이다. 상기 양상은 본 개시 내용 및 실제 응용의 양상의 원리를 최상의 상태로 설명하고, 고려되는 특별한 사용에 적합한 다양한 변형과 함께 다양한 양상을 통상의 기술자가 이해할 수 있도록 하기 위해 선택 및 설명한 것이다.
특정한 양상이 본 명세서에서 예시 및 설명되고 있지만, 통상의 기술자들은 동일한 목적을 달성할 수 있도록 산출되어지는 임의의 구성이 도시된 특정 양상으로 대체될 수 있고, 본 발명의 그 양상들은 다른 환경에서 다른 적용을 가진다는 것을 이해할 것이다.
100: 공정 흐름도
105: 시작 프로그램 기능 단계
110: 플라스마 조건의 설정 단계
113: 임의의 단계
115: 제1 화학 전구체 도입 단계
120: 하나 이상의 공정 파라미터 조정 단계
125: 기재 상에 1층/필름 증착 단계
130: 하나 이상의 공정 파라미터 조정 단계
140: 2차 화학 전구체 도입 단계
145: 제2층/필름 증착 단계
150: 하나 이상의 공정 파라미터 조정 단계
155: 최상위 층 증착 또는 형성 단계
160: 연속 동작 단계
165: 종료 프로그램
170: 반복 단계
200,300: 공정 흐름도
205,305: 시작 프로그램 단계
210,310: 플라스마 조건 설장 단계
215,315: 플라스마 및/또는 기재에 대한 스캐닝 시작 단계
220: 제1 전구체 도입 단계
225: 최소한 하나의 추가 전구체 도입 단계
230: 공간적으로 분리된 화학적으로 구배층/필름을 증착하는 단계
260,360: 연속 동작 단계
265,365: 종료 프로그램
270,370: 반복 단계
320: 1차 공정 파라미터 부여 단계
325: 최소한 하나의 추가 공정 파라미터 부여 단계
12: 대기압 플라스마 장치
14: 플라스마 공급원 가스 스트림
16: 전구체 스트림
18: 차폐 가스 스트림
20: 출구
22: 단일 또는 다성분 플라스마
24: 기재
26: 제1 코팅
27: 제2 코팅
75,77: 다층 코팅
80,82,83,84,86: 증착 필름

Claims (20)

  1. 다층 구배 조성 박막 필름을 제조하기 위한 방법으로, 상기 방법은
    적어도 하나의 화학 전구체를 플라스마에 도입하는 단계로서, 상기 도입하는 단계는 제1 화학 전구체와, 이 제1 화학 전구체와 다른 제2 화학 전구체를 포함하는, 도입하는 단계;
    상기 도입하는 단계 중에 또는 이후에, 일정 두께의 제1 필름과, 상기 제1 필름과 제2 필름 사이의 경계면을 기재의 표면에 증착하는 제1 증착 단계로서, 상기 제1 필름은 상기 제1 화학 전구체로부터 유래된 화학 조성을 가지고, 상기 경계면은 상기 제1 화학 전구체와 상기 제2 화학 전구체로부터 유래된 화학 조성을 가지는 제1 증착 단계;
    상기 제1 증착 단계 도중에 제2 화학 전구체의 증착에 관련된 적어도 하나의 플라스마 관련 공정 파라미터를 조정시키는 단계;
    상기 조정시키는 단계 후에, 상기 기재에 대해 수직 방향 또는 수직 방향, 또는 수직과 수평 방향의 조합 방향에 독립적으로 상기 경계면의 적어도 일 부분의 화학 조성을 변경하는 단계; 및
    상기 화학 조성을 변경하는 단계 중에 또는 이후에, 상기 제2 화학 전구체로부터 유래된 일정 두께의 상기 제2 필름을 증착하는 제2 증착 단계로서, 상기 제2 필름의 화학 조성은 제1 필름과 다르게 되어 있고, 상기 제2 필름의 적어도 일부분은 상기 표면에 대하여 수평 방향 또는 수직 방향 또는 수직과 수평 방향에서 상기 제1 필름으로부터 공간적으로 분리되어 있는, 제2 증착 단계;를 포함하고,
    상기 제1 증착 단계와 제2 증착 단계에 의하여 상기 제1 필름과 제2 필름 사이에 상기 경계면이 형성되어 있는, 박막 필름을 제조하기 위한 방법.
  2. 제1항에 있어서, 상기 도입하는 단계는, 제1 화학 전구체와 상기 제1 화학 전구체와 함께 플라스마에 도입되는 제1 화학 전구체와는 다른 제2 화학 전구체를 포함하는, 박막 필름을 제조하기 위한 방법.
  3. 제1항에 있어서, 상기 도입하는 단계는, 제1 화학 전구체를 플라스마로 도입한 후에, 제1 화학 전구체와는 상기 제2 화학 전구체를 도입하는 것을 포함하는, 박막 필름을 제조하기 위한 방법.
  4. 제1항에 있어서, 상기 조정시키는 단계는 플라스마 분말, 담체 가스 유량, 전구체 온도, 버블러 유량, 희석 유량 또는 기재에 대한 플라스마 헤드 수직 위치로 이루어진 군으로부터 선택되는 하나 이상의 파라미터를 조정하는, 박막 필름을 제조하기 위한 방법.
  5. 제1항에 있어서, 상기 기재는 하나 이상의 반도체 재료, 금속 또는 비금속을 포함하는, 박막 필름을 제조하기 위한 방법.
  6. 제1항에 있어서, 상기 증착 단계는 대기압 플라스마 증착 기술을 포함하는, 박막 필름을 제조하기 위한 방법.
  7. 물품의 표면으로부터 일정 두께를 갖고 실리콘-옥시-카바이드를 포함하는 제1 필름과,
    상기 제1 필름과 다른 제2 필름 및
    상기 제1 필름과 제2 필름 사이의 경계면을 포함하고,
    상기 제1 필름은, 상기 물품의 표면에 대해 수직 방향 또는 수직과 수평 방향으로 제1 필름의 일정 두께의 최소한 일부위 내에서 구배 화학 조성을 가지고,
    상기 제2 필름은, 제1 필름 내에 존재하고, 구배 화학 조성을 갖고, 상기 제2 필름의 최소한 일부위는 상기 물품 표면에 대해서 수평, 수직 또는 수평과 수직 방향으로 상기 제1 필름과 공간적으로 분리되어 있고,
    상기 경계면은 상기 제2 필름의 원자 조성에 대하여 상기 제1 필름의 원자 조성의 변경을 포함하는, 물품.
  8. 삭제
  9. 제7항에 있어서, 상기 제2 필름은 상기 물품 표면에 대해서 수직 방향으로 상기 제1 필름과 화학적으로 다른 물품.
  10. 제7항에 있어서, 상기 제2 필름은 상기 제1 필름 상에 직접적으로 존재하는 물품.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 제7항에 있어서, 상기 제1 필름과 상기 제2 필름 사이의 상기 경계면은 산소 및 탄소 중 하나 또는 양방의 원소 조성 구배를 포함하는 물품.
  16. 제7항에 있어서, 상기 물품의 표면은 우주항공 운송수단의 최소한 한 부위인 물품.
  17. 물품의 표면으로부터 일정 두께를 갖는 제1 필름과,
    제2 필름, 및 상기 제1 필름과 상기 제2 필름 사이의 경계면을 포함하고,
    상기 제1 필름은, 상기 물품의 표면에 대해 수직 방향 또는 수직과 수평 방향으로 제1 필름의 일정 두께의 최소한 일부위 내에서 구배 화학 조성을 가지고,
    상기 경계면은 상기 제2 필름의 원자 조성에 대하여 상기 제1 필름의 원자 조성의 변경을 포함하고, 상기 경계면은 상기 물품 표면에 대하여 제1 필름의 수직 단면과 제2 필름의 수직 단면 사이에 있는, 물품.
  18. 제17항에 있어서, 상기 물품의 표면은 우주항공 운송수단의 최소한 한 부위인 물품.
  19. 삭제
  20. 삭제
KR1020167009588A 2013-12-12 2014-09-19 구배 박막 필름 KR102374881B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/104,796 2013-12-12
US14/104,796 US9139908B2 (en) 2013-12-12 2013-12-12 Gradient thin films
PCT/US2014/056467 WO2015088613A1 (en) 2013-12-12 2014-09-19 Gradient thin films

Publications (2)

Publication Number Publication Date
KR20160098165A KR20160098165A (ko) 2016-08-18
KR102374881B1 true KR102374881B1 (ko) 2022-03-15

Family

ID=51660657

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167009588A KR102374881B1 (ko) 2013-12-12 2014-09-19 구배 박막 필름

Country Status (8)

Country Link
US (1) US9139908B2 (ko)
EP (1) EP3080331A1 (ko)
JP (1) JP6381649B2 (ko)
KR (1) KR102374881B1 (ko)
CN (1) CN105723013B (ko)
AU (1) AU2014360781B2 (ko)
RU (1) RU2666198C1 (ko)
WO (1) WO2015088613A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
CN110168135B (zh) * 2017-01-12 2021-12-31 应用材料公司 硬涂层系统以及用于以连续卷绕式工艺制造硬涂层系统的方法
EP3700743A4 (en) 2017-10-27 2021-08-11 Applied Materials, Inc. SOFT COVERING LENS FILMS
JP2021523413A (ja) 2018-05-10 2021-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated フレキシブルディスプレイ用の交換可能なカバーレンズ
WO2020036693A1 (en) 2018-08-14 2020-02-20 Applied Materials, Inc. Multi-layer wet-dry hardcoats for flexible cover lens
RU2702881C1 (ru) * 2018-09-28 2019-10-11 Общество с ограниченной ответственностью "Научно-производственное объединение "Защитные покрытия", ООО "НПО "Защитные покрытия" Градиентное металлополимерное покрытие
CN114041181A (zh) 2019-06-26 2022-02-11 应用材料公司 可折叠显示器的柔性多层覆盖透镜堆叠
WO2021076471A1 (en) * 2019-10-14 2021-04-22 Silcotek Corp. Cold thermal chemical vapor deposition
BR102022012045A2 (pt) * 2022-06-17 2024-01-02 Autocoat Equipamentos E Processos De Deposição Ltda Dispositivo para deposição de filmes finos por lâmina e processo derivado
CN117004920A (zh) * 2023-07-28 2023-11-07 上海大学 一种梯度h-BNC纳米自清洁薄膜设计方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012135879A (ja) * 2010-12-24 2012-07-19 Kojima Press Industry Co Ltd 樹脂製品及びその製造方法

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1051393A (ko) 1964-08-28 1900-01-01
US4414085A (en) * 1981-10-08 1983-11-08 Wickersham Charles E Method of depositing a high-emissivity layer
DE3706340A1 (de) * 1987-02-27 1988-09-08 Winter & Sohn Ernst Verfahren zum auftragen einer verschleissschutzschicht und danach hergestelltes erzeugnis
US5482602A (en) * 1993-11-04 1996-01-09 United Technologies Corporation Broad-beam ion deposition coating methods for depositing diamond-like-carbon coatings on dynamic surfaces
DE4445427C2 (de) * 1994-12-20 1997-04-30 Schott Glaswerke Plasma-CVD-Verfahren zur Herstellung einer Gradientenschicht
US20020005159A1 (en) * 1997-06-30 2002-01-17 Masatoshi Kitagawa Method of producing thin semiconductor film and apparatus therefor
US5926740A (en) * 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
JPH11124693A (ja) 1997-10-20 1999-05-11 Nippon Steel Corp 精密機器用部材
JP3782608B2 (ja) 1998-05-22 2006-06-07 キヤノン株式会社 薄膜材料および薄膜作成法
JP2000256850A (ja) * 1999-03-04 2000-09-19 Riken Corp ダイヤモンドライクカーボン薄膜及びその製造方法
US6221737B1 (en) 1999-09-30 2001-04-24 Philips Electronics North America Corporation Method of making semiconductor devices with graded top oxide and graded drift region
AU4901201A (en) 1999-10-25 2001-07-03 Rolls-Royce Corporation Erosion-resistant coatings for organic matric composites
US20050268962A1 (en) 2000-04-27 2005-12-08 Russell Gaudiana Flexible Photovoltaic cells, systems and methods
US20050257827A1 (en) 2000-04-27 2005-11-24 Russell Gaudiana Rotational photovoltaic cells, systems and methods
US6962751B2 (en) * 2001-06-13 2005-11-08 Sumitomo Electric Industries, Ltd. Amorphous carbon coated tools and method of producing the same
US6881475B2 (en) * 2001-06-13 2005-04-19 Sumitomo Electric Industries, Ltd Amorphous carbon coated tool and fabrication method thereof
JP4151000B2 (ja) * 2002-06-13 2008-09-17 株式会社オンワード技研 ワークの表面処理方法と、その装置
US6852920B2 (en) 2002-06-22 2005-02-08 Nanosolar, Inc. Nano-architected/assembled solar electricity cell
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US20050118502A1 (en) 2003-11-27 2005-06-02 Matsushita Electric Industrial Co., Ltd. Energy device and method for producing the same
WO2005071752A1 (en) * 2004-01-14 2005-08-04 International Business Machines Corporation Gradient deposition of low-k cvd materials
JP2008502805A (ja) * 2004-06-15 2008-01-31 アヴィザ テクノロジー インコーポレイテッド 多成分誘電体膜を形成するためのシステム及び方法
US20060210783A1 (en) * 2005-03-18 2006-09-21 Seder Thomas A Coated article with anti-reflective coating and method of making same
US7637967B2 (en) * 2005-12-08 2009-12-29 Siemens Energy, Inc. Stepped gradient fuel electrode and method for making the same
US7700167B2 (en) 2006-08-31 2010-04-20 Honeywell International Inc. Erosion-protective coatings on polymer-matrix composites and components incorporating such coated composites
WO2008114627A1 (ja) * 2007-03-16 2008-09-25 Konica Minolta Holdings, Inc. 防汚性積層体及びディスプレイ用前面板
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
JP5407869B2 (ja) * 2007-11-19 2014-02-05 コニカミノルタ株式会社 撥水または防汚性物品、それを用いて構成された建築用窓ガラス、車両用窓ガラス、ディスプレイ部材、光学部品
WO2009073862A1 (en) 2007-12-07 2009-06-11 Sunprint Inc. Focused acoustic printing of patterned photovoltaic materials
US8099792B1 (en) 2008-01-07 2012-01-17 Northwestern University Methods and apparatus for spatially resolved photocurrent mapping of operating photovoltaic devices using atomic force photovoltaic microscopy
US20090188558A1 (en) 2008-01-25 2009-07-30 University Of Washington Photovoltaic devices having metal oxide electron-transport layers
US20090229667A1 (en) 2008-03-14 2009-09-17 Solarmer Energy, Inc. Translucent solar cell
FR2931844B1 (fr) 2008-06-02 2013-11-01 Alex Hr Roustaei Systemes pour la production de l'energie a la demande comme une source seule ou en assistance avec autres sources d'energie dans le domaine du transport ou de l'habitat.
DE102008028540A1 (de) * 2008-06-16 2009-12-17 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zum Abscheiden einer Gradientenschicht auf einem Kunststoffsubstrat sowie Kunststoffsubstrat mit einer Gradientenschicht
DE102008033938B4 (de) 2008-07-18 2012-04-19 Innovent E.V. Verfahren zur Abscheidung von Schichten auf einem Substrat
US20110253217A1 (en) 2008-09-30 2011-10-20 The Regents Of The University Of California Controlled Alignment in Polymeric Solar Cells
US8269100B2 (en) 2008-09-30 2012-09-18 Uchicago Argonne, Llc Hybrid solar cells via UV-polymerization of polymer precursor
CN102203972A (zh) 2008-10-31 2011-09-28 巴斯夫欧洲公司 用于制备有机太阳能电池和有机光电探测器用光活化层的部花青
DE102008060923B4 (de) 2008-12-06 2012-09-27 Innovent E.V. Verwendung einer Schicht
FR2956869B1 (fr) 2010-03-01 2014-05-16 Alex Hr Roustaei Systeme de production de film flexible a haute capacite destine a des cellules photovoltaiques et oled par deposition cyclique des couches
KR20120002583A (ko) 2009-03-06 2012-01-06 유니버시티 오브 플로리다 리서치 파운데이션, 인크. 공기 중에 안정한 유-무기 나노입자 하이브리드 태양전지
JP5222764B2 (ja) * 2009-03-24 2013-06-26 株式会社神戸製鋼所 積層皮膜および積層皮膜被覆部材
US8206794B2 (en) * 2009-05-04 2012-06-26 The Boeing Company System and method for applying abrasion-resistant coatings
CN101560653A (zh) * 2009-05-14 2009-10-21 浙江大学 梯度折射率薄膜的制备方法
US20110030770A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Nanostructured organic solar cells
KR101707259B1 (ko) * 2009-08-07 2017-02-15 오를리콘 서피스 솔루션스 아크티엔게젤샤프트, 페피콘 내식성과 결합한 트라이볼로지: pvd 및 pacvd 코팅
EP2489084B1 (de) 2009-10-13 2015-12-23 Basf Se Mischungen zur herstellung von photoaktiven schichten für organische solarzellen und organische photodetektoren
CN102782011A (zh) 2009-10-28 2012-11-14 华盛顿州大学 含噻唑并噻唑或苯并双噻唑、或苯并双噁唑电子受体亚单元、和电子供体亚单元的共聚物半导体以及它们在晶体管和太阳能电池中的用途
WO2012031083A2 (en) 2010-09-01 2012-03-08 Iowa State University Research Foundation, Inc. Textured micrometer scale templates as light managing fabrication platform for organic solar cells
US20120024380A1 (en) 2010-10-27 2012-02-02 Primestar Solar, Inc. Intermixing of cadmium sulfide layers and cadmium telluride layers for thin film photovoltaic devices and methods of their manufacture
US20140000684A1 (en) 2010-12-03 2014-01-02 Jan Blochwitz-Nimoth Method for forming an electrical interconnection in an organic photovoltaic device and an organic photovoltaic device made by the same
AU2013278072B2 (en) * 2012-06-23 2016-03-17 Frito-Lay North America, Inc. Deposition of ultra-thin inorganic oxide coatings on packaging

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012135879A (ja) * 2010-12-24 2012-07-19 Kojima Press Industry Co Ltd 樹脂製品及びその製造方法

Also Published As

Publication number Publication date
CN105723013B (zh) 2018-01-16
RU2666198C1 (ru) 2018-09-06
AU2014360781A1 (en) 2016-04-21
AU2014360781B2 (en) 2018-04-12
WO2015088613A1 (en) 2015-06-18
JP6381649B2 (ja) 2018-08-29
KR20160098165A (ko) 2016-08-18
US20150167170A1 (en) 2015-06-18
CN105723013A (zh) 2016-06-29
EP3080331A1 (en) 2016-10-19
JP2017500448A (ja) 2017-01-05
US9139908B2 (en) 2015-09-22

Similar Documents

Publication Publication Date Title
KR102374881B1 (ko) 구배 박막 필름
Martinu et al. Plasma-enhanced chemical vapor deposition of functional coatings
TWI504921B (zh) 藍寶石上之疏油性塗層
US8230807B2 (en) Coatings, and methods and devices for the manufacture thereof
WO2014210613A1 (en) Method for deposition of high-performance coatings and encapsulated electronic devices
US20070148407A1 (en) Water-Repellent Structure and Method for Making the Same
JP2016513753A (ja) 酸素分圧を有する環境内におけるアルミニウム源の使用によって酸化アルミニウムを基板上に成長させ、透光性、耐スクラッチ性の窓部材を形成する方法。
TW201331143A (zh) 用於製造具光學及易於清潔之塗層的玻璃製品之製程
JP6276266B2 (ja) 封入バリアフィルムを備える光起電装置
TW200935086A (en) Anti-reflection plate and method for manufacturing the anti-reflection structure thereof
JP6022629B2 (ja) コーティング方法
Ji et al. Porous but mechanically robust all-inorganic antireflective coatings synthesized using polymers of intrinsic microporosity
Snyders et al. Foundations of plasma enhanced chemical vapor deposition of functional coatings
JP6085305B2 (ja) 大気圧プラズマを用いる堆積のための方法及び装置
CN1793420A (zh) 涂覆特殊光学涂层的方法
Maula Atomic layer deposition for industrial optical coatings
CN108349792A (zh) 复合光学涂层及其制造方法(变型)
Maula Atomic layer deposition (ALD) for optical nanofabrication
JP7410964B2 (ja) 薄膜中に金属または金属酸化物を含むシリコン金属酸化物封止膜およびその製造方法
Alcott Plasma deposition of nanocomposite thin films: Process concept and realisation
Suzaki et al. Fabrication of a Transparent Anti-stain Thin Film Using an Atmospheric Pressure Cold Plasma Deposition System
Yan et al. Observation and analysis of micro pizza defects in organosilicon coatings of industrial manufacture
Lee et al. Barrier SiO
Wang et al. Investigation on Micro Defects of the Protective Coating SiOx in Mass Manufacturing Processes
Teshima et al. Gas Barrier Performance of Surface-Modified Silica Films: Dependencies on Surface Functional Groups of the Films

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant