KR102264005B1 - 플라즈마 처리 장치 - Google Patents

플라즈마 처리 장치 Download PDF

Info

Publication number
KR102264005B1
KR102264005B1 KR1020150008665A KR20150008665A KR102264005B1 KR 102264005 B1 KR102264005 B1 KR 102264005B1 KR 1020150008665 A KR1020150008665 A KR 1020150008665A KR 20150008665 A KR20150008665 A KR 20150008665A KR 102264005 B1 KR102264005 B1 KR 102264005B1
Authority
KR
South Korea
Prior art keywords
gas
processing
gas supply
supply pipes
gas introduction
Prior art date
Application number
KR1020150008665A
Other languages
English (en)
Other versions
KR20150087120A (ko
Inventor
노리히코 아미쿠라
노리카즈 사사키
아츠시 사와치
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150087120A publication Critical patent/KR20150087120A/ko
Application granted granted Critical
Publication of KR102264005B1 publication Critical patent/KR102264005B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 전환을 고속 또한 균일하게 행하는 것이다. 플라즈마 처리 장치는, 피처리 기판에 플라즈마 처리를 실시하기 위한 처리 용기와, 전환 가능한 제 1 및 제 2 가스 공급관에 각각 연통되고, 제 1 및 제 2 가스 공급관으로부터 각각 공급되는, 플라즈마 처리에 이용되는 제 1 및 제 2 처리 가스를 처리 용기 내로 개별로 유도하는 제 1 및 제 2 가스 도입홀로서, 서로 인접한 상태로 교호로 배치된 제 1 및 제 2 가스 도입홀을 가지는 가스 도입 부재를 구비했다.

Description

플라즈마 처리 장치{PLASMA PROCESSING APPARATUS}
본 발명의 다양한 측면 및 실시예는 플라즈마 처리 장치에 관한 것이다.
종래, 다양한 처리 가스를 간헐적으로 전환함으로써, 피처리체로서의 반도체 웨이퍼에 원하는 플라즈마 처리를 실시하는 플라즈마 처리 장치가 알려져 있다.
이러한 플라즈마 처리 장치는, 예를 들면 반도체 웨이퍼에 플라즈마 처리를 실시하기 위한 처리 용기와, 전환 가능한 복수의 가스 공급관에 연통되고, 복수의 가스 공급관의 각각으로부터 공급되는 다양한 처리 가스를 처리 용기 내로 도입하는 홀을 가지는 가스 도입 부재를 구비한다. 또한 플라즈마 처리 장치에서는, 처리 용기 내의 처리 가스를 플라즈마화하기 위한, 마이크로파, RF파 등의 전자 에너지가 처리 용기 내로 공급된다. 가스 도입 부재의 홀로부터 처리 용기 내의 처리 공간으로 공급된 처리 가스가 전자 에너지에 의해 플라즈마화되고, 플라즈마 중의 이온 또는 라디칼에 의해, 반도체 웨이퍼에 원하는 플라즈마 처리가 실시된다.
일본특허공개공보 2010-103358호
그러나 상술한 종래 기술에서는, 복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 전환을 고속 또한 균일하게 행하는 것까지는 고려되어 있지 않다.
즉, 종래 기술에서는, 전환 가능한 복수의 가스 공급관에 공통으로 연통된 가스 도입 부재의 홀을 이용하여, 복수의 가스 공급관의 각각으로부터 공급되는 다양한 처리 가스를 처리 용기 내로 도입하고 있다. 이 때문에, 종래 기술에서는, 가스 공급관의 전환이 행해지고 나서, 전환 후의 처리 가스에 의해 전환 전의 처리 가스가 가스 도입 부재의 홀로부터 밀려나갈 때까지의 소정의 시간이 발생한다. 그 결과, 종래 기술에서는, 복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 전환이 지연될 우려가 있다.
또한 종래 기술에서는, 상술한 소정의 시간에서, 전환 후의 처리 가스와 전환 전의 처리 가스가 혼합되고, 혼합된 가스가 가스 도입 부재의 홀로부터 유출되므로, 복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 균일성이 저해될 우려가 있다.
개시하는 플라즈마 처리 장치는, 하나의 실시예에 있어서, 피처리 기판에 플라즈마 처리를 실시하기 위한 처리 용기와, 전환 가능한 제 1 및 제 2 가스 공급관에 각각 연통되고, 상기 제 1 및 상기 제 2 가스 공급관으로부터 각각 공급되는, 상기 플라즈마 처리에 이용되는 제 1 및 제 2 처리 가스를 상기 처리 용기 내로 개별로 유도하는 제 1 및 제 2 가스 도입홀로서, 서로 인접한 상태로 교호로 배치된 제 1 및 제 2 가스 도입홀을 가지는 가스 도입 부재를 구비했다.
개시하는 플라즈마 처리 장치의 하나의 태양에 따르면, 복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 전환을 고속 또한 균일하게 행할 수 있다고 하는 효과를 얻는다.
도 1은 본 발명의 일실시예에 이용하는 플라즈마 처리 장치의 개략 구성을 모식적으로 도시한 도이다.
도 2는 일실시예에서의 샤워 헤드를 가스 도입홀측에서 본 평면도이다.
도 3은 일실시예에서의 샤워 헤드의 제 1 가스 확산실을 통과하는 횡단면도이다.
도 4는 일실시예에서의 샤워 헤드의 제 2 가스 확산실을 통과하는 횡단면도이다.
도 5a ~ 도 5g는 플라즈마 에칭되는 반도체 웨이퍼의 단면 구성을 모식적으로 도시한 도이다.
도 6은 일실시예에 따른 플라즈마 에칭 방법의 공정을 나타낸 순서도이다.
도 7은 다른 실시예에서의 샤워 헤드를 가스 도입홀측에서 본 평면도이다.
도 8은 다른 실시예에서의 샤워 헤드의 제 1 가스 확산실을 통과하는 횡단면도이다.
도 9는 본 실시예에서의 샤워 헤드의 제 2 가스 확산실을 통과하는 횡단면도이다.
이하, 본 발명의 일실시예에 대하여 도면을 참조하여 설명한다. 도 1은 본 실시예에 사용하는 플라즈마 처리 장치의 구성을 도시한 것이다. 우선, 플라즈마 처리 장치의 구성에 대하여 설명한다.
플라즈마 처리 장치는, 기밀하게 구성되고, 전기적으로 접지 전위가 된 처리 챔버(1)를 가지고 있다. 이 처리 챔버(1)는 원통 형상으로 이루어지고, 예를 들면 표면에 양극 산화 피막이 형성된 알루미늄 등으로 구성되어 있다. 처리 챔버(1)는, 피처리 기판에 플라즈마 처리를 실시하기 위한 처리 용기의 일례이다. 처리 챔버(1) 내에는, 피처리 기판인 반도체 웨이퍼(W)를 수평하게 지지하는 재치대(載置臺)(2)가 설치되어 있다.
재치대(2)는, 그 기재(2a)가 도전성의 금속, 예를 들면 알루미늄 등으로 구성되어 있고, 하부 전극으로서의 기능을 가진다. 이 재치대(2)는 절연판(3)을 개재하여 도체의 지지대(4)에 지지되어 있다. 또한, 재치대(2)의 상방의 외주에는, 예를 들면 단결정 실리콘으로 형성된 포커스 링(5)이 설치되어 있다. 또한, 재치대(2) 및 지지대(4)의 주위를 둘러싸도록, 예를 들면 석영 등으로 이루어지는 원통 형상의 내벽 부재(3a)가 설치되어 있다.
재치대(2)의 기재(2a)에는, 제 1 정합기(11a)를 개재하여 제 1 고주파 전원(10a)이 접속되고, 또한 제 2 정합기(11b)를 개재하여 제 2 고주파 전원(10b)이 접속되어 있다. 제 1 고주파 전원(10a)은, 플라즈마 발생용의 것이며, 이 제 1 고주파 전원(10a)으로부터는 소정 주파수(27 MHz 이상 예를 들면 40 MHz)의 고주파 전력이 재치대(2)의 기재(2a)로 공급되도록 되어 있다. 또한, 제 2 고주파 전원(10b)은 이온 인입용(바이어스용)의 것이며, 이 제 2 고주파 전원(10b)으로부터는 제 1 고주파 전원(10a)보다 낮은 소정 주파수(13.56 MHz 이하, 예를 들면 3.2 MHz)의 고주파 전력이 재치대(2)의 기재(2a)로 공급되도록 되어 있다. 한편 재치대(2)의 상방에는, 재치대(2)와 평행하게 대향하도록, 상부 전극으로서의 기능을 가지는 샤워 헤드(16)가 설치되어 있고, 샤워 헤드(16)와 재치대(2)는 한 쌍의 전극(상부 전극과 하부 전극)으로서 기능하도록 되어 있다. 샤워 헤드(16)는 절연성 부재(45)를 개재하여 처리 챔버(1)의 상부에 지지되어 있다.
또한 샤워 헤드(16)는, 복수의 가스 확산실 및 복수의 가스 도입홀을 내부에 가지고, 복수의 가스 확산실 및 복수의 가스 도입홀로부터, 재치대(2)에 지지된 반도체 웨이퍼(W) 상에 소정의 처리 가스를 분출한다. 또한, 샤워 헤드(16)의 구조의 일례에 대해서는 후술한다.
재치대(2)의 상면에는, 반도체 웨이퍼(W)를 정전 흡착하기 위한 정전 척(6)이 설치되어 있다. 이 정전 척(6)은 절연체(6b)의 사이에 전극(6a)을 개재시켜 구성되어 있고, 전극(6a)에는 직류 전원(12)이 접속되어 있다. 그리고, 전극(6a)에 직류 전원(12)으로부터 직류 전압이 인가됨으로써, 쿨롱력에 의해 반도체 웨이퍼(W)가 흡착되도록 구성되어 있다.
지지대(4)의 내부에는 냉매 유로(4a)가 형성되어 있고, 냉매 유로(4a)에는 냉매 입구 배관(4b), 냉매 출구 배관(4c)이 접속되어 있다. 그리고, 냉매 유로(4a) 내에 적절한 냉매, 예를 들면 냉각수 등을 순환시킴으로써, 지지대(4) 및 재치대(2)를 소정의 온도로 제어 가능하게 되어 있다. 또한, 재치대(2) 등을 관통하도록, 반도체 웨이퍼(W)의 이면측으로 헬륨 가스 등의 냉열 전달용 가스(백 사이드 가스)를 공급하기 위한 백 사이드 가스 공급 배관(30)이 설치되어 있고, 이 백 사이드 가스 공급 배관(30)은, 도시하지 않은 백 사이드 가스 공급원에 접속되어 있다. 이러한 구성에 의해, 재치대(2)의 상면에 정전 척(6)에 의해 흡착 보지(保持)된 반도체 웨이퍼(W)를 소정의 온도로 제어 가능하게 되어 있다.
상기한 상부 전극으로서의 샤워 헤드(16)에는 로우 패스 필터(LPF)(51)를 개재하여 가변 직류 전원(52)이 전기적으로 접속되어 있다. 이 가변 직류 전원(52)은 온·오프 스위치(53)에 의해 급전의 온·오프가 가능하게 되어 있다. 가변 직류 전원(52)의 전류·전압 및 온·오프 스위치(53)의 온·오프는, 후술하는 제어부(60)에 의해 제어되도록 되어 있다. 또한 후술하는 바와 같이, 제 1 고주파 전원(10a), 제 2 고주파 전원(10b)으로부터 고주파가 재치대(2)에 인가되어 처리 공간에 플라즈마가 발생할 시에는, 필요에 따라 제어부(60)에 의해 온·오프 스위치(53)가 온으로 되고, 상부 전극으로서의 샤워 헤드(16)에 소정의 직류 전압이 인가된다.
처리 챔버(1)의 측벽으로부터 샤워 헤드(16)의 높이 위치보다 상방으로 연장되도록 원통 형상의 접지 도체(1a)가 설치되어 있다. 이 원통 형상의 접지 도체(1a)는 그 상부에 천벽을 가지고 있다.
처리 챔버(1)의 저부에는 배기구(71)가 형성되어 있고, 이 배기구(71)에는 배기관(72)을 개재하여 배기 장치(73)가 접속되어 있다. 배기 장치(73)는, 진공 펌프를 가지고 있고, 이 진공 펌프를 작동시킴으로써 처리 챔버(1) 내를 소정의 진공도까지 감압할 수 있도록 되어 있다. 한편, 처리 챔버(1)의 측벽에는, 반도체 웨이퍼(W)의 반입출구(74)가 형성되어 있고, 이 반입출구(74)에는 당해 반입출구(74)를 개폐하는 게이트 밸브(75)가 설치되어 있다.
도면 중 76, 77은 착탈 가능하게 이루어진 퇴적물 실드이다. 퇴적물 실드(76)는, 처리 챔버(1)의 내벽면을 따라 설치되고, 처리 챔버(1)에 에칭 부생물(퇴적물)이 부착하는 것을 방지하는 역할을 가지고 있다. 이 퇴적물 실드(76)의 반도체 웨이퍼(W)와 대략 동일한 높이 위치에는, 직류적으로 그라운드에 접속된 도전성 부재(GND 블록)(79)가 설치되어 있고, 이에 의해 이상 방전이 방지된다.
상기 구성의 플라즈마 처리 장치는, 제어부(60)에 의해 그 동작이 통괄적으로 제어된다. 이 제어부(60)에는, CPU를 구비하고 플라즈마 처리 장치의 각 부를 제어하는 프로세스 컨트롤러(61)와, 유저 인터페이스(62)와, 기억부(63)가 설치되어 있다.
유저 인터페이스(62)는, 공정 관리자가 플라즈마 처리 장치를 관리하기 위하여 커멘드의 입력 조작을 행하는 키보드, 및 플라즈마 처리 장치의 가동 상황을 가시화하여 표시하는 디스플레이 등으로 구성되어 있다.
기억부(63)에는, 플라즈마 처리 장치에서 실행되는 각종 처리를 프로세스 컨트롤러(61)의 제어로 실현하기 위한 제어 프로그램(소프트웨어) 또는 처리 조건 데이터 등이 기억된 레시피가 저장되어 있다. 그리고 필요에 따라, 유저 인터페이스(62)로부터의 지시 등으로 임의의 레시피를 기억부(63)로부터 호출하여 프로세스 컨트롤러(61)에 실행시킴으로써, 프로세스 컨트롤러(61)의 제어하에서, 플라즈마 처리 장치에서의 원하는 처리가 행해진다. 또한, 제어 프로그램 또는 처리 조건 데이터 등의 레시피는, 컴퓨터로 판독 가능한 컴퓨터 기억 매체(예를 들면, 하드 디스크, CD, 플렉시블 디스크, 반도체 메모리 등) 등에 저장된 상태의 것을 이용하거나, 혹은 다른 장치로부터 예를 들면 전용 회선을 개재하여 수시 전송시켜 온라인으로 이용하는 것도 가능하다.
또한, 처리 챔버(1)의 측벽부에는 종점 검출 장치(EPD)(80)가 배치되어 있고, 처리 챔버(1)의 측벽부에 배치된 창(81)을 개재하여, 처리 챔버(1) 내의 처리 공간에서의 플라즈마의 발광 강도의 변화를 검출하여 에칭 처리의 종점을 검출할 수 있는 구성으로 되어 있다.
여기서, 도 1 ~ 도 4를 참조하여, 도 1에 도시한 샤워 헤드(16)의 구조의 일례를 설명한다. 도 2는 일실시예에서의 샤워 헤드를 가스 도입홀측에서 본 평면도이다. 도 3은 일실시예에서의 샤워 헤드의 제 1 가스 확산실을 통과하는 횡단면도이다. 도 4는 일실시예에서의 샤워 헤드의 제 2 가스 확산실을 통과하는 횡단면도이다.
도 1 및 도 2에 도시한 바와 같이, 샤워 헤드(16)는 원반 형상으로 형성되어 있다. 샤워 헤드(16)는 제 1 가스 확산실(16a)과, 제 2 가스 확산실(16b)과, 제 1 가스 확산실(16a)로부터 연장되는 제 1 가스 도입홀(16c)과, 제 2 가스 확산실(16b)로부터 연장되는 제 2 가스 도입홀(16d)을 내부에 가진다.
제 1 가스 확산실(16a)은 제 1 가스 공급 배관(15a)의 일단에 접속되고, 제 1 가스 공급 배관(15a)으로부터 공급되는 제 1 처리 가스를 확산시킨다. 제 1 가스 확산실(16a)은 제 1 가스 확산 영역의 일례이다. 제 1 가스 공급 배관(15a)의 타단에는 제 1 처리 가스를 공급하기 위한 제 1 처리 가스 공급원(15-1)이 접속된다. 제 1 가스 공급 배관(15a)에는 제 1 가스 공급 배관(15a)을 개폐하는 개폐 밸브(15b)가 설치된다.
제 1 가스 도입홀(16c)은, 도 1 및 도 3에 도시한 바와 같이, 제 1 가스 확산실(16a)로부터 연장되고, 또한 제 1 가스 확산실(16a)을 개재하여 제 1 가스 공급 배관(15a)에 연통된다. 제 1 가스 도입홀(16c)은, 제 1 가스 공급 배관(15a)으로부터 공급되는 제 1 처리 가스를, 제 1 가스 확산실(16a)을 거쳐 처리 챔버(1)의 내부로 유도한다.
제 2 가스 확산실(16b)은 제 2 가스 공급 배관(15c)의 일단에 접속되고, 제 2 가스 공급 배관(15c)으로부터 공급되는 제 2 처리 가스를 확산시킨다. 제 2 가스 확산실(16b)은 제 2 가스 확산 영역의 일례이다. 제 2 가스 공급 배관(15c)의 타단에는 제 2 처리 가스를 공급하기 위한 제 2 처리 가스 공급원(15-2)이 접속된다. 제 2 가스 공급 배관(15c)에는 제 2 가스 공급 배관(15c)을 개폐하는 개폐 밸브(15d)가 설치된다.
제 2 가스 도입홀(16d)은, 도 1 및 도 4에 도시한 바와 같이 제 2 가스 확산실(16b)로부터 연장되고, 또한 제 2 가스 확산실(16b)을 개재하여 제 2 가스 공급 배관(15c)에 연통된다. 제 2 가스 도입홀(16d)은, 제 2 가스 공급 배관(15c)으로부터 공급되는 제 2 처리 가스를, 제 2 가스 확산실(16b)을 거쳐 처리 챔버(1)의 내부로 유도한다.
제 1 가스 도입홀(16c) 및 제 2 가스 도입홀(16d)은 서로 인접한 상태로 교호로 배치된다. 구체적으로, 제 1 가스 도입홀(16c) 및 제 2 가스 도입홀(16d)은, 도 2에 도시한 바와 같이 샤워 헤드(16)의 원주 방향을 따라 서로 인접한 상태로 교호로 배치된다.
또한, 제 1 가스 확산실(16a) 및 제 2 가스 확산실(16b)은 도 1 및 도 4에 도시한 바와 같이 서로 상하 방향으로 중합되고, 제 2 가스 확산실(16b)은, 제 1 가스 확산실(16a)로부터 연장되는 제 1 가스 도입홀(16c)을 피하는 형상으로 형성된다. 환언하면, 제 2 가스 확산실(16b)의 일부는, 샤워 헤드(16)의 원주 방향을 따라 배치되는 제 1 가스 도입홀(16c)끼리로 개재되는 공간에 연장되어 있다.
또한, 제 1 가스 공급 배관(15a) 및 제 2 가스 공급 배관(15c)은, 개폐 밸브(15b) 및 개폐 밸브(15d)를 이용하여 간헐적으로 전환된다. 즉, 개폐 밸브(15b)가 열리고, 또한 개폐 밸브(15d)가 닫힐 경우에는, 제 1 가스 공급 배관(15a)으로부터 제 1 가스 확산실(16a)로 제 1 처리 가스가 공급된다. 이 후, 제 1 가스 확산실(16a)로 공급된 제 1 처리 가스는, 제 1 가스 확산실(16a)로부터 연장되는 제 1 가스 도입홀(16c)로부터 처리 챔버(1)의 내부로 분사된다. 한편, 개폐 밸브(15b)가 닫히고, 또한 개폐 밸브(15d)가 열릴 경우에는, 제 2 가스 공급 배관(15c)으로부터 제 2 가스 확산실(16b)로 제 2 처리 가스가 공급된다. 이 후, 제 2 가스 확산실(16b)로 공급된 제 2 처리 가스는, 제 2 가스 확산실(16b)로부터 연장되는 제 2 가스 도입홀(16d)로부터 처리 챔버(1)의 내부로 분사된다. 또한, 개폐 밸브(15b) 및 개폐 밸브(15d)에 의한 동작은, 예를 들면 제어부(60)에 의해 제어된다.
제 1 가스 공급 배관(15a) 및 제 2 가스 공급 배관(15c)의 전환은, 다양한 에칭 특성을 향상시키는 관점으로부터, 소정의 기간마다 행해지는 것이 바람직하고, 예를 들면 200 msec 이상 500 msec 이하의 기간마다 행해지는 것이 바람직하다.
이와 같이, 본 실시예에서는, 전환 가능한 제 1 및 제 2 가스 공급 배관(15a, 15c)에 샤워 헤드(16)의 제 1 및 제 2 가스 도입홀(16c, 16d)을 각각 연통시키고, 제 1 및 제 2 가스 도입홀(16c, 16d)을 서로 인접한 상태로 교호로 배치했다. 이 때문에, 본 실시예에 따르면, 제 1 및 제 2 가스 공급 배관(15a, 15c)으로부터 각각 공급되는 제 1 및 제 2 처리 가스를 서로 독립하여 처리 챔버(1)의 내부로 유도할 수 있다. 따라서, 제 1 및 제 2 가스 공급 배관(15a, 15c)의 전환이 행해진 경우라도, 전환 후의 처리 가스와 전환 전의 처리 가스와의 혼합이 회피된다. 그 결과, 본 실시예에 따르면, 전환 가능한 복수의 가스 공급관에 공통하여 연통된 가스 도입 부재의 홀을 이용하는 종래 기술과 비교하여, 복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 전환을 고속 또한 균일하게 행할 수 있다.
또한 도 1에 도시한 바와 같이, 제 1 가스 공급 배관(15a) 및 제 2 가스 공급 배관(15c)에는, 제 3 처리 가스를 공급하기 위한 제 3 처리 가스 공급원(15-3)으로부터 연장된 1 개의 배관이 분기하여 얻어진 제 3 가스 공급 배관(15e)이 접속된다. 제 3 가스 공급 배관(15e)은, 제 3 처리 가스 공급원(15-3)으로부터 공급되는 제 3 처리 가스를 제 1 가스 공급 배관(15a) 및 제 2 가스 공급 배관(15c)으로 모두 공급한다. 제 1 가스 공급 배관(15a) 및 제 2 가스 공급 배관(15c)의 전환은, 필요에 따라, 제 3 처리 가스가 제 1 가스 공급 배관(15a) 및 제 2 가스 공급 배관(15c)으로 모두 공급된 상태에서 행해진다.
이어서, 상기 구성의 플라즈마 처리 장치에서, 반도체 웨이퍼(W)에 형성된 이산화 실리콘층 등을 플라즈마 에칭하는 순서에 대하여 설명한다. 먼저, 게이트 밸브(75)가 열리고, 반도체 웨이퍼(W)가 도시하지 않은 반송 로봇 등에 의해, 도시하지 않은 로드록실을 개재하여 반입출구(74)로부터 처리 챔버(1) 내로 반입되고, 재치대(2) 상에 재치된다. 이 후, 반송 로봇을 처리 챔버(1) 밖으로 퇴피시키고, 게이트 밸브(75)를 닫는다. 그리고, 배기 장치(73)의 진공 펌프에 의해 배기구(71)를 거쳐 처리 챔버(1) 내가 배기된다.
처리 챔버(1) 내가 소정의 진공도가 된 후, 처리 챔버(1) 내에는 제 1 처리 가스 공급원(15-1)으로부터의 제 1 처리 가스와, 제 2 처리 가스 공급원(15-2)으로부터의 제 2 처리 가스가 교호로 도입되고, 처리 챔버(1) 내가 소정의 압력으로 보지된다. 이 때, 제 3 처리 가스 공급원(15-3)으로부터의 제 3 처리 가스가 필요에 따라 공급된다.
그리고, 이 상태에서 제 1 고주파 전원(10a)으로부터 재치대(2)에, 주파수가 예를 들면 40 MHz의 고주파 전력이 공급된다. 또한 제 2 고주파 전원(10b)으로부터는, 이온 인입을 위하여, 재치대(2)의 기재(2a)에 주파수가 예를 들면 3.2 MHz의 고주파 전력(바이어스용)이 공급된다. 이 때, 직류 전원(12)으로부터 정전 척(6)의 전극(6a)에 소정의 직류 전압이 인가되고, 반도체 웨이퍼(W)는 쿨롱력에 의해 정전 척(6)에 흡착된다.
상술한 바와 같이 하여 하부 전극인 재치대(2)에 고주파 전력이 인가됨으로써, 상부 전극인 샤워 헤드(16)와 하부 전극인 재치대(2)와의 사이에는 전계가 형성된다. 이 전계에 의해, 반도체 웨이퍼(W)가 존재하는 처리 공간에는 방전이 발생하고, 그에 따라 형성된 처리 가스의 플라즈마에 의해, 반도체 웨이퍼(W) 상에 형성된 이산화 실리콘층 등이 에칭 처리된다.
또한 전술한 바와 같이, 플라즈마 처리 중에 샤워 헤드(16)에 직류 전압을 인가할 수 있으므로 다음과 같은 효과가 있다. 즉, 프로세스에 따라서는, 높은 전자 밀도이고 또한 낮은 이온 에너지인 플라즈마가 요구되는 경우가 있다. 이러한 경우에 직류 전압을 이용하면, 반도체 웨이퍼(W)에 주입되는 이온 에너지가 억제되면서 플라즈마의 전자 밀도가 증가됨으로써, 반도체 웨이퍼(W)의 에칭 대상이 되는 막의 에칭 레이트가 상승하고, 또한 에칭 대상의 상부에 설치된 마스크가 되는 막에의 스퍼터 레이트가 저하되어 선택성이 향상된다.
그리고, 상기한 에칭 처리가 종료되면, 고주파 전력의 공급, 직류 전압의 공급 및 처리 가스의 공급이 정지되고, 상기한 순서와는 반대의 순서로, 반도체 웨이퍼(W)가 처리 챔버(1) 내로부터 반출된다.
이어서 도 5a ~ 도 6을 참조하여, 본 실시예에 따른 플라즈마 처리 장치를 이용한 플라즈마 에칭 방법에 대하여, 고애스펙트비의 콘택트홀을 형성하는 경우에 대하여 설명한다. 도 5a ~ 도 5g는 플라즈마 에칭되는 반도체 웨이퍼의 단면 구성을 모식적으로 도시한 것이며, 도 6은 플라즈마 에칭 공정을 나타낸 순서도이다.
도 5a에 도시한 바와 같이, 반도체 웨이퍼(W)에는, 에치 스톱층으로서의 질화 실리콘층(201)(두께 30 nm) 상에 이산화 실리콘층(202)(두께 2000 nm)이 형성되어 있다. 이산화 실리콘층(202)(두께 2000 nm) 상에는, 질화 실리콘층(203)(두께 100 nm), 이산화 실리콘층(204)(두께 100 nm), 마스크층으로서의 폴리 실리콘층(205)(두께 500 nm)이 형성되어 있다. 폴리 실리콘층(205)에 형성된 개구(206)의 상부 개구 직경(Top CD)은 39 nm, 저부 개구 직경(Bottom CD)은 30 nm, 인접하는 개구(206)끼리 간의 간격은 40 nm로 되어 있다.
상기의 상태로부터 먼저, 이산화 실리콘층(204)과 질화 실리콘층(203)을 순차 에칭하여, 도 5b의 상태로 한다. 이 후, 이산화 실리콘층(202)을 에칭하여 고애스펙트비의 홀(210)을 형성하는 에칭 공정을 행한다. 이 에칭 공정은, 이산화 실리콘층(202)을 저부 근방까지 에칭하는 메인 에칭 공정(도 6의 S301)과, 저부의 질화 실리콘층(201)이 노출되기 직전 또는 노출되기 시작하고 나서 행하는 에칭 공정(이하, 오버 에칭 공정이라고 칭함)(도 6의 S302)의 2 단계의 공정에 의해 행한다.
상기의 메인 에칭을 이산화 실리콘층(202)의 저부 근방까지 행하여 도 5c의 상태로 하고, 이 후 오버 에칭 공정을 행한다. 이 오버 에칭 공정은, 처리 가스를 C4F6 가스와 Ar 가스와 O2 가스의 혼합 가스로 한 제 1 에칭 공정(도 6의 S303)과, 처리 가스를 C4F8 가스와 Ar 가스와 O2 가스의 혼합 가스, 또는 C3F8 가스와 Ar 가스와 O2 가스의 혼합 가스로 한 제 2 에칭 공정(도 6의 S304)을 교호로 소정 횟수가 될 때까지 복수 회 반복하여 행하는 공정(도 6의 S305)에 의해 행한다.
제 1 에칭 공정에 대하여 보다 상세한 일례를 들어 설명한다. 플라즈마 처리 장치의 제어부(60)는, 개폐 밸브(15b)를 열고, 또한 개폐 밸브(15d)를 닫음으로써, 제 1 가스 확산실(16a) 및 제 1 가스 도입홀(16c)을 거쳐 제 1 가스 공급 배관(15a)으로부터 처리 챔버(1) 내로 C4F6 가스를 제 1 처리 가스로서 도입한다. 이어서, 제어부(60)는 제 1 고주파 전원(10a)으로부터 처리 챔버(1) 내로 플라즈마 생성용의 고주파 전력을 인가하여 C4F6 가스로부터 플라즈마를 발생시킨다. 동시에, 제어부(60)는 제 2 고주파 전원(10b)으로부터 재치대(2)의 기재(2a)에 이온 인입용의 고주파 전력을 인가하여 플라즈마 중의 이온을 반도체 웨이퍼(W)를 향해 인입한다.
제 2 에칭 공정에 대하여 보다 상세한 일례를 들어 설명한다. 플라즈마 처리 장치의 제어부(60)는, 개폐 밸브(15b)를 닫고, 또한 개폐 밸브(15d)를 엶으로써, 제 2 가스 확산실(16b) 및 제 2 가스 도입홀(16d)을 거쳐 제 2 가스 공급 배관(15c)으로부터 처리 챔버(1) 내로 C4F8 가스 또는 C3F8 가스를 제 2 처리 가스로서 도입한다. 이어서, 제어부(60)는 제 1 고주파 전원(10a)으로부터 처리 챔버(1) 내로 플라즈마 생성용의 고주파 전력을 인가하여 C4F8 가스 또는 C3F8 가스로부터 플라즈마를 발생시킨다. 동시에, 제어부(60)는, 제 2 고주파 전원(10b)으로부터 재치대(2)의 기재(2a)에 이온 인입용의 고주파 전력을 인가하여 플라즈마 중의 이온을 반도체 웨이퍼(W)를 향해 인입한다.
또한 제어부(60)는, 제 1 에칭 공정 및 제 2 에칭 공정에서, 제 1 및 제 2 가스 공급 배관(15a, 15c)의 전환을 행할 경우, 즉 개폐 밸브(15b) 및 개폐 밸브(15d)의 개폐를 전환할 경우에는, 이하의 처리를 행한다. 즉, 제어부(60)는, 제 3 가스 공급 배관(15e)으로부터 Ar 가스 및 O2 가스를 제 3 처리 가스로서 제 1 및 제 2 가스 공급 배관(15a, 15c)으로 모두 공급한 상태에서, 개폐 밸브(15b) 및 개폐 밸브(15d)의 개폐를 전환한다.
상기 제 1 에칭 공정은, 퇴적물이 많은 에칭 조건이며, 도 5d에 도시한 바와 같이, 홀(210) 내에 보호막(211)이 형성된다. 한편, 제 2 에칭 공정은 퇴적물이 적은 에칭 조건이며, 도 5e에 도시한 바와 같이, 홀(210) 내에 형성된 보호막(211)이 에칭에 의해 제거되고, 또한 홀(210)의 저부가 에칭된다. 도 5e에 도시한 바와 같이, 홀(210) 내에 형성된 보호막(211)이 에칭에 의해 제거된 후에, 재차 제 1 에칭 공정을 행하여 도 5f에 도시한 바와 같이 홀(210) 내에 보호막(211)을 형성한다.
이와 같이, 제 1 에칭 공정과 제 2 에칭 공정을 복수 회 반복하여 행한 후, 마지막으로 제 2 에칭 공정을 행하여, 도 5g에 도시한 바와 같이, 에치 스톱층으로서의 질화 실리콘층(201)에 이르는 고애스펙트비의 홀(210)을 형성한다.
상기 오버 에칭 공정에서의 제 1 에칭 공정 및 제 2 에칭 공정의 1 회의 시간은, 짧게 하는 것이 보호막(211)의 상황을 보다 세밀하게 제어하는 것이 가능해진다. 그러나, 처리 챔버(1) 내의 가스의 대략 전부를 치환하기 위해서는 몇 초 정도 걸린다. 이 때문에, 제 1 및 제 2 가스 공급 배관(15a, 15c)의 전환, 즉 개폐 밸브(15b) 및 개폐 밸브(15d)의 개폐의 전환은, 바람직하게는 200 msec 이상 500 msec 이하의 기간마다 행해진다.
이상, 본 실시예에서는, 전환 가능한 제 1 및 제 2 가스 공급 배관(15a, 15c)에 샤워 헤드(16)의 제 1 및 제 2 가스 도입홀(16c, 16d)을 각각 연통시키고, 제 1 및 제 2 가스 도입홀(16c, 16d)을 서로 인접한 상태로 교호로 배치했다. 이 때문에, 본 실시예에 따르면, 제 1 및 제 2 가스 공급 배관(15a, 15c)으로부터 각각 공급되는 제 1 및 제 2 처리 가스를 서로 독립하여 처리 챔버(1)의 내부로 유도할 수 있다. 따라서, 제 1 및 제 2 가스 공급 배관(15a, 15c)의 전환이 행해진 경우라도, 전환 후의 처리 가스와 전환 전의 처리 가스와의 혼합이 회피된다. 그 결과, 본 실시예에 따르면, 전환 가능한 복수의 가스 공급관에 공통으로 연통된 가스 도입 부재의 홀을 이용하는 종래 기술과 비교하여, 복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 전환을 고속 또한 균일하게 행할 수 있다.
또한 본 실시예에서는, 샤워 헤드(16)는, 서로 상하 방향으로 중합된 제 1 및 제 2 가스 확산실(16a, 16b)을 가지고, 제 2 가스 확산실(16b)은, 제 1 가스 확산실(16a)로부터 연장되는 제 1 가스 도입홀(16c)을 피하는 형상으로 형성되었다. 이 때문에, 본 실시예에 따르면, 제 1 및 제 2 가스 공급 배관(15a, 15c)의 전환이 행해지면, 제 1 및 제 2 가스 확산실(16a, 16b)의 전환이 행해지고, 제 1 및 제 2 처리 가스를 서로 독립하여 처리 챔버(1)의 내부로 신속히 유도할 수 있다. 그 결과, 본 실시예에 따르면, 복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 전환을 보다 고속으로 행할 수 있다.
또한 본 실시예에서는, 샤워 헤드(16)의 제 1 및 제 2 가스 도입홀(16c, 16d)은 샤워 헤드(16)의 원주 방향을 따라 서로 인접한 상태로 교호로 배치되었다. 그 결과, 본 실시예에 따르면, 복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 전환이 행해진 경우라도, 전환 후의 처리 가스를 샤워 헤드(16)의 원주 방향을 따라 균일하게 처리 용기 내로 공급할 수 있다.
또한 본 실시예에서는, 제 1 및 제 2 가스 공급 배관(15a, 15c)의 전환은, 200 msec 이상 500 msec 이하의 기간마다 행해진다. 그 결과, 본 실시예에 따르면, 복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 전환이 행해지고 나서, 처리 용기 내의 처리 가스의 치환이 완료될 때까지의 시간을 단축하는 것이 가능해진다.
또한 본 실시예에서는, 제 1 및 제 2 가스 공급 배관(15a, 15c)의 전환은, 제 1 및 제 2 처리 가스와는 상이한 제 3 처리 가스가 제 1 및 제 2 가스 공급 배관(15a, 15c)으로 모두 공급된 상태에서 행해진다. 그 결과, 치환의 필요가 없는 불활성 가스 등을 제 3 처리 가스로서 계속적으로 처리 용기 내로 공급하면서, 복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 전환을 고속 또한 균일하게 행할 수 있다.
(다른 실시예)
이상, 일실시예에 따른 플라즈마 처리 장치에 대하여 설명했지만, 개시된 기술은 이에 한정되지 않는다. 이하에서는, 다른 실시예에 대하여 설명한다.
예를 들면, 상기 일실시예에 따른 플라즈마 처리 장치에서는, 샤워 헤드(16)의 제 1 및 제 2 가스 도입홀(16c, 16d)이, 샤워 헤드(16)의 원주 방향을 따라 서로 인접한 상태로 교호로 배치된 경우를 나타냈지만, 이에 한정되지 않는다. 예를 들면, 샤워 헤드(16)의 제 1 및 제 2 가스 도입홀(16c, 16d)은, 샤워 헤드(16)의 직경 방향을 따라 서로 인접한 상태로 교호로 배치되어도 된다. 이하, 다른 실시예에서의 샤워 헤드(16)의 구조의 일례에 대하여 설명한다. 또한 이하의 설명에서는, 상기 일실시예와 동일한 구성 요건에 대해서는 동일한 부호를 부여하여 그 설명을 생략한다.
도 7은 다른 실시예에서의 샤워 헤드를 가스 도입홀측에서 본 평면도이다. 도 8은 다른 실시예에서의 샤워 헤드의 제 1 가스 확산실을 통과하는 횡단면도이다. 도 9는 본 실시예에서의 샤워 헤드의 제 2 가스 확산실을 통과하는 횡단면도이다.
다른 실시예에서의 샤워 헤드(16)는, 도 1에 도시한 샤워 헤드(16)와 마찬가지로, 원반 형상으로 형성되어 있다. 샤워 헤드(16)는, 도 1에 도시한 샤워 헤드(16)와 마찬가지로, 제 1 가스 확산실(16a)과 제 2 가스 확산실(16b)과 제 1 가스 확산실(16a)로부터 연장되는 제 1 가스 도입홀(16c)과, 제 2 가스 확산실(16b)로부터 연장 되는 제 2 가스 도입홀(16d)을 내부에 가진다.
제 1 가스 도입홀(16c) 및 제 2 가스 도입홀(16d)은, 도 7에 도시한 바와 같이 샤워 헤드(16)의 직경 방향을 따라 서로 인접한 상태로 교호로 배치된다.
또한, 제 1 가스 확산실(16a) 및 제 2 가스 확산실(16b)은, 도 1에 도시한 샤워 헤드(16)와 마찬가지로 서로 상하 방향으로 중합된다. 이 중, 제 2 가스 확산실(16b)은, 도 8 및 도 9에 도시한 바와 같이, 제 1 가스 확산실(16a)로부터 연장되는 제 1 가스 도입홀(16c)을 피하는 형상으로 형성된다. 환언하면, 제 2 가스 확산실(16b)은, 샤워 헤드(16)의 직경 방향을 따라 배치되는 제 1 가스 도입홀(16c)을 덮는 기둥 형상의 부위를 피하는 형상으로 형성된다.
이와 같이, 다른 실시예에서는, 샤워 헤드(16)의 제 1 및 제 2 가스 도입홀(16c, 16d)은, 샤워 헤드(16)의 직경 방향을 따라 서로 인접한 상태로 교호로 배치되었다. 그 결과, 본 실시예에 따르면, 복수의 가스 공급관의 각각으로부터 처리 용기 내로 공급되는 처리 가스의 전환이 행해진 경우라도, 전환 후의 처리 가스를 샤워 헤드(16)의 직경 방향을 따라 균일하게 처리 용기 내로 공급할 수 있다.
1 : 처리 챔버
2 : 재치대
15-1 : 제 1 처리 가스 공급원
15-2 : 제 2 처리 가스 공급원
15-3 : 제 3 처리 가스 공급원
15a : 제 1 가스 공급 배관
15c : 제 2 가스 공급 배관
15e : 제 3 가스 공급 배관
16 : 샤워 헤드
16a : 제 1 가스 확산실
16b : 제 2 가스 확산실
16c : 제 1 가스 도입홀
16d : 제 2 가스 도입홀
60 : 제어부

Claims (6)

  1. 피처리 기판에 플라즈마 처리를 실시하기 위한 처리 용기와,
    전환 가능한 제 1 및 제 2 가스 공급관에 각각 연통되고, 상기 제 1 및 상기 제 2 가스 공급관으로부터 각각 공급되는, 상기 플라즈마 처리에 이용되는 제 1 및 제 2 처리 가스를 상기 처리 용기 내로 개별로 유도하는 제 1 및 제 2 가스 도입홀로서, 서로 인접한 상태로 교호로 배치된 제 1 및 제 2 가스 도입홀을 가지는 가스 도입 부재와,
    제어부를 구비하며,
    상기 제 1 및 제 2 가스 공급관은 각각 제 3 가스 공급관에 연결되어 있으며,
    상기 제어부는 상기 제 1 및 제 2 가스 공급관을 간헐적으로 전환하고,
    상기 제 1 및 제 2 가스 도입홀은 상기 제 1 및 제 2 가스 공급관에서 각각 공급되는 제 1 처리 가스와 제 2 처리 가스를 상기 처리 용기 내에 독립적으로 각각 공급하고,
    상기 제어부는 상기 제 1 및 제 2 처리 가스와는 상이한 제 3 처리 가스가 상기 제 3 가스 공급관으로부터 상기 제 1 및 제 2 가스 공급관에 모두 공급되고 있는 상태에서, 제 1 및 제 2 가스 공급관을 전환하는
    것을 특징으로 하는 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 가스 도입 부재는, 상기 제 1 및 상기 제 2 가스 공급관으로부터 각각 공급되는 상기 제 1 및 제 2 처리 가스를 각각 확산시키는, 서로 상하 방향으로 중합된 제 1 및 제 2 가스 확산 영역을 더 가지고,
    상기 제 1 및 상기 제 2 가스 도입홀은, 상기 제 1 및 상기 제 2 가스 확산 영역으로부터 각각 연장되고, 또한 상기 제 1 및 상기 제 2 가스 확산 영역을 개재하여 상기 제 1 및 상기 제 2 가스 공급관에 각각 연통되고,
    상기 제 2 가스 확산 영역은, 상기 제 1 가스 확산 영역으로부터 연장되는 상기 제 1 가스 도입홀을 피하는 형상으로 형성된 것을 특징으로 하는 플라즈마 처리 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 가스 도입 부재는, 원반 형상으로 형성되고,
    상기 제 1 및 상기 제 2 가스 도입홀은, 상기 가스 도입 부재의 원주 방향을 따라 서로 인접한 상태로 교호로 배치된 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 가스 도입 부재는, 원반 형상으로 형성되고,
    상기 제 1 및 상기 제 2 가스 도입홀은, 상기 가스 도입 부재의 직경 방향을 따라 서로 인접한 상태로 교호로 배치된 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 및 상기 제 2 가스 공급관의 전환은 200 msec 이상 500 msec 이하의 기간마다 행해지는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 삭제
KR1020150008665A 2014-01-20 2015-01-19 플라즈마 처리 장치 KR102264005B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014008113A JP6219179B2 (ja) 2014-01-20 2014-01-20 プラズマ処理装置
JPJP-P-2014-008113 2014-01-20

Publications (2)

Publication Number Publication Date
KR20150087120A KR20150087120A (ko) 2015-07-29
KR102264005B1 true KR102264005B1 (ko) 2021-06-11

Family

ID=52347242

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150008665A KR102264005B1 (ko) 2014-01-20 2015-01-19 플라즈마 처리 장치

Country Status (5)

Country Link
US (2) US20150206713A1 (ko)
EP (1) EP2897156B1 (ko)
JP (1) JP6219179B2 (ko)
KR (1) KR102264005B1 (ko)
TW (1) TWI643260B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
CN108292603B (zh) * 2016-01-06 2022-06-28 东芝三菱电机产业系统株式会社 气体供给装置
JP6546874B2 (ja) * 2016-04-13 2019-07-17 東京エレクトロン株式会社 ガス供給機構及び半導体製造システム
US11094511B2 (en) * 2018-11-13 2021-08-17 Applied Materials, Inc. Processing chamber with substrate edge enhancement processing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001017852A (ja) * 1999-07-05 2001-01-23 Tokyo Electron Ltd 処理装置
JP2007227375A (ja) * 2006-02-17 2007-09-06 Hanyang Univ Industry-Univ Cooperation Foundation 遠距離プラズマ発生装置
JP2010065309A (ja) * 2008-09-12 2010-03-25 Tokyo Electron Ltd Ti系膜の成膜方法および記憶媒体
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3336665B2 (ja) * 1993-03-17 2002-10-21 日新電機株式会社 微粒子発生方法及び装置
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP4178776B2 (ja) * 2001-09-03 2008-11-12 東京エレクトロン株式会社 成膜方法
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
US6932871B2 (en) * 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
JP4734231B2 (ja) * 2003-03-14 2011-07-27 アイクストロン・インコーポレーテッド 原子層堆積のサイクル時間改善のための方法と装置
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US8058585B2 (en) * 2006-03-13 2011-11-15 Tokyo Electron Limited Plasma processing method, plasma processing apparatus and storage medium
JP5069427B2 (ja) * 2006-06-13 2012-11-07 北陸成型工業株式会社 シャワープレート、並びにそれを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5231441B2 (ja) * 2007-10-31 2013-07-10 国立大学法人東北大学 プラズマ処理システム及びプラズマ処理方法
US20100081285A1 (en) * 2008-09-30 2010-04-01 Tokyo Electron Limited Apparatus and Method for Improving Photoresist Properties
JP5206311B2 (ja) 2008-10-24 2013-06-12 株式会社デンソー 半導体装置の製造方法
TWI556309B (zh) * 2009-06-19 2016-11-01 半導體能源研究所股份有限公司 電漿處理裝置,形成膜的方法,和薄膜電晶體的製造方法
JP5651451B2 (ja) * 2010-03-16 2015-01-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US9039909B2 (en) * 2011-02-28 2015-05-26 Tokyo Electron Limited Plasma etching method, semiconductor device manufacturing method and computer-readable storage medium
US8679358B2 (en) * 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
CN202688435U (zh) * 2012-05-11 2013-01-23 中微半导体设备(上海)有限公司 金属有机化学气相沉积反应器的气体分布装置及反应器

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001017852A (ja) * 1999-07-05 2001-01-23 Tokyo Electron Ltd 処理装置
JP2007227375A (ja) * 2006-02-17 2007-09-06 Hanyang Univ Industry-Univ Cooperation Foundation 遠距離プラズマ発生装置
JP2010065309A (ja) * 2008-09-12 2010-03-25 Tokyo Electron Ltd Ti系膜の成膜方法および記憶媒体
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置

Also Published As

Publication number Publication date
US20150206713A1 (en) 2015-07-23
EP2897156B1 (en) 2017-10-18
KR20150087120A (ko) 2015-07-29
TW201535511A (zh) 2015-09-16
US20180122620A1 (en) 2018-05-03
JP2015138810A (ja) 2015-07-30
TWI643260B (zh) 2018-12-01
EP2897156A1 (en) 2015-07-22
JP6219179B2 (ja) 2017-10-25

Similar Documents

Publication Publication Date Title
US9972503B2 (en) Etching method
US10163610B2 (en) Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
KR101912776B1 (ko) 플라즈마 에칭 방법 및 반도체 장치의 제조 방법 그리고 컴퓨터 기억 매체
JP5496568B2 (ja) プラズマ処理装置及びプラズマ処理方法
US8641916B2 (en) Plasma etching apparatus, plasma etching method and storage medium
US8664117B2 (en) Method for manufacturing semiconductor device using anisotropic etching
US9530666B2 (en) Plasma etching method and plasma etching apparatus
US20140134847A1 (en) Plasma etching method and plasma etching apparatus
KR101898079B1 (ko) 플라즈마 처리 장치
US20150228457A1 (en) Gas supply method and plasma processing apparatus
KR102264005B1 (ko) 플라즈마 처리 장치
US9147556B2 (en) Plasma processing method and plasma processing apparatus
US7682978B2 (en) Plasma processing method and high-rate plasma etching apparatus
KR102661835B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
JP5804978B2 (ja) プラズマエッチング方法及びコンピュータ記録媒体
US20070218698A1 (en) Plasma etching method, plasma etching apparatus, and computer-readable storage medium

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant