KR102241698B1 - 후면 칩핑이 없는 더미 다이 배치 - Google Patents

후면 칩핑이 없는 더미 다이 배치 Download PDF

Info

Publication number
KR102241698B1
KR102241698B1 KR1020190112111A KR20190112111A KR102241698B1 KR 102241698 B1 KR102241698 B1 KR 102241698B1 KR 1020190112111 A KR1020190112111 A KR 1020190112111A KR 20190112111 A KR20190112111 A KR 20190112111A KR 102241698 B1 KR102241698 B1 KR 102241698B1
Authority
KR
South Korea
Prior art keywords
package
dummy die
package component
die
component
Prior art date
Application number
KR1020190112111A
Other languages
English (en)
Other versions
KR20200133169A (ko
Inventor
치-웨이 우
리-청 쿠오
푸 왕
잉-칭 시
스즈-웨이 루
쿵-첸 예
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200133169A publication Critical patent/KR20200133169A/ko
Application granted granted Critical
Publication of KR102241698B1 publication Critical patent/KR102241698B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/52Mounting semiconductor bodies in containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05157Cobalt [Co] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/05186Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13109Indium [In] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/13124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13139Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13164Palladium [Pd] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/1401Structure
    • H01L2224/1403Bump connectors having different sizes, e.g. different diameters, heights or widths
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81193Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed on both the semiconductor or solid-state body and another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19102Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device
    • H01L2924/19104Disposition of discrete passive components in a stacked assembly with the semiconductor or solid state device on the semiconductor or solid-state device, i.e. passive-on-chip
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19105Disposition of discrete passive components in a side-by-side arrangement on a common die mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Abstract

방법은, 제2 패키지 컴포넌트를 제1 패키지 컴포넌트에 본딩하는 단계, 제3 패키지 컴포넌트를 제1 패키지 컴포넌트에 본딩하는 단계, 더미 다이를 제1 패키지 컴포넌트에 부착하는 단계, 제2 패키지 컴포넌트, 제3 패키지 컴포넌트, 및 더미 다이를 밀봉재에 캡슐화하는 단계, 및 제2 패키지 컴포넌트의 상단 표면을 밀봉재의 상단 표면과 수평이 되게 하도록 평탄화 프로세스를 수행하는 단계를 포함한다. 평탄화 프로세스 후에, 밀봉재의 상부는 더미 다이와 중첩된다. 더미 다이를 제1 더미 다이 부분과 제2 더미 다이 부분으로 분리하기 위해 더미 다이가 쏘잉-스루(saw-through)된다. 밀봉재의 상부가 또한 쏘잉-스루된다.

Description

후면 칩핑이 없는 더미 다이 배치{DUMMY DIE PLACEMENT WITHOUT BACKSIDE CHIPPING}
집적 회로(integrated circuit; IC)의 개발 이래로, 반도체 산업은 다양한 전자 부품들(즉, 트랜지스터, 다이오드, 레지스터, 커패시터 등)의 집적 밀도의 계속적인 개선으로 인해 지속적인 급속 성장을 경험하였다. 보통, 집적 밀도의 이들 개선은 최소 피처(feature) 크기의 반복적인 감축으로부터 비롯되었으며, 이는 주어진 구역 내에 더 많은 부품이 집적되게 한다.
이들 집적 개선은, 집적된 부품에 의해 점유되는 구역이 반도체 웨이퍼의 표면 상에 있다는 점에서, 기본적으로 그 본질 상 2차원(two-dimensional; 2D)이다. 집적 회로의 증가된 밀도 및 면적에서의 대응하는 감소는 집적 회로 칩을 기판 상에 직접 본딩하는 능력을 일반적으로 능가하였다. 볼(ball) 접촉 면적을 칩의 면적으로부터 인터포저의 더 큰 면적에 재배선하기 위해 인터포저가 사용되었다. 또한, 인터포저는 다수의 칩들을 포함하는 3차원(3D) 패키지를 허용하였다. 3D 양상을 통합하기 위해 다른 패키지가 또한 개발되었다.
본 개시 내용의 양상은 첨부한 도면과 함께 읽을 때 하기의 상세한 설명으로부터 가장 잘 이해된다. 업계의 표준적 관행에 따라, 다양한 피처들은 실제 크기대로 도시되지 않는 것을 주목해야 한다. 실제로, 다양한 피처들의 치수들은 설명의 명료화를 위해 임의로 증가되거나 감소될 수도 있다.
도 1 내지 5, 6a, 6b, 6c, 6d, 6e, 6f, 및 7 내지 14는 일부 실시예들에 따라 패키지 구조물을 형성하기 위한 예시적인 프로세스의 단면도 및 평면도이다.
도 15 내지 19는 일부 실시예들에 따라 패키지 구조물을 형성하는 예시적인 프로세스의 단면도 및 평면도이다.
도 20a 내지 20f는 일부 실시예에 따른 패키지 구조물의 평면도를 묘사한다.
도 21a 내지 21f는 일부 실시예에 따른 패키지 구조물의 평면도를 묘사한다.
도 22a 내지 22d는 일부 실시예에 따른 패키지 구조물의 평면도를 묘사한다.
도 23은 일부 실시예들에 따라 패키지 구조물을 형성하기 위한 프로세스 흐름을 묘사한다.
하기의 개시 내용은 본 발명의 상이한 피처들을 구현하기 위한 다수의 상이한 실시예들 또는 예시들을 제공한다. 컴포넌트들 및 장치들의 특정 예시는 본 개시 내용을 단순화시키기 위해 이하에서 설명된다. 이들은 물론 예시일뿐 한정하려는 것이 아니다. 예를 들면, 이하의 설명에서 제2 피처 위에 또는 제2 피처 상에 제1 피처의 형성은, 제1 피처와 제2 피처가 직접 접촉해서 형성되는 실시예를 포함하고, 추가적인 피처가 제1 피처와 제2 피처 사이에 형성될 수 있어서 제1 피처와 제2 피처가 직접 접촉될 수 없는 실시예를 또한 포함할 수 있다. 또한, 본 개시 내용은 다양한 예시들에서 참조 번호들 및/또는 문자들을 반복할 수 있다. 이 반복은 간략함과 명료함을 위한 것이고, 논의되는 다양한 실시예들 및/또는 구성들간의 관계를 본질적으로 지시하지는 않는다.
또한, "밑에", "아래에 배치된", "더 낮은", "위에 배치된", "상부에" 등과 같은 공간적으로 상대적인 용어들은 도면들에서 묘사되는 바와 같이 하나의 요소 또는 피처와 다른 요소(들) 또는 피처(들)간의 관계를 설명하도록 설명의 용이함을 위해 본 명세서에서 이용될 수 있다. 공간적으로 상대적인 용어들은 도면들에서 묘사된 방위에 추가적으로 사용 또는 동작 중인 디바이스의 상이한 방위들을 포괄하도록 의도된다. 장치는 다르게(90도 회전되거나 또는 다른 방위로) 배향될 수 있고, 본원에서 사용된 공간적으로 상대적인 기술어들은 마찬가지로 상응하게 해석될 수 있다.
더미 다이를 포함하는 패키지 구조물과 이를 형성하는 방법이 다양한 실시예들에 따라 제공된다. 패키지 구조물의 형성시의 중간 단계들이 일부 실시예에 따라 묘사된다. 일부 실시예의 일부 변형이 논의된다. 다양한 도면들 및 예증적 실시예들 전반에 걸쳐, 유사한 참조 번호는 유사한 요소를 지정하는데 사용된다. 본 발명의 일부 실시예에 따라, 더미 다이를 포함하는 패키지 구조물은 패키지 구조물의 휨(warpage)을 줄이기 위해 활성 다이에 인접하게 배치된다. 이러한 패키지 구조의 휨 감소는 활성 다이와 인터포저 사이의 냉간 접합(cold joint)의 가능성을 줄임으로써 보다 더 신뢰성있는 패키지 구조물을 가능하게 한다. 일부 실시예에 따르면, 더미 다이들은 예를 들면, 스크라이브 라인 영역 내의 또는 그 근처와 같은, 패키지 구조물의 주변을 따라 배치된다. 따라서, 더미 다이는 패키지 구조가 싱귤레이트(singulate)될 때 쏘잉(sawing)된다. 몰딩 화합물의 층은 싱귤레이션에서 더미 다이의 칩핑(chipping)을 방지하기 위해 더미 다이와 중첩된 채로 남겨진다.
실시예는 특정 상황, 즉, 칩-온-웨이퍼-온-기판(Chip-on-Wafer-on-Substrate; CoWoS) 프로세싱을 사용하는 다이-인터포저-기판 적층 패키지와 관련하여 설명될 것이다. 그러나, 다른 실시예는 예를 들면, 다이-다이-기판 적층 패키지와 같은 다른 패키지 및 다른 프로세싱에도 적용될 수 있다. 본 명세서에서 논의된 실시예는 이 개시내용의 청구 대상(subject matter)을 제조 또는 사용할 수 있게 하는 예시를 제공하는 것이며, 당업자는 상이한 실시예들의 의도된 범위 내에 있는 동안 행해질 수 있는 변경을 쉽게 이해할 것이다. 방법 실시예가 특정 순서로 수행되는 것으로 논의될 수 있으나, 다른 방법 실시예는 임의의 논리적 순서로 수행될 수 있다.
도 1 내지 5, 6a, 6b, 6c, 6d, 6e, 6f, 및 7 내지 14는 본 개시내용의 일부 실시예들에 따른 패키지 구조물의 형성시에 중간 단계들의 단면도 및 평면도(예를 들면, 상면도)를 묘사한다. 대응 프로세스는 도 23에 도시된 프로세스 흐름 내에 개략적으로 또한 반영된다.
도 1은 일부 실시예에 따른 패키지 컴포넌트(28)(도 2)를 포함하는 웨이퍼(10)의 형성을 묘사한다. 패키지 컴포넌트(28)는 디바이스 다이, 패키지 등일 수있다. 패키지 컴포넌트(28)는 임의의 수의 다이, 기판, 트랜지스터, 능동 디바이스, 수동 디바이스 등을 포함할 수있다. 실시예에서, 패키지 컴포넌트(28)는, 벌크 반도체 기판, 반도체-온-인슐레이터(semiconductor-on-insulator; SOI) 기판, 다층 반도체 기판 등일 수 있는 기판(20)을 포함할 수 있다. 반도체 기판은, 실리콘; 게르마늄; 실리콘 게르마늄, 실리콘 탄화물, 갈륨 비화물, 갈륨 인화물, 인듐 인화물, 인듐 비화물, 및/또는 인듐 안티몬화물을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 합금 반도체; 또는 이들의 조합일 수 있는 반도체 물질로 형성된다. 다층 또는 경사(gradient) 기판과 같은 다른 기판이 또한 이용될 수 있다. 기판(20)은 도핑될 수도 있거나 도핑되지 않을 수도 있다. 예를 들면, 트랜지스터, 커패시터, 저항기, 다이오드 등과 같은 디바이스는 반도체 기판(20)의 활성 표면(22) 내에 그리고/또는 그 위에 형성될 수 있다.
패키지 컴포넌트(28)는, 하나 이상의 유전체층(들), 및 활성 표면(22) 상에 형성된 각각의 금속화 패턴(들)을 포함하는 상호접속 구조물(24)을 포함할 수 있다. 유전체층(들)의 금속화 패턴(들)은, 예를 들면, 비아 및/또는 트레이스에 의해, 디바이스들 사이에 전기적 신호를 라우팅할 수 있고, 예를 들면, 커패시터, 저항기, 인덕터 등과 같은, 다양한 전기적 디바이스들을 또한 포함할 수 있다. 다양한 디바이스들과 금속화 패턴들은 하나 이상의 기능을 수행하도록 상호접속될 수도 있다. 기능은 메모리 구조물, 프로세싱 구조물, 센서, 증폭기, 전력 배선, 입출력 회로 등을 포함할 수 있다. 추가적으로, 예를 들면, 전도성 필라(pillar)(예를 들면, 구리와 같은 금속을 포함함)와 같은 전기 커넥터(26)는, 회로 및 디바이스로의 외부 전기적 접속을 제공하도록 상호접속 구조물(24) 내에 그리고/또는 그 위에 형성된다. 일부 실시예에 따라, 전기 커넥터(26)는 필라 구조물을 형성하기 위해 상호접속 구조물(24)로부터 돌출한다.
본 개시내용의 일부 실시예에 따라, 복수의 IMD(inter-metallization dielectric) 층들은 상호접속 구조물(24) 내에 형성될 수 있다. IMD 층은, 예를 들면, 스피닝, 화학적 기상 퇴적(chemical vapor deposition; CVD), 플라즈마 강화 CVD(plasma-enhanced CVD; PECVD), 고밀도 플라즈마 화학 기상 퇴적(high-density plasma chemical vapor deposition; HDP-CVD) 등과 같은, 종래 기술에서 알려진 임의의 적절한 방법에 의해, 예를 들면, PSG(phosphosilicate glass), BPSG(borophosphosilicate glass), FSG(fluorosilicate glass), SiOxCy, 스핀-온-글래스, 스핀-온-중합체, 실리콘 탄소 물질, 이들의 화합물, 이들의 합성물, 이들의 조합물 등과 같은, 로우-k 유전체 물질로 형성될 수 있다. 금속화 패턴은 예를 들어, 포토리소그래피 기술을 사용하여, 금속화 패턴(metallization pattern)이 될 IMD 층의 부분을 노출시키기 위해, IMD 층 상에 포토레지스트 물질을 퇴적하고 이를 패턴화함으로써 IMD 층에 형성될 수 있다. 예를 들면, 이방성 건식 에칭 프로세스와 같은 에칭 프로세스는 IMD 층의 노출된 부분에 대응하는 IMD 층에 리세스 및/또는 개구를 생성하는데 사용될 수있다. 리세스 및/또는 개구는 확산 배리어 층으로 라이닝되고 전도성 물질로 충전(fill)될 수 있다. 확산 배리어 층은, 원자 층 퇴적(ALD) 등에 의해 퇴적된, 탄탈륨 질화물, 탄탈륨, 티타늄 질화물, 티타늄, 코발트 텅스텐 등 또는 이들의 조합의 하나 이상의 층을 포함할 수 있다. 금속화 패턴의 전도성 물질은 CVD, 물리적 기상 퇴적(PVD) 등에 의해 퇴적된, 구리, 알루미늄, 텅스텐, 은, 및 이들의 조합 등을 포함할 수있다. IMD 층 상의 임의의 과도한 확산 배리어 층 및/또는 전도성 물질은 예를 들면, 화학 기계적 연마(CMP) 프로세스를 사용함으로써 제거될 수 있다.
도 2에서, 웨이퍼(10)는 개개의 패키지 컴포넌트(28)로 싱귤레이트(singulate)된다. 통상적으로, 다이가 상이한 회로들을 가질 수 있지만, 패키지 컴포넌트(28)는 예를 들면, 디바이스와 금속화 패턴과 같은 동일한 회로를 포함한다. 싱귤레이션은 블레이드 쏘잉(blade sawing), 레이저 다이싱(laser dicing) 등을 통해 이루어질 수있다.
패키지 컴포넌트들(28) 각각은, 하나 이상의 논리 다이(예컨대, 중앙 프로세싱 유닛, 그래픽 프로세싱 유닛, 마이크로제어기, FPGA(field-programmable gate array), SOC(system-on-chip) 다이, 마이크로제어기 등), 메모리 다이(예컨대, 동적 랜덤 액세스 메모리(dynamic random access memory; DRAM) 다이, 정적 랜덤 액세스 메모리(static random access memory; SRAM) 다이 등), 전력 관리 다이(예컨대, 전력 관리 집적 회로(power management integrated circuit; PMIC) 다이), 무선 주파수(radio frequency; RF) 다이, 센서 다이, 마이크로-전기 기계적 시스템(micro-electro mechanical system; MEMS) 다이, 신호 프로세싱 다이(예컨대, 디지털 신호 프로세싱(digital signal processing; DSP) 다이), 프런트 엔드 다이(예컨대, 아날로그 프런트 엔드(analog front-end; AFE) 다이) 등, 또는 이것들의 조합을 포함할 수 있다.
도 3 내지 5 및 7 내지 14는, 다른 패키지 컴포넌트에 본딩되는, 더미 다이와 패키지 컴포넌트의 패키징 중의 중간 단계의 단면도를 묘사한다. 각각의 프로세스는 도 23에 도시된 바와 같이 프로세스 흐름(400)으로서 도시된다. 도 3 내지 5 및 도 7 내지 14에서, 인터포저는, 다른 패키지 컴포넌트가 그 위에 본딩되는 패키지 컴포넌트(36)의 예로서 사용된다. 예를 들면, 패키지 기판(코어형(cored) 또는 무코어형(coreless)), 패키지 등과 같은 다른 유형의 패키지 컴포넌트가 패키지 컴포넌트(36)로서 또한 사용될 수있음이 인식된다.
도 3은, 프로세싱 동안에 하나 이상의 컴포넌트(36)를 포함하는, 일부 실시예에 따른 패키지 컴포넌트(32)를 묘사한다. 패키지 컴포넌트(32)는, 내부에 능동 디바이스(예를 들면, 트랜지스터와 다이오드)와 수동 디바이스(예를 들면, 저항기, 커패시터, 인덕터 등)를 포함하지 않는 인터포저 웨이퍼일 수 있다. 패키지 컴포넌트(32)는 또한, 능동 및/또는 수동 디바이스를 포함하는 디바이스 웨이퍼일 수 있다. 기판(34)은 반도체 기판 또는 유전체 기판일 수 있다. 반도체 기판인 경우, 기판(34)은 벌크 반도체 기판, 반도체-온-인슐레이터(SOI) 기판, 다층 반도체 기판 등일 수 있다. 기판(34)의 반도체 물질은, 실리콘; 게르마늄; 실리콘 게르마늄, 실리콘 탄화물, 갈륨 비소, 갈륨 인화물, 인듐 인화물, 인듐 비화물, 및/또는 인듐 안티몬화물을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 합금 반도체; 또는 이들의 조합을 포함할 수 있다. 다층 또는 경사(gradient) 기판과 같은 다른 기판이 또한 이용될 수 있다. 기판(34)은 도핑될 수도 있거나 도핑되지 않을 수도 있다. 패키지 컴포넌트(32)는, 또한 코어를 포함하거나 무코어 기판일 수 있는 패키지 기판일 수 있다.
기판(34)의 제1 표면(37)으로부터 기판(34)으로 연장하도록 관통 비아(through-via; TV)(38)가 형성된다. TV(38)는, 기판(34)이 실리콘 기판일 때, 관통-기판 비아 또는 관통-실리콘 비아라고 또한 때때로 지칭된다. TV(38)는 예를 들면, 에칭, 밀링, 레이저 기술, 이들의 조합 등에 의해 기판(34) 내에 리세스를 형성함으로써 형성될 수 있다. 얇은 유전체 물질은 예를 들면, 산화 프로세스 또는 컨포멀 퇴적 프로세스를 사용함으로써 리세스 내에 형성될 수 있다. 얇은 배리어층은 기판(34)의 전면(front side) 위에 그리고 개구 내에, 예를 들면, CVD, ALD, PVD, 열 산화, 이들의 조합 등에 의해 컨포멀하게 퇴적될 수 있다. 전도성 물질은 얇은 배리어 층 위에 그리고 개구 내에 퇴적될 수 있다. 전도성 물질은 전기 화학 도금 프로세스, CVD, ALD, PVD, 이들의 조합 등에 의해 형성될 수 있다. 전도성 물질의 예시는 구리, 텅스텐, 알루미늄, 은, 금, 이들의 조합 등이다. 전도성 물질 및 배리어 층의 과잉 부분은 예를 들면, CMP에 의해 기판(34)의 전면으로부터 제거된다. 따라서, TV(38)는 전도성 물질과, 전도성 물질과 기판(34) 사이의 얇은 배리어 층을 포함할 수 있다.
재배선 구조물(40)은 기판(34)의 제1 표면(37) 위에 형성되며, 만약 있다면, 집적 회로 디바이스 및/또는 TV(38)를 함께 그리고/또는 외부 디바이스에 전기적으로 접속시키기 위해 사용된다. 재배선 구조물(40)은 하나 이상의 유전체층(들) 및 유전체층(들) 내의 각각의 금속화 패턴(들)을 포함할 수 있다. 금속화 패턴은 임의의 디바이스 및/또는 TV(38)를 함께 그리고/또는 외부 디바이스에 상호접속시키기 위한 비아 및/또는 트레이스를 포함할 수 있다. 금속화 패턴은 때때로 재배선 라인(Redistribution Line; RDL)이라고 지칭된다. 유전체층은 예를 들면, PSG, BPSG, FSG, SiOxCy, 스핀-온-글래스, 스핀-온-폴리머, 실리콘 탄소 물질, 이들의 화합물, 이들의 합성물, 이들의 조합 등과 같은, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물, 로우-k 유전체 물질을 포함할 수 있다. 유전체층은 예를 들면, 스핀-온 코팅, CVD, PECVD, HDP-CVD 등과 같은 당 업계에 공지된 임의의 적합한 방법에 의해 퇴적될 수 있다. 금속화 패턴은 예를 들어, 포토리소그래피 기술을 사용하여, 금속화 패턴이 될 유전체층의 부분을 노출시키기 위해, 유전체층 상에 포토레지스트 물질을 퇴적하고 이를 패턴화함으로써 유전체층에 형성될 수있다. 예를 들면, 이방성 건식 에칭 프로세스와 같은 에칭 프로세스는 유전체층의 노출된 부분에 대응하는 유전체층에 리세스 및/또는 개구를 생성하는데 사용될 수 있다. 리세스 및/또는 개구는 확산 배리어 층으로 라이닝되고 전도성 물질로 충전될 수 있다. 확산 배리어 층은 ALD 등에 의해 퇴적된 TaN, Ta, TiN, Ti, CoW 등의 하나 이상의 층을 포함할 수 있고, 전도성 물질은 CVD, PVC 등으로 퇴적된 구리, 알루미늄, 텅스텐, 은, 및 이들의 조합물 등을 포함할 수 있다. 유전체층 상의 임의의 과도한 확산 배리어 층 및/또는 전도성 물질은 예를 들면, CMP 프로세스를 사용함으로써 제거될 수 있다.
전기 커넥터(41/42)는 전도성 패드 상의 재배선 구조물(40)의 상단 표면에 형성된다. 일부 실시예에 따르면, 전도성 패드는 UBM(under-bump-metallurgy)을 포함한다. 묘사된 실시예에서, 패드는 재배선 구조물(40)의 유전체층의 개구에 형성된다. 다른 실시예에서, 패드(UBM)는 재배선 구조물(40)의 유전체층의 개구부를 통해 연장될 수 있고 또한 재배선 구조물(40)의 상단 표면을 가로 질러 연장될 수있다.
일부 실시예에 따라, 전기 커넥터(41/42)는 금속 필라(41) 위에 솔더 캡(solder cap)일 수 있는 금속 캡층(42)을 갖는 금속 필라(41)를 포함한다. 필라(41) 및 캡층(42)을 포함하는 전기 커넥터(41/42)는 때때로 마이크로 범프(41/42)라고 지칭된다. 일부 실시예에 따르면, 금속 필라(41)는, 예를 들면, 구리, 알루미늄, 금, 니켈, 팔라듐 등과 같은 전도성 물질 또는 이들의 조합을 포함하며 스퍼터링, 프린팅, 전기 도금, 무전해 도금, CVD 등에 의해 형성될 수 있다. 금속 필라(41)는 솔더가 없을 수 있고, 실질적으로 수직인 측벽을 가질 수 있다. 일부 실시예에 따라, 금속 캡층(42)은 금속 필라(41)의 상단 상에 형성된다. 금속 캡층(42)은 니켈, 주석, 주석-납, 금, 구리, 은, 팔라듐, 인듐, 니켈-팔라듐-금, 니켈-금 등, 또는 이들 물질의 조합을 포함할 수 있고, 도금 프로세스에 의해 형성될 수 있다.
도 4에서, 패키지 컴포넌트(28 및 44)는 예를 들어, 패키지 컴포넌트(28/444) 상의 전기 커넥터(41/42) 및 금속 필라(43)를 거쳐 플립 칩 본딩을 통해 컴포넌트(36)의 제1 측부에 본딩되어 전도성 접합부(39)를 형성한다. 각각의 프로세스는 도 23에 도시된 프로세스 흐름(400) 내의 프로세스(402)로서 묘사된다. 금속 필라(43)는 금속 필라(41)와 유사할 수 있으며, 이에 대한 설명은 여기서 반복되지 않는다. 패키지 컴포넌트(28) 및 패키지 컴포넌트(44)는 예를 들어, 픽 앤드 플레이스 툴(pick-and-place tool)을 사용하여 전기 커넥터(41/42) 상에 배치 될 수있다.
패키지 컴포넌트(44)는 패키지 컴포넌트(28)를 참조하여 전술한 바와 유사한 프로세싱을 통해 형성될 수 있다. 일부 실시예에 따르면, 패키지 컴포넌트(44)는 예를 들면, 메모리 다이들(DRAM 다이, SRAM 다이, 고 대역폭 메모리(High-Bandwidth Memory; HBM) 다이, 하이브리드 메모리 큐브(Hybrid Memory Cube; HMC) 다이, 저전력(low-power; LP) 이중 데이터 속도(double data rate; DDR) 메모리 모듈 등)의 스택과 같은 하나 이상의 메모리 다이를 포함한다. 메모리 다이들의 스택의 실시예에서, 패키지 컴포넌트(44)는 예를 들어 메모리 제어기를 갖는 4개 또는 8개의 메모리 다이들의 스택과 같은 메모리 다이 및 메모리 제어기 모두를 포함할 수있다. 또한, 일부 실시예에 따라, 패키지 컴포넌트(44)는 상이한 크기들(예컨대, 상이한 높이들 및/또는 표면적들)일 수 있고, 다른 실시예에서, 패키지 컴포넌트(44)는 동일 크기(예컨대, 동일 높이 및/또는 표면적)일 수 있다.
일부 실시 예에 따르면, 패키지 컴포넌트(44)는 (도 4에 도시된 바와 같이) 패키지 컴포넌트(28)의 높이와 유사한 높이를 가질 수 있거나 일부 실시 예에 따라, 패키지 컴포넌트(28 및 44)는 상이한 높이를 가질 수 있다.
패키지 컴포넌트(44)는 본체(46), 상호접속 구조물(48) 및 전기 커넥터(50)를 포함한다. 패키지 컴포넌트(44)의 본체(46)는 임의의 수의 다이, 기판, 트랜지스터, 능동 디바이스, 수동 디바이스 등을 포함할 수 있다. 실시예에서, 본체(46)는 벌크형 반도체 기판, 반도체-온-인슐레이터(SOI) 기판, 다층 반도체 기판 등을 포함할 수 있다. 본체(46)의 반도체 물질은 기판(20)의 유사한 후보 물질 및 구조물로부터 선택될 수 있다. 예를 들면, 트랜지스터, 커패시터, 저항기, 다이오드 등과 같은 디바이스는 활성 표면 내에 그리고/또는 그 위에 형성될 수 있다.
하나 이상의 유전체층(들)과 각각의 금속화 패턴(들)을 갖는 상호접속 구조물(48)은 패키지 컴포넌트(44)의 활성 표면 상에 형성된다. 유전체층(들)의 금속화 패턴(들)은, 예를 들면, 비아 및/또는 트레이스에 의해, 디바이스들 사이에 전기적 신호를 라우팅할 수 있고, 예를 들면, 커패시터, 저항기, 인덕터 등과 같은, 다양한 전기적 디바이스들을 또한 포함할 수 있다. 다양한 디바이스들과 금속화 패턴들은 전기적 기능을 수행하도록 상호접속될 수도 있다. 추가적으로, 예를 들면, 전도성 필라(pillar)(예를 들면, 구리와 같은 금속을 포함함)와 같은 전기 커넥터(50)는, 회로 및 디바이스로의 외부 전기적 접속을 제공하도록 상호접속 구조물(48) 내에 그리고/또는 그 위에 형성된다. 일부 실시예에 따라, 전기 커넥터(50)는, 패키지 컴포넌트(44)를 다른 구조물에 본딩할 때 활용될 필라 구조물을 형성하기 위해 상호접속 구조물(48)로부터 돌출한다. 당업자는 상기 예시가 예증 목적을 위해서만 제공된다는 것을 인식할 것이다. 다른 회로는 주어진 응용에 대해 적절하게 사용될 수 있다.
전도성 접합부(39)는 상호접속 구조물(48 및 24) 및 전기 커넥터(50 및 26)를 통해 각각 패키지 컴포넌트(28 및 44) 내의 회로를 컴포넌트(36) 내의 TV(38)에 전기적으로 결합시킨다.
패키지 컴포넌트(28, 44)와 컴포넌트(36) 사이의 본딩은 솔더 본딩 또는 직접 금속 대 금속(예를 들면, 구리 대 구리) 본딩일 수 있다. 실시예에서, 패키지 컴포넌트(28) 및 패키지 컴포넌트(44)는 리플로우 프로세스를 통해 컴포넌트(36)에 본딩된다. 이 리플로우 프로세스 동안, 전기 커넥터(41/42/43)는 재배선 구조물(40)의 패드 및 전기 커넥터(26, 50)와 각각 접촉하여 패키지 컴포넌트(28) 및 패키지 컴포넌트(44)를 패키지 컴포넌트(36)에 물리적으로 그리고 전기적으로 결합시킨다.
도 4 및 후속 도면들에서, 제1 패키지 및 제2 패키지 각각의 형성을 위한 제1 패키지 영역(45A) 및 제2 패키지 영역(45B)이 묘사된다. 스크라이브 라인 영역(47)은 인접한 패키지 영역들 사이에 있다. 도 4에 묘사된 바와 같이, 제1 다이(28) 및 다수의 제2 다이들(44)이 제1 패키지 영역(45A) 및 제2 패키지 영역(45B) 각각에 부착된다.
도 5에서, 언더필 물질(52)은 패키지 컴포넌트(28/44)와, 재배선 구조물(40)의 대응하는 하부 부분 사이의 간극(gap) 내로 분배된다. 각각의 프로세스는 도 23에 도시된 프로세스 흐름(400) 내의 프로세스(404)로서 묘사된다. 언더필 물질(52)은 패키지 컴포넌트(28) 및 패키지 컴포넌트(44)의 측벽을 따라 위로 연장될 수 있다. 언더필 물질(52)은 예를 들면, 중합체, 에폭시, 몰딩 언더필 등과 같은 임의의 허용 가능한 물질일 수 있다. 언더필 물질(52)은 패키지 컴포넌트(28 및 44)가 부착된 후에 모세관 유동 프로세스(capillary flow process)에 의해 형성 될 수있다.
도 6a, 도 6b, 도 6c, 도 6d, 도 6e, 및 도 6f는 컴포넌트(36)에 접착되는 더미 다이(54)를 포함하는 패키지 구조물의 평면도를 묘사한다. 도 7은 패키지 구조물 내의 더미 다이(54)를 묘사하는 단면도이다. 도 7은 평면도 도 6c의 라인 A-A를 따른 것이다. 더미 다이(54)는 예를 들어, 픽 앤드 플레이스 툴을 사용하여 컴포넌트(36) 상에 배치될 수 있다. 도 6a, 도 6b, 도 6c, 도 6d, 도 6e 및 도 6f에서, "HBM” 및 "SOC"는 예시적인 패키지 컴포넌트(44 및 28)로서 각각 표시되어 있다. 적용 가능한 경우마다 패키지 컴포넌트(44, 28)는 임의의 다른 유형의 디바이스일 수 있다.
도 6a에서, 더미 다이(54)는 스크라이브 라인 영역(47)에 부착되고, 제1 방향(예컨대,도 6a의 수직 방향)을 따르는 스크라이브 라인 영역(47)을 따라 연장되는 길이 방향을 갖는다. 도 6b에서, 더미 다이(54)는 동일한 영역(45A 및/또는 45B)의 인접 패키지 컴포넌트(44) 사이에 부착된다. 도 6c에서, 더미 다이(54)는 스크라이브 라인 영역(47)에 부착되고 제1 방향 및 제2 방향(예를 들어, 도 6c의 수직 및 수평 방향 모두)을 따르고 또한 동일한 영역(45A 및/또는 45B)의 인접한 패키지 컴포넌트(44) 사이에 개재(interpose)된 스크라이브 라인 영역(47)을 따라 연장된다.
도 6d에서, 더미 다이(54)는 동일한 영역(45A 및/또는 45B)의 인접한 패키지 컴포넌트(44) 사이에 부착되고 스크라이브 라인 영역(47)에 있지 않지만 스크라이브 라인 영역(47) 근처에 있다. 도 6e에서, 더미 다이(54)의 구성은, 더미 다이(54)가 또한 패키지 컴포넌트(44)에 인접한 영역(45A 및/또는 45B)의 모서리(corner) 근처에 부착된다는 것을 제외하고는, 도 6d의 구성과 유사하다. 또한, 본 실시예에서, 더미 다이(54)는 스크라이브 라인 영역(47)에 없지만, 스크라이브 라인 영역(47) 근처에 있다. 도 6f에서, 더미 다이(54)는 패키지 컴포넌트(44)에 인접한 영역(45A 및/또는 45B)의 모서리 근처에 부착되고 스크라이브 라인 영역(47)에 있지 않지만 스크라이브 라인 영역(47)에 인접한다.
더미 다이(54)가 스크라이브 라인 영역(47) 내에 또는 그 근처에 배치되는 것은, 제1 및 제2 패키지 영역(45A, 45B) 내의 패키지의 싱귤레이션 중에 또는 그 후에(도 13 참조) 휨을 방지하는 것을 도울 수있다. 휨의 상당 부분은 그 안에 몰딩 컴파운드가 충전될 패키지 컴포넌트들(44, 28) 사이에 공간(49)(도 6a)이 존재하기 때문에 발생한다. 더미 다이(54)(예를 들어, 도 6a)는 공간(49)의 제1 부분과 제1 부분의 대향 측부들 상의 제2 부분을 포함한다. 더미 다이(54)는 휨을 방지하기 위해 단단하다(rigid). 예를 들어, 도 6c의 실시예(및 이후에 논의되는 도 15c의 싱귤레이트된 패키지)는 임의의 더미 다이(54)가 없는 패키지와 비교하여 패키지의 휨을 최대 약 60 %까지 감소시킬 수 있다.
더미 다이(54)가 휨을 감소시키는데 도움을 줄 수 있는 한가지 방법은 실제 싱귤레이션 프로세스 동안 패키지에 대해 지지를 제공하는 것이다. 더미 다이(54)가 휨을 방지할 수 있는 또 다른 방법은, 더미 다이(54)가 컴포넌트(36)와 유사한 열 팽창 계수(coefficient of thermal expansion; CTE)를 가지고 있고 더미 다이(54)가 패키지에서 필요한 밀봉재(58)의 양을 감소시키기 때문에, 컴포넌트(36)와 후속하여 형성된 밀봉재(58)(도 8 참조) 사이의 CTE의 부정합을 감소시키는 것이다.
도 7을 참조하면, 더미 다이(54)는 패키지 컴포넌트(44)에 인접한 스크라이브 라인 영역(47)에 접착된다. 각각의 프로세스는 도 23에 도시된 프로세스 흐름(400) 내의 프로세스(406)로서 묘사된다. 더미 다이(54)는 부착 구조물(56)로 컴포넌트(36)에 부착된다. 일부 실시예에 따르면, 부착 구조물(56)은, 더미 다이(54)를 대응하는 컴포넌트(36)에 접착시키는 접착제이다. 일부 실시예에 따르면, 부착 구조물(56)은, 더미 다이(54)를 컴포넌트에 본딩하는 금속 캡층(때로는 마이크로 범프라고도 함)을 갖는 하나 이상의 금속 필라를 포함한다. 더미 다이(54)는 실리콘, 유전체 물질 등, 또는 이들의 조합으로 제조될 수있다. 일부 실시예에 따르면, 더미 다이(54)는 전체가 예를 들면, 실리콘과 같은 균일한(homogenous) 물질로 형성된 블랭크 다이(blank die)이다. 일부 실시예에 따라, 어떠한 능동 디바이스, 수동 디바이스, 금속 피처 등도 더미 다이(54)에 형성되지 않는다. 더미 다이(54)는 전기적 기능을 갖지 않는다. 일부 실시예에 따르면, 더미 다이(54)는 더미 다이(54)로서 재활용된 결함 있는 활성 다이이다. 일부 실시예에 따르면, 더미 다이(54)의 상단 표면은 패키지 컴포넌트(28 및 44) 중 하나 또는 모두의 후면보다 낮다.
접착 부착 구조물(56)의 실시예에서, 접착제(56)는, 더미 다이(54)의 하단 표면 상에 있고 더미 다이(54)를 예를 들면, 이 도면의 재배치 구조물(40)과 같은 컴포넌트(36)에 접착시킨다. 접착제(56)는 임의의 적절한 접착제, 에폭시, 다이 부착막(die attach film; DAF) 등일 수 있다. 접착제(56)는 더미 다이(54)의 하단 표면에 도포되거나 재배선 구조물(40)의 표면 위에 도포될 수 있다. 더미 다이(54)는 예를 들어, 픽 앤드 플레이스 툴을 사용하여 접착체(56)에 의해 재배선 구조물(40)에 접착될 수 있다. 더미 다이(54)가 접착되기 전 또는 후에 언더필(52)이 배치되어 경화된다.
마이크로 범프 부착 구조물(56)의 실시예에서, 마이크로 범프(56)는 더미 다이(54)의 하단 표면 또는 부품(36)의 상단 표면 또는 그 양쪽 상에 형성된다. 마이크로 범프(56)는, 패키지 컴포넌트(28 및 44)를 본딩하는 마이크로 범프(예를 들어, 전기 커넥터(41/42))와 동시에 형성될 수있다. 마이크로 범프(56)는 예를 들면, 이 도면에서 재배선 구조물(40)과 같은 컴포넌트(36)에 더미 다이(54)를 본딩한다. 더미 다이(54)의 마이크로 범프(56)는 패키지 컴포넌트(28 및 44)의 전기 커넥터(41/42/43)와 함께 리플로우(reflow)될 수 있다.
도 8에서, 패키지 컴포넌트(28, 44)와 더미 다이(54)를 내부에 캡슐화하도록 밀봉재(58)가 배치/몰딩된다. 각각의 프로세스는 도 23에 도시된 프로세스 흐름(400) 내의 프로세스(408)로서 묘사된다. 밀봉재(58)는 몰딩 컴파운드, 에폭시 등일 수 있고, 압축 몰딩, 트랜스퍼 몰딩(transfer modling) 등에 의해 도포될 수 있다. 밀봉재(58) 및 언더필(52)은 상이한 물질들로 형성될 수 있다. 경화 프로세스는 열 경화, 자외선(UV) 경화 등과 같은 밀봉재(58)를 경화시키기 위해 수행된다. 일부 실시예에 따르면, 패키지 컴포넌트(28), 패키지 컴포넌트(44), 및 더미 다이(54)는 밀봉재(58)에 매립된다. 밀봉재(58)의 경화 후, CMP(chemical mechanical polish) 프로세스 또는 기계적 연마 프로세스와 같은 평탄화 프로세스를 수행하여 밀봉재(58)의 과잉 부분 - 이 과잉 부분은 패키지 컴포넌트(28) 및/또는 패키지 컴포넌트(44)의 상단 표면 위에 있음 - 을 제거한다. 각각의 프로세스는 도 23에 도시된 프로세스 흐름(400) 내의 프로세스(410)로서 묘사된다. 따라서, 패키지 컴포넌트(28) 및/또는 패키지 컴포넌트(44)의 상단 표면들은 노출되고, 밀봉재(58)의 상단 표면과 수평을 이룬다(level).
본 개시 내용의 일부 실시예에 따라, 더미 다이(54)의 상단 표면은 밀봉재(58)의 상단 표면보다 낮다. 따라서, 밀봉재(58)의 부분(58A)은 더미 다이(54)를 덮는다. 부분(58A)의 두께(T4)는, 도 13에 도시된 바와 같은 후속 싱귤레이션 프로세스에서의 바람직하지 않은 칩핑으로부터 더미 다이(54)에 적절한 보호를 제공하기에 충분히 크다. 그렇지 않으면, 두께(T4)가 너무 작으면, 후속 싱귤레이션 프로세스에서, 부분(58A)이 더미 다이(54)로부터 칩핑 또는 박리(peel)될 수 있다. 두께 T4도 너무 클 수 없다. 그렇지 않으면, 더미 다이(54)는 얇아질 것이며, 결과적인 패키지의 휨을 방지하는 그들의 능력이 손상된다(compromise). 일부 실시예에 따라, 두께 T4는 약 5 ㎛보다 크고, 약 5 ㎛와 약 600 ㎛ 사이의 범위 내에 있을 수 있다.
도 9 내지 도 12는 컴포넌트(36)의 제2 측부 상의 구조물의 형성을 묘사한다. 각각의 프로세스는 도 23에 도시된 프로세스 흐름(400) 내의 프로세스(412)로서 묘사된다. 도 9에서, 도 8의 구조물은 뒤집혀서 컴포넌트(36)의 제2면의 형성을 준비한다. 도시되지는 않았지만, 구조물은 도 9 내지 도 12의 프로세스를 위해 캐리어 또는 지지 구조물(도시 생략) 상에 배치될 수 있다. 도 9에 도시된 바와 같이, 이 프로세싱 단계에서, 컴포넌트(36)의 기판(34) 및 재배선 구조물(40)은 예를 들어, 약 415 ㎛와 같은, 약 50 ㎛와 약 415 ㎛ 사이의 범위 내의 결합된 두께 T1을 갖는다. 더미 다이(54)(부착 구조물(56)을 포함함)는 예를 들면, 약 400 μm와 같은, 약 30 μm 내지 약 415 μm 범위의 두께 T2를 갖는다.
도 10에서, TV(38)가 노출될 때까지 제2 표면(60)에 대해 기판(34)을 씨닝(thinning)하기 위해 기판(34)의 제2면에 대해 씨닝 프로세스가 수행된다. 씨닝 프로세스는 에칭백 프로세스, 연마 프로세스 등, 또는 이들의 조합을 포함할 수 있다. 일부 실시예에 따라, 씨닝 프로세스 후에, 컴포넌트(36)의 기판(34) 및 재배선 구조물(40)은 예를 들어, 약 52 ㎛와 같은, 약 30 ㎛와 약 200 ㎛ 사이의 범위 내의 결합된 두께 T3을 갖는다.
도 11에서, 재배선 구조물은 기판(34)의 제2 표면(60) 상에 형성되며, TV들(38)을 함께 그리고/또는 외부 디바이스에 전기적으로 접속시키기 위해 사용된다. 재배선 구조물은 하나 이상의 유전체층(62)과, 하나 이상의 유전체층(62) 내의 금속화 패턴(64)을 포함한다. 금속화 패턴은 TV들(38)을 함께 그리고/또는 외부 디바이스에 상호접속시키기 위한 비아 및/또는 트레이스를 포함할 수 있다. 금속화 패턴(64)은 때때로 재배선 라인(Redistribution Line; RDL)이라고 지칭된다. 유전체층(62)은 예를 들면, PSG, BPSG, FSG, SiOxCy, 스핀-온-글래스, 스핀-온-폴리머, 실리콘 탄소 물질, 이들의 화합물, 이들의 합성물, 이들의 조합 등과 같은, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 실리콘 산질화물, 로우-k 유전체 물질을 포함할 수 있다. 유전체층(62)은 예를 들면, 스피닝, CVD, PECVD, HDP-CVD 등과 같은 당업계에 공지된 임의의 적합한 방법에 의해 퇴적될 수 있다. 금속화 패턴(64)은 예를 들어, 다마신(damascene) 프로세스를 사용하여 유전체층(62)에 형성될 수 있다.
도 12에서, 전기 커넥터(66)는 또한 금속화 패턴(64) 상에 형성되고 TV(38)에 전기적으로 결합된다. 전기 커넥터(66)는 금속화 패턴(64) 상의 재배선 구조물(40)의 상단 표면에서 형성된다. 일부 실시예에 따르면, 금속화 패턴(64)은 UBM을 포함한다. 묘사된 실시예에서, 패드는 재배선 구조물의 유전체층(62)의 개구에 형성된다. 또 다른 실시예에서, 패드(UBM)는 재배선 구조물의 유전체층(62)의 개구를 관통해 연장될 수 있고 또한 재배선 구조물의 상단 표면을 가로 질러 연장될 수 있다.
일부 실시예에 따르면, 전기 커넥터(66)는 예를 들면, 볼 그리드 어레이(ball grid array; BGA) 볼, C4 마이크로 범프, ENIG 형성 범프, ENEPIG 형성 범프 등과 같은, 솔더 볼 및/또는 금속 범프이다. 전기 커넥터(66)는 예를 들면, 솔더, 구리, 알루미늄, 금, 니켈, 은, 팔라듐, 주석 등, 또는 이들 물질의 조합과 같은, 전도성 물질을 포함할 수 있다. 또 다른 실시예에서, 전기 커넥터(66)는 스퍼터링, 인쇄, 전기 도금, 무전해 도금, CVD 등에 의해 형성되는 금속 필라(예컨대, 구리 필라)이다. 금속 필라는 솔더가 없을 수 있고, 실질적으로 수직인 측벽을 가질 수 있다. 일부 실시예에 따라, 금속 캡층(미도시됨)은 금속 필라 커넥터(66)의 상단 상에 형성된다. 금속 캡층은 니켈, 주석, 주석-납, 금, 은, 팔라듐, 인듐, 니켈-팔라듐-금, 니켈-금 등, 또는 이들 물질의 조합을 포함할 수 있고, 도금 프로세스에 의해 형성될 수 있다.
전기 커넥터(66)는, 반도체 기판, 패키지 기판, 인쇄 회로 기판(PCB) 등(도 14의 300 참조)일 수 있는 추가 전기 컴포넌트에 본딩하는데 사용될 수 있다.
도 13에서, 컴포넌트(36) 및 더미 다이(54)는 스크라이브 라인 영역(47)을 따라 인접한 영역들(45A 및 45B) 사이에서 싱귤레이트되어 컴포넌트 패키지(200)를 형성한다. 각각의 프로세스는 도 23에 도시된 프로세스 흐름(400) 내의 프로세스(414)로서 묘사된다. 각각의 컴포넌트 패키지(200)는 다른 피처들 중에서, 패키지 컴포넌트(28), 패키지 컴포넌트(36), 패키지 컴포넌트(44), 및 더미 다이(54)의 잔여 부분(54')을 포함한다. 싱귤레이션은 쏘잉(sawing), 다이싱(dicing) 등에 의한 것일 수 있고 블레이드를 사용하여 수행될 수 있다. 더미 다이들(54) 각각은 각각의 커프(kerf)의 대향 측부들 상에 남아 있는 부분(54')을 가질 수 있다. 전술한 바와 같이, 잔여 더미 다이 부분(54’)은 싱귤레이션 프로세스 동안 및 이후에 야기된 응력 및 뒤틀림을 감소시키는 것을 돕는다. 더미 다이(54)를 덮는 밀봉재 부분(58A)은 싱귤레이션 프로세스 동안 더미 다이(54)가 칩핑되는 것을 방지한다. 그렇지 않으면, 부분(58A)이 형성되지 않으면, 다이 다이(54)는 다이싱 블레이드의 기계적 힘으로 인하여 칩핑될 수 있다.
싱귤레이션 프로세스 후에, 더미 다이(54)의 잔여 부분(54')은, 컴포넌트 패키지(200)의 측방향 연장부(lateral extents)와 접하는(coterminous) 측벽 표면을 갖는다(예를 들어, 도 13 및 도 14 참조).
도 14는 패키지(302)를 형성하기 위한 패키지 컴포넌트(300) 상의 컴포넌트 패키지(200)의 부착을 묘사한다. 전기 커넥터(66)는 패키지 컴포넌트(300)의 본드 패드에 정렬되고 기대게 배치된다(put against). 전기 커넥터(66)는 리플로우되어 패키지 컴포넌트(300)와 컴포넌트(36) 사이에 접합부(bond)를 생성할 수 있다. 패키지 컴포넌트(300)는, 예를 들면, 내부에 코어를 포함하는 빌드업(build-up) 기판과 같은 패키지 기판, 복수의 적층된 유전체 막들을 포함하는 라미네이트 기판, 인쇄 회로 기판(PCB) 등을 포함할 수 있다. 패키지 컴포넌트(300)는 패키지 컴포넌트(300)가 또 다른 디바이스에 실장될 수 있도록 컴포넌트 패키지에 대향하는, 솔더 볼과 같은 전기 커넥터(도시되지 않음)를 포함할 수 있다. 언더필 물질(미도시됨)은 컴포넌트 패키지(200)와 패키지 컴포넌트(300) 사이에 그리고 전기 커넥터(66) 주위에 분배될 수 있다. 언더필 물질은 예를 들면, 중합체, 에폭시, 몰딩 언더필 등과 같은 임의의 허용 가능한 물질일 수 있다.
도 15 내지 19는, 본 개시 내용의 일부 실시예들에 따른 패키지 구조물의 형성시의 중간 단계들의 평면도 및 단면도를 묘사한다. 다르게 명시되지 않으면, 이 실시예들에서 컴포넌트의 물질 및 형성 방법은, 도 1 내지 5, 6a, 6b, 6c, 6d, 6e, 6f, 및 7 내지 14에 도시된 실시예에서의 유사한 참조 번호에 의해 표시되는, 유사한 컴포넌트와 본질적으로 동일하다. 따라서, 도 15 내지 도 19에 도시된 컴포넌트의 형성 프로세스 및 물질에 관한 세부 사항은, 도 1 내지 5, 6a, 6b, 6c, 6d, 6e, 6f, 및 7 내지 14에 도시된 실시예의 논의에서 발견될 수 있다. 이 실시예들의 초기 단계는 도 1 내지 5, 6a, 6b, 6c, 6d, 6e, 및 6f에 도시된 것과 본질적으로 동일하다.
도 15는 일부 실시예들에 따른 더미 다이(54)의 평면도를 묘사한다. 더미 다이(54)는 부분(54B)과, 더미 다이 부분(54B)의 대향 측부들 상의 부분(54A)을 포함한다. 더미 다이 부분(54A)은 부분(54B)보다 두껍고, 따라서 도 16에 도시된 바와 같이 더미 다이 부분(54B) 위에 리세스(55)를 형성한다. 예를 들어, 도 16에 도시된 바와 같이, 더미 다이 부분(54A)은 두께(T5)를 가지며, 더미 다이 부분(54B)은 두께(T5)보다 작은 두께(T6)를 가져서, 더미 다이(54) 내로 연장하는 리세스(55)를 초래한다. 일부 실시예에 따라, 차이(T5-T6)는 약 5 ㎛보다 크고, 약 5 ㎛와 약 600 ㎛ 사이의 범위 내에 있을 수 있다. 부분(54B)의 폭(W1)(도 15)은 적절한 프로세스 마진을 갖는 싱귤레이션 프로세스(도 13)의 커프보다 크다. 또한, 폭(W1)은 충분히 커서, 싱귤레이션 후에 커프의 대향 측부들 상에 남겨진 잔여 부분(54B)이 존재한다. 폭 W1은 약 30 ㎛보다 클 수 있고, 약 50 ㎛와 약 1,000 ㎛ 사이의 범위 내에 있을 수 있다.
도 16을 참조하면, 더미 다이(54)는 패키지 컴포넌트(32)에 부착된다. 일부 실시예에 따르면, 더미 다이 부분(54B)은 스크라이브 라인(47)의 중간에 있고, 더미 다이 부분(54A) 중 하나는 더미 다이 부분(54B)과 영역(45A) 사이에 있으며, 더미 다이 부분(54A)의 다른 하나는 더미 다이 부분(54B)과 영역(45B) 사이에 있다.
도 17은 밀봉재(58)를 이용한 캡슐화를 또한 묘사한다. 일부 실시예에 따르면, 밀봉재(58)는 패키지 컴포넌트(28, 44)와 더미 다이(54) 사이의 갭 내로 배치된다. 또한, 밀봉재(58)는 더미 다이(54) 내의 리세스(55) 내로 배치된다. 이어서, 과잉 밀봉재(58)를 제거하기 위해 평탄화 프로세스가 수행된다. 평탄화 후에, 밀봉재(58)의 밀봉재 부분(58B)은 리세스(55) 내에 잔류하고, 약 5 ㎛보다 클 수 있고 약 5 ㎛와 약 600 ㎛ 사이의 범위 내에 있을 수 있는 두께 T4를 가진다.
후속 프로세스에서, 도 9 내지 12에 도시된 프로세스는 도 17에 도시된 구조물에 대해 수행되고, 결과 구조물은 도 18에 도시된다. 프로세스 세부 사항은 도 9 내지 도 12에 도시된 것과 유사하므로 여기에서 반복되지 않는다. 결과 패키지(200)에서, 더미 다이 부분(54B)은 노출된 측벽을 가진다. 또한, 밀봉재 부분(58B)은 더미 다이 부분(54B)의 에지로부터 패키지(200)의 에지까지 연장하고, 밀봉재 부분(58B)은 더미 다이 부분(54B)을(위아래로 뒤집히게 본 구조로) 덮는다. 패키지(200)를 형성하는 싱귤레이션 프로세스에서, 밀봉재 부분(58B)은 더미 다이(54)의 칩핑을 방지한다. 부분(58B)의 폭(W2)은 너무 작을 수 없다. 그렇지 않으면, 밀봉재 부분(58B)은 더미 다이(54)로부터 박리된다. 일부 실시예에 따라, 폭 W2는 약 50 ㎛보다 크고, 약 60 ㎛와 약 500 ㎛ 사이의 범위 내에 있을 수 있다. 도 19는 패키지(302)를 형성하도록 패키지(200)를 패키지 컴포넌트(300)에 본딩하는 것을 묘사한다.
도 20a, 20b, 도 20c, 20d, 20e, 및 20f는 각각 도 6a, 6b, 6c, 6d, 6e, 및 6f에 도시된 더미 다이(54) 실시예들 각각에 대응하는 패키지 구조물(302)의 평면도를 묘사한다. 이들 실시예는 패키지 컴포넌트(44)를 갖는 패키지 컴포넌트(28) 및 패키지 컴포넌트(28)의 대향 측부 상의 더미 다이(54)와 대칭이다.
도 21a, 21b, 21c, 21d, 21e, 및 21f는 각각 도 6a, 6b, 6c, 6d, 6e, 및 6f에 도시된 더미 다이(54) 실시예들 각각의 다른 실시예에서 싱귤레이트된 패키지 구조물의 평면도를 묘사한다. 이 실시예들에서, 싱귤레이트된 패키지 구조물은, 패키지 컴포넌트(44) 및 더미 다이(54)가 다이(28)의 하나의 측부(예를 들어, 도 21a, 21b, 21c, 21d, 21e, 21f에서 평면도의 상단 측부) 상에만 있으므로 비대칭이다. 이들 패키지 구조는 도 1 내지 도 5 및 도 7 내지 도 14에서 상술된 것과 유사한 물질, 구조물, 및 프로세스를 사용하여 제조될 수 있으며, 여기서 반복되지 않는다.
도 22a, 도 22b, 및 도 22c는, 이들 실시예에서, 패키지 구조물들 각각에서 더 많은 패키지 컴포넌트(44)가 존재하는 것을 제외하고는, 각각 도 6a, 도 6b, 및 도 6c와 유사한 프로세싱 포인트에서 그리고 더미 다이(54) 구성에서 유사한 평면도를 묘사한다. 이들 패키지 구조물은 도 1 내지 5 및 도 7 내지 14에서 상술된 것과 유사한 물질, 구조물, 및 프로세스를 사용하여 제조될 수 있으며, 여기서 반복되지 않는다.
이 실시예에서, 더미 다이(54)가 영역(45A 및 45B) 내에 있고 스크라이브 라인 영역(47) 내에 있지 않다는 것을 제외하고는, 도 22d는 도 22a 내지 22c 내의 것과 유사한 더미 다이(54) 구성의 또 하나의 실시예의 평면도를 묘사한다. 이들 패키지 구조는 도 1 내지 도 5 및 도 7 내지 도 14에서 상술된 것과 유사한 물질, 구조물, 및 프로세스를 사용하여 제조될 수 있으며, 여기서 반복되지 않는다. 이 유형의 구성(예를 들면, 스크라이브 라인 영역(47) 내에 어떠한 더미 다이(54)도 없음)은 위에서 설명된 이전 구성들 중 임의의 것에도 또한 적용될 수 있다.
밀봉재 부분(58A)(도 14) 또는 밀봉재 부분(58B)(도 19)은 도 6a, 6b, 6c, 및 6d에 기초해 형성된 패키지들 각각을 위해 그리고 도 20a, 20b, 20c, 20d, 20e, 및 20f, 도 21a, 21b, 21c, 21d, 21e, 및 21f, 및 도 22a, 22b, 22c, 및 22d에 도시된 패키지들 각각 내에 존재할 수 있다.
위에서 예증 된 예시적인 실시예에서, 일부 프로세스 및 피처는 본 개시 내용의 일부 실시예에 따라 논의된다. 다른 피처 및 프로세스가 또한 포함될 수 있다. 예를 들면, 3D 패키징 또는 3DIC 디바이스의 검증 테스팅을 돕도록 테스팅 구조물이 포함될 수 있다. 테스팅 구조물은 예를 들면, 3D 패키징 또는 3DIC의 테스팅, 프로브 및/또는 프로브 카드의 사용 등을 허용하는 기판 상에 또는 재배선층 내에 형성된 테스트 패드를 포함할 수 있다. 검증 테스팅은 최종 구조물뿐만 아니라 중간 구조물 상에 대해 수행될 수 있다. 추가적으로, 본 개시에서 개시된 구조물 및 방법은 수율을 증가시키고 비용을 감소시키도록 알려진 양호한 다이의 중간 검증을 통합시키는 테스팅 방법론과 결합해서 사용될 수 있다.
본 개시 내용의 실시예는 일부 이로운 피처를 가진다. 활성 다이에 인접한 더미 다이(들)는 대응하는 패키지 구조물의 휨을 감소시키는 것을 도울 수있다. 이러한 패키지 구조물의 휨 감소는 보다 안정적인 패키지 구조물을 가능하게 한다. 더미 다이의 상부에 밀봉재의 일부 부분이 남으면, 더미 다이의 바람직하지 않은 칩핑이 방지된다.
본 개시 내용의 일부 실시예에 따라, 방법은, 제2 패키지 컴포넌트를 제1 패키지 컴포넌트에 본딩하는 단계; 제3 패키지 컴포넌트를 제1 패키지 컴포넌트에 본딩하는 단계; 더미 다이를 제1 패키지 컴포넌트에 부착하는 단계; 제2 패키지 컴포넌트, 제3 패키지 컴포넌트, 및 더미 다이를 밀봉재에 캡슐화하는 단계; 제2 패키지 컴포넌트의 상단 표면을 밀봉재의 상단 표면과 수평이 되게 하도록 평탄화 프로세스를 수행하는 단계 - 평탄화 프로세스 후에, 밀봉재의 상부는 더미 다이와 중첩됨 -; 및 더미 다이를 제1 더미 다이 부분 및 제2 더미 다이 부분으로 분리하도록 더미 다이를 쏘잉-스루하는 단계를 포함하고, 밀봉재의 상부가 쏘잉-스루된다. 실시예에서, 밀봉재의 상부 부분은 약 5 ㎛보다 큰 두께를 가진다. 실시예예서, 제2 패키지 컴포넌트와 제3 패키지 컴포넌트는 공간에 의해 서로 이격되고, 더미 다이는 공간 내의 제1 부분; 및 공간의 대향 측부 상의 제2 부분을 포함한다. 실시예에서, 더미 다이가 쏘잉-스루될 때, 더미 다이 전체가 밀봉재에 의해 덮인다. 실시예에서, 더미 다이가 쏘잉-스루될 때, 더미 다이는, 노출되는 제1 상단 표면과, 밀봉재의 상부에 의해 덮인 제2 상단 표면을 포함한다. 실시예에서, 더미 다이는 실리콘을 포함한다. 실시예에서, 평탄화 프로세스는, 제3 패키지 컴포넌트가 더 노출될 때까지 수행된다.
본 개시 내용의 일부 실시예에 따라, 방법은, 제1 패키지 컴포넌트를 제2 패키지 컴포넌트에 본딩하는 단계 - 제1 패키지 컴포넌트는 디바이스 다이를 포함함 -; 더미 다이를 제2 패키지 컴포넌트에 부착하는 단계 - 더미 다이는 리세스를 포함함 -; 제1 패키지 컴포넌트 및 더미 다이를 밀봉재 내에 캡슐화하는 단계 - 밀봉재는 리세스를 충전하는 부분을 포함함 -; 및 패키지를 형성하도록 블레이드(blade)를 사용해 싱귤레이션 프로세스를 수행하는 단계를 포함하고, 패키지는 제1 패키지 컴포넌트, 제2 패키지 컴포넌트의 부분, 및 더미 다이의 부분을 포함하며, 블레이드는 리세스 내의 밀봉재의 부분을 컷 스루(cut through)한다. 실시예에서, 리세스 내의 밀봉재의 부분은 두 부분들로 절단된다. 실시예에서, 리세스는 길이 방향으로 세장되고, 블레이드는 길이 방향을 따라 절단한다. 실시예에서, 본 방법은, 캡슐화 후에 그리고 싱귤레이션 프로세스 전에, 더미 다이의 표면을 노출시키기 위해 평탄화 프로세스를 수행하는 단계를 더 포함한다. 실시예에서, 리세스 내에서 블레이드에 의해 컷 스루되는 밀봉재의 부분은 약 5 ㎛와 약 600 ㎛ 사이의 범위 내의 두께를 갖는다. 실시예에서, 싱귤레이션 프로세스가 수행될 때, 제1 패키지 컴포넌트는 밀봉재를 통해 노출된다.
본 개시 내용의 일부 실시예에 따라, 집적 회로의 패키지는, 제1 패키지 컴포넌트; 제1 패키지 컴포넌트 위에 있고 이에 본딩된 제2 패키지 컴포넌트; 제1 패키지 컴포넌트 위에 있고 이에 부착된 더미 다이 - 더미 다이는 제1 상단 표면, 및 제1 상단 표면보다 낮은 제2 상단 표면을 가짐 -; 및 내부에 더미 다이를 캡슐화하는 밀봉재를 포함하고, 밀봉재는 더미 다이의 제2 상단 표면과 중첩되는 제1 부분을 포함하고, 더미 다이의 제1 상단 표면은 밀봉재를 통해 노출된다. 실시예에서, 제2 상단 표면은 패키지의 에지까지 연장된다. 실시예에서, 패키지의 측벽은 더미 다이의 측벽을 포함한다. 실시예에서, 밀봉재는 더미 다이와 제1 패키지 컴포넌트 사이의 제2 부분을 더 포함한다. 실시예에서, 패키지는, 제1 패키지 컴포넌트 위에서 이에 본딩되는 제3 패키지 컴포넌트를 더 포함하고, 패키지의 평면도에서, 더미 다이는 길이 방향을 가지며, 더미 다이의 제1 단부로부터 시작하여 길이 방향에 수직인 제1 직선은 제2 패키지 컴포넌트를 가로지르고, 더미 다이의 제2 단부로부터 시작하여 길이 방향에 수직인 제2 직선은 제3 패키지 컴포넌트를 가로 지른다.
전술된 설명은, 당업자가 본 발명 개시 내용의 양상을 더 잘 이해할 수 있도록 다수의 실시예의 특징을 서술한다. 당업자는, 자신이 본 명세서에서 소개된 실시예의 동일한 목적을 수행하고 그리고/또는 동일한 이점을 달성하기 위한 다른 프로세스와 구조물을 설계하기 위한 기초로서 본 발명 개시 내용을 쉽게 이용할 수 있다는 것을 인식해야 한다. 또한, 당업자들은 이러한 등가의 구성이 본 개시 내용의 취지 및 범위를 벗어나지 않으며, 본 개시 내용의 취지 및 범위를 벗어나지 않고 다양한 변화, 대체 및 변경을 이룰 수 있음을 알아야 한다.
실시예들
실시예 1. 방법에 있어서,
제2 패키지 컴포넌트를 제1 패키지 컴포넌트에 본딩하는 단계;
제3 패키지 컴포넌트를 상기 제1 패키지 컴포넌트에 본딩하는 단계;
더미 다이(dummy die)를 상기 제1 패키지 컴포넌트에 부착하는 단계;
상기 제2 패키지 컴포넌트, 상기 제3 패키지 컴포넌트, 및 상기 더미 다이를 밀봉재(encapsulant)에 캡슐화하는 단계;
상기 제2 패키지 컴포넌트의 상단 표면을 상기 밀봉재의 상단 표면과 수평이 되게(level) 하도록 평탄화 프로세스를 수행하는 단계 - 상기 평탄화 프로세스 후에, 상기 밀봉재의 상부는 상기 더미 다이와 중첩됨 -; 및
상기 더미 다이를 제1 더미 다이 부분 및 제2 더미 다이 부분으로 분리하도록 상기 더미 다이를 쏘잉-스루(sawing-through)하는 단계
를 포함하고, 상기 밀봉재의 상부가 쏘잉-스루되는 것인, 방법.
실시예 2. 실시예 1에 있어서, 상기 밀봉재의 상부는 5 ㎛보다 큰 두께를 갖는 것인, 방법.
실시예 3. 실시예 1에 있어서, 상기 제2 패키지 컴포넌트와 상기 제3 패키지 컴포넌트는 공간에 의해 서로 이격되고, 상기 더미 다이는,
상기 공간 내의 제1 부분; 및
상기 공간의 대향 측부 상의 제2 부분을 포함하는 것인, 방법.
실시예 4. 실시예 1에 있어서, 상기 더미 다이가 쏘잉-스루될 때, 상기 더미 다이의 전체가 상기 밀봉재에 의해 덮이는 것인, 방법.
실시예 5. 실시예 1에 있어서, 상기 더미 다이가 쏘잉-스루될 때, 상기 더미 다이는, 노출되는 제1 상단 표면과, 상기 밀봉재의 상부에 의해 덮인 제2 상단 표면을 포함하는 것인, 방법.
실시예 6. 실시예 5에 있어서, 상기 쏘잉 후에, 상기 밀봉재의 상부의 평면도에서, 상기 밀봉재의 상부는 길이 및 이 길이보다 작은 폭을 가지며, 상기 폭은 50 ㎛보다 큰 것인, 방법.
실시예 7. 실시예 1에 있어서, 상기 더미 다이는 실리콘을 포함하는 것인, 방법.
실시예 8. 실시예 1에 있어서, 상기 평탄화 프로세스는, 상기 제3 패키지 컴포넌트가 더 노출될 때까지 수행되는 것인, 방법.
실시예 9. 방법에 있어서,
제1 패키지 컴포넌트를 제2 패키지 컴포넌트에 본딩하는 단계 - 상기 제1 패키지 컴포넌트는 디바이스 다이를 포함함 -;
더미 다이를 상기 제2 패키지 컴포넌트에 부착하는 단계 - 상기 더미 다이는 리 세스(recess)를 포함함 -;
상기 제1 패키지 컴포넌트 및 상기 더미 다이를 밀봉재 내에 캡슐화하는 단계 - 상기 밀봉재는 상기 리세스를 충전하는 부분을 포함함 -; 및
상기 패키지를 형성하도록 블레이드(blade)를 사용해 싱귤레이션(singulation) 프로세스를 수행하는 단계
를 포함하고, 상기 패키지는 상기 제1 패키지 컴포넌트, 상기 제2 패키지 컴포넌트의 일부분, 및 상기 더미 다이의 일부분을 포함하며, 상기 블레이드는 상기 리세스 내의 상기 밀봉재의 부분을 컷 스루(cut through)하는 것인, 방법.
실시예 10. 실시예 9에 있어서, 상기 리세스 내의 상기 밀봉재의 부분은 두 부분들로 절단되는 것인, 방법.
실시예 11. 실시예 9에 있어서, 상기 리세스는 길이 방향으로 세장(elongate)되고, 상기 블레이드는 상기 길이 방향을 따라 절단하는 것인, 방법,
실시예 12. 실시예 9에 있어서, 상기 캡슐화 후에 그리고 상기 싱귤레이션 프로세스 전에, 상기 더미 다이의 표면을 노출시키기 위해 평탄화 프로세스를 수행하는 단계를 더 포함하는, 방법.
실시예 13. 실시예 9에 있어서, 상기 리세스 내에서 상기 블레이드에 의해 컷 스루되는 상기 밀봉재의 부분은, 5 ㎛와 600 ㎛ 사이의 범위의 두께를 갖는 것인, 방법.
실시예 14. 실시예 9에 있어서, 상기 싱귤레이션 프로세스 후에, 상기 리세스 내의 상기 밀봉재의 부분은 상기 패키지 내에 잔여 부분을 가지며, 상기 밀봉재의 잔여 부분은 60 ㎛와 500 ㎛ 사이의 범위의 폭을 갖는 것인, 방법.
실시예 15. 실시예 9에 있어서, 상기 싱귤레이션 프로세스가 수행될 때, 상기 제1 패키지 컴포넌트는 상기 밀봉재를 통해 노출되는 것인, 방법.
실시예 16. 집적 회로의 패키지에 있어서,
제1 패키지 컴포넌트;
상기 제1 패키지 컴포넌트 위에 있고 이에 본딩된 제2 패키지 컴포넌트;
상기 제1 패키지 컴포넌트 위에 있고 이에 부착된 더미 다이 - 상기 더미 다이는 제1 상단 표면, 및 상기 제1 상단 표면보다 낮은 제2 상단 표면을 가짐 -; 및
내부에 상기 더미 다이를 캡슐화하는 밀봉재
를 포함하고, 상기 밀봉재는 상기 더미 더미 다이의 제2 상단 표면과 중첩되는 제1 부분을 포함하고, 상기 더미 다이의 제1 상단 표면은 상기 밀봉재를 통해 노출되는 것인, 집적 회로의 패키지.
실시예 17. 실시예 16에 있어서, 상기 제2 상단 표면은 상기 패키지의 에지까지 연장되는 것인, 집적 회로의 패키지.
실시예 18. 실시예 16에 있어서, 상기 패키지의 측벽은 상기 더미 다이의 측벽을 포함하는 것인, 집적 회로의 패키지.
실시예 19. 실시예 16에 있어서, 상기 밀봉재는 상기 더미 다이와 상기 제1 패키지 컴포넌트 사이의 제2 부분을 더 포함하는 것인, 집적 회로의 패키지.
실시예 20. 실시예 16에 있어서, 상기 제1 패키지 컴포넌트 위에서 이에 본딩되는 제3 패키지 컴포넌트를 더 포함하고, 상기 패키지의 평면도에서, 상기 더미 다이는 길이 방향을 가지며,
상기 더미 다이의 제1 단부로부터 시작하여 상기 길이 방향에 수직인 제1 직선은 제2 패키지 컴포넌트를 가로 지르고,
상기 더미 다이의 제2 단부로부터 시작하여 상기 길이 방향에 수직인 제2 직선은 상기 제3 패키지 컴포넌트를 가로 지르는 것인, 집적 회로의 패키지.

Claims (10)

  1. 방법에 있어서,
    제2 패키지 컴포넌트를 제1 패키지 컴포넌트에 본딩하는 단계;
    제3 패키지 컴포넌트를 상기 제1 패키지 컴포넌트에 본딩하는 단계;
    더미 다이(dummy die)를 상기 제1 패키지 컴포넌트에 부착하는 단계;
    상기 제2 패키지 컴포넌트, 상기 제3 패키지 컴포넌트, 및 상기 더미 다이를 밀봉재(encapsulant)에 캡슐화하는 단계;
    상기 제2 패키지 컴포넌트의 상단 표면을 상기 밀봉재의 상단 표면과 수평이 되게(level) 하도록 평탄화 프로세스를 수행하는 단계 - 상기 평탄화 프로세스 후에, 상기 밀봉재의 상부는 상기 더미 다이와 중첩됨 - ; 및
    상기 더미 다이를 제1 더미 다이 부분 및 제2 더미 다이 부분으로 분리하도록 상기 더미 다이를 쏘잉-스루(sawing-through)하는 단계 - 상기 밀봉재의 상부가 쏘잉-스루됨 -
    를 포함하고,
    상기 더미 다이 및 상기 밀봉재의 상부가 쏘잉-스루될 때, 상기 더미 다이는, 노출되는 제1 상단 표면과, 상기 밀봉재의 상부에 의해 덮인 제2 상단 표면을 포함하는 것인, 방법.
  2. 제1항에 있어서, 상기 제2 패키지 컴포넌트와 상기 제3 패키지 컴포넌트는 공간에 의해 서로 이격되고, 상기 더미 다이는,
    상기 공간 내의 제1 부분; 및
    상기 공간의 대향 측부 상의 제2 부분을 포함하는 것인, 방법.
  3. 삭제
  4. 삭제
  5. 방법에 있어서,
    제1 패키지 컴포넌트를 제2 패키지 컴포넌트에 본딩하는 단계 - 상기 제1 패키지 컴포넌트는 디바이스 다이를 포함함 - ;
    더미 다이를 상기 제2 패키지 컴포넌트에 부착하는 단계 - 상기 더미 다이는 리세스(recess)를 포함함 - ;
    상기 제1 패키지 컴포넌트 및 상기 더미 다이를 밀봉재 내에 캡슐화하는 단계 - 상기 밀봉재는 상기 리세스를 충전하는 부분을 포함함 - ; 및
    상기 패키지를 형성하도록 블레이드(blade)를 사용해 싱귤레이션(singulation) 프로세스를 수행하는 단계
    를 포함하고,
    상기 패키지는 상기 제1 패키지 컴포넌트, 상기 제2 패키지 컴포넌트의 일부분, 및 상기 더미 다이의 일부분을 포함하며, 상기 블레이드는 상기 리세스 내의 상기 밀봉재의 부분을 컷 스루(cut through)하는 것인, 방법.
  6. 집적 회로의 패키지에 있어서,
    제1 패키지 컴포넌트;
    상기 제1 패키지 컴포넌트 위에 있고 이에 본딩된 제2 패키지 컴포넌트;
    상기 제1 패키지 컴포넌트 위에 있고 이에 부착된 더미 다이 - 상기 더미 다이는 제1 상단 표면, 및 상기 제1 상단 표면보다 낮은 제2 상단 표면을 가짐 - ; 및
    내부에 상기 더미 다이를 캡슐화하는 밀봉재
    를 포함하고,
    상기 밀봉재는 상기 더미 다이의 제2 상단 표면과 중첩되는 제1 부분을 포함하고, 상기 더미 다이의 제1 상단 표면은 상기 밀봉재를 통해 노출되는 것인, 집적 회로의 패키지.
  7. 제6항에 있어서, 상기 제2 상단 표면은 상기 패키지의 에지까지 연장되는 것인, 집적 회로의 패키지.
  8. 제6항에 있어서, 상기 패키지의 측벽은 상기 더미 다이의 측벽을 포함하는 것인, 집적 회로의 패키지.
  9. 제6항에 있어서, 상기 밀봉재는 상기 더미 다이와 상기 제1 패키지 컴포넌트 사이의 제2 부분을 더 포함하는 것인, 집적 회로의 패키지.
  10. 제6항에 있어서, 상기 제1 패키지 컴포넌트 위에서 이에 본딩되는 제3 패키지 컴포넌트를 더 포함하고, 상기 패키지의 평면도에서, 상기 더미 다이는 길이 방향을 가지며,
    상기 더미 다이의 제1 단부로부터 시작하여 상기 길이 방향에 수직인 제1 직선은 제2 패키지 컴포넌트를 가로 지르고,
    상기 더미 다이의 제2 단부로부터 시작하여 상기 길이 방향에 수직인 제2 직선은 상기 제3 패키지 컴포넌트를 가로 지르는 것인, 집적 회로의 패키지.
KR1020190112111A 2019-05-17 2019-09-10 후면 칩핑이 없는 더미 다이 배치 KR102241698B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/415,009 2019-05-17
US16/415,009 US10861799B1 (en) 2019-05-17 2019-05-17 Dummy die placement without backside chipping

Publications (2)

Publication Number Publication Date
KR20200133169A KR20200133169A (ko) 2020-11-26
KR102241698B1 true KR102241698B1 (ko) 2021-04-20

Family

ID=73019116

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190112111A KR102241698B1 (ko) 2019-05-17 2019-09-10 후면 칩핑이 없는 더미 다이 배치

Country Status (5)

Country Link
US (3) US10861799B1 (ko)
KR (1) KR102241698B1 (ko)
CN (1) CN111952251B (ko)
DE (1) DE102019118624B4 (ko)
TW (1) TWI740501B (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11244879B2 (en) * 2019-09-26 2022-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package
US11901333B2 (en) * 2019-10-08 2024-02-13 Intel Corporation No mold shelf package design and process flow for advanced package architectures
KR20210059417A (ko) 2019-11-15 2021-05-25 삼성전자주식회사 보강 구조물을 갖는 반도체 패키지
US20220157777A1 (en) * 2020-11-13 2022-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device package having dummy dies and method of forming the same
CN112908948A (zh) * 2021-01-18 2021-06-04 上海先方半导体有限公司 一种封装结构及其制造方法
KR20230021211A (ko) 2021-08-04 2023-02-14 삼성전자주식회사 가장자리 영역에서 매립 절연 패턴을 포함하는 반도체 칩, 이 칩을 포함하는 반도체 패키지 및 이 칩의 제조 방법
US20230065443A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Probe head structure and method for forming the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090289339A1 (en) * 2008-05-23 2009-11-26 Advanced Semiconductor Engineering, Inc. Semiconductor package and method for manufacturing the same

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101074828A (zh) 2006-05-15 2007-11-21 中国国际海运集装箱(集团)股份有限公司 一种冷藏集装箱用制冷装置和控制方法
US10043769B2 (en) 2015-06-03 2018-08-07 Micron Technology, Inc. Semiconductor devices including dummy chips
US9831195B1 (en) * 2016-10-28 2017-11-28 Advanced Semiconductor Engineering, Inc. Semiconductor package structure and method of manufacturing the same
US10529690B2 (en) 2016-11-14 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
DE102017122096A1 (de) 2016-11-14 2018-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Gehäusetrukturen und Ausbildungsverfahren
US10153222B2 (en) 2016-11-14 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and methods of forming the same
DE102017122831B4 (de) 2016-11-14 2022-12-08 Taiwan Semiconductor Manufacturing Co. Ltd. Gehäusestrukturen und Ausbildungsverfahren
US10163750B2 (en) 2016-12-05 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure for heat dissipation
KR101901711B1 (ko) 2017-09-27 2018-09-27 삼성전기 주식회사 팬-아웃 반도체 패키지

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090289339A1 (en) * 2008-05-23 2009-11-26 Advanced Semiconductor Engineering, Inc. Semiconductor package and method for manufacturing the same

Also Published As

Publication number Publication date
US10861799B1 (en) 2020-12-08
US20200365525A1 (en) 2020-11-19
DE102019118624B4 (de) 2021-03-18
US20210118817A1 (en) 2021-04-22
TW202044432A (zh) 2020-12-01
TWI740501B (zh) 2021-09-21
KR20200133169A (ko) 2020-11-26
CN111952251A (zh) 2020-11-17
US20230092361A1 (en) 2023-03-23
CN111952251B (zh) 2022-09-20
US11515267B2 (en) 2022-11-29
DE102019118624A1 (de) 2020-11-19

Similar Documents

Publication Publication Date Title
US11417580B2 (en) Package structures and methods of forming the same
US10867965B2 (en) Package structures and methods of forming the same
KR102454016B1 (ko) 패키지 구조물 및 방법
CN110634847B (zh) 半导体器件和方法
KR102241698B1 (ko) 후면 칩핑이 없는 더미 다이 배치
CN109427702B (zh) 散热器件和方法
KR102306510B1 (ko) 집적 회로 패키지 및 방법
KR102455197B1 (ko) 집적 회로 패키지 및 방법
CN113161302A (zh) 半导体封装结构、半导体封装件及其制造方法
KR20210134868A (ko) 반도체 패키지 및 그 제조 방법
US11527454B2 (en) Package structures and methods of forming the same
US20230307338A1 (en) Package structures and methods of forming the same
TW202347663A (zh) 積體電路封裝裝置
TW202329377A (zh) 半導體封裝及其製造方法
CN116741730A (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant