KR102224383B1 - 안테나 캐비티 및 하이-k 유전체를 갖는 절연성 기판을 구비하는 통합 패치 안테나 - Google Patents

안테나 캐비티 및 하이-k 유전체를 갖는 절연성 기판을 구비하는 통합 패치 안테나 Download PDF

Info

Publication number
KR102224383B1
KR102224383B1 KR1020200012906A KR20200012906A KR102224383B1 KR 102224383 B1 KR102224383 B1 KR 102224383B1 KR 1020200012906 A KR1020200012906 A KR 1020200012906A KR 20200012906 A KR20200012906 A KR 20200012906A KR 102224383 B1 KR102224383 B1 KR 102224383B1
Authority
KR
South Korea
Prior art keywords
dielectric
pad
antenna
ground plate
conductive
Prior art date
Application number
KR1020200012906A
Other languages
English (en)
Other versions
KR20200110607A (ko
Inventor
펭-웨이 구오
웬-쉬앙 리아오
칭-후이 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200110607A publication Critical patent/KR20200110607A/ko
Application granted granted Critical
Publication of KR102224383B1 publication Critical patent/KR102224383B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/0407Substantially flat resonant element parallel to ground plane, e.g. patch antenna
    • H01Q9/0414Substantially flat resonant element parallel to ground plane, e.g. patch antenna in a stacked or folded configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/48Earthing means; Earth screens; Counterpoises
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/64Impedance arrangements
    • H01L23/66High-frequency adaptations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/12Supports; Mounting means
    • H01Q1/22Supports; Mounting means by structural association with other equipment or articles
    • H01Q1/2283Supports; Mounting means by structural association with other equipment or articles mounted in or on the surface of a semiconductor substrate as a chip-type antenna or integrated with other components into an IC package
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/36Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith
    • H01Q1/38Structural form of radiating elements, e.g. cone, spiral, umbrella; Particular materials used therewith formed by a conductive layer on an insulating support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q1/00Details of, or arrangements associated with, antennas
    • H01Q1/40Radiating elements coated with or embedded in protective material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q3/00Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system
    • H01Q3/12Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system using mechanical relative movement between primary active elements and secondary devices of antennas or antenna systems
    • H01Q3/16Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system using mechanical relative movement between primary active elements and secondary devices of antennas or antenna systems for varying relative position of primary active element and a reflecting device
    • H01Q3/18Arrangements for changing or varying the orientation or the shape of the directional pattern of the waves radiated from an antenna or antenna system using mechanical relative movement between primary active elements and secondary devices of antennas or antenna systems for varying relative position of primary active element and a reflecting device wherein the primary active element is movable and the reflecting device is fixed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01QANTENNAS, i.e. RADIO AERIALS
    • H01Q9/00Electrically-short antennas having dimensions not more than twice the operating wavelength and consisting of conductive active radiating elements
    • H01Q9/04Resonant antennas
    • H01Q9/0407Substantially flat resonant element parallel to ground plane, e.g. patch antenna
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/58Structural electrical arrangements for semiconductor devices not otherwise provided for
    • H01L2223/64Impedance arrangements
    • H01L2223/66High-frequency adaptations
    • H01L2223/6661High-frequency adaptations for passive devices
    • H01L2223/6677High-frequency adaptations for passive devices for antenna, e.g. antenna included within housing of semiconductor device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Waveguide Aerials (AREA)

Abstract

디바이스는, 적어도 하나의 전도성 필라의 근위 단부에 전기적으로 연결되는 접지판, 및 접지판에 실질적으로 평행하는 안테나 패드를 포함하고, 안테나 패드는 제1 유전 상수를 가지는 유전체 패드에 의해 적어도 하나의 전도성 필라의 원위 단부로부터 분리되며, 접지판, 적어도 하나의 전도성 필라 및 유전체 패드는 제1 유전 상수와 다른 제2 유전 상수를 갖는 유전체 충전 재료로 채워지는 안테나 캐비티를 둘러싼다.

Description

안테나 캐비티 및 하이-k 유전체를 갖는 절연성 기판을 구비하는 통합 패치 안테나{INTEGRATED PATCH ANTENNA HAVING INSULATING SUBSTRATE WITH ANTENNA CAVITY AND HIGH-K DIELECTRIC}
<우선권 주장>
본 출원은 2019년 3월 15일에 출원한 미국 가출원 특허 제62/819,330호에 대해 우선권 주장하며, 이 우선권 출원은 그 전체가 여기에 참조로 포함된다.
안테나는, 무선 주파수(Radio Frequency, RF) 시스템에서, 셀룰러 전화기와 같은 모바일 디바이스용 데이터를 포함하는 데이터를 수신 및 송신하는 데 이용된다. 안테나는, 종종 최대 60기가헤르츠(GHz)의 주파수를 위한 무선 주파수 집적 회로(Radio Frequency Integrated Circuit, RFIC) 다이와는 별도로 설계되며, 패키징 작업에서 단일 디바이스로 결합된다. 개별 제조 후에 패키징하는 것을 통해 많은 RF 시스템의 안테나 성능을 향상시킬 수 있다. 안테나는, 재분배 구조물(Redistribution Structure, RDS)을 이용하는 RFIC 다이를 이용하여 통합 팬 아웃(Integrated-fan out, InFO) 패키지에 통합된다. InFO 패키지는 더 높은 고주파의 RF 송수신기 설계 사양을 충족하도록 개발되었다.
도 1은 일부 실시예에 따른 반도체 디바이스의 패치 안테나의 평면도이다.
도 2는 일부 실시예에 따른 반도체 디바이스에서 패치 안테나를 제조하는 방법의 흐름도이다.
도 3은 일부 실시예에 따른 제조 공정 중의 패치 안테나의 단면도이다.
도 4는 일부 실시예에 따른 제조 공정 중의 패치 안테나의 단면도이다.
도 5는 일부 실시예에 따른 제조 공정 중의 패치 안테나의 단면도이다.
도 6은 일부 실시예에 따른 제조 공정 중의 패치 안테나의 단면도이다.
도 7은 일부 실시예에 따른 제조 공정 중의 패치 안테나의 단면도이다.
도 8은 일부 실시예에 따른 제조 공정 중의 패치 안테나의 단면도이다.
도 9는 일부 실시예에 따른 제조 공정 중의 패치 안테나의 단면도이다.
도 10은 일부 실시예에 따른 제조 공정 중의 패치 안테나의 단면도이다.
도 11은 일부 실시예에 따른 제조 공정 중의 패치 안테나의 단면도이다.
도 12는 일부 실시예에 따른 반도체 디바이스의 블록도이다.
도 13은 일부 실시예에 따른 전자 설계 자동화(Electronic Design Automation, EDA) 시스템의 블록도이다.
도 14는 일부 실시예에 따른 집적 회로(Integrated Circuit, IC) 제조 시스템(1400) 및 이와 연관된 IC 제조 흐름의 블록도이다.
아래의 개시는 본 개시의 다양한 특징부를 구현하기 위한 많은 다양한 실시예 또는 예를 제공한다. 본 개시를 간략하게 하기 위하여 구성 요소 및 배치의 특정 예가 이하에 설명된다. 물론, 이는 단지 예일 뿐이며, 한정하려는 의도가 아니다. 예컨대, 다음의 설명에서 제2 특징부 상의 또는 그 위의 제1 특징부의 형성은, 제1 및 제2 특징부가 직접 접촉하여 형성되는 실시예를 포함할 수도 있고, 또한 부가적인 특징부가 제1 및 제2 특징부 사이에 형성되어 제1 및 제2 특징부가 직접 접촉하지 않는 실시예를 포함할 수도 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순성 및 명료성을 위한 것이며, 논의되는 다양한 실시예 및/또는 구성 간의 관계를 그 자체로 나타내지 않는다.
또한, "하에(beneath)", "아래에(below)", "하부의(lower)", "위에(above)", "상부의(upper)" 등과 같은 공간적으로 상대적인 용어가, 도면에 도시된 하나의 구성 요소 또는 특징부와 다른 구성 요소(들) 또는 특징부(들) 간의 관계를 설명하는 데 있어서, 설명의 편의를 위해 이용될 수도 있다. 공간적으로 상대적인 용어는, 도면에 나타난 방향 외에도, 이용 또는 작업 중인 디바이스의 다른 방향을 망라한다. 장비는 다른 방향으로(90도 회전되거나 다른 방향으로 회전) 배치될 수 있고, 본 개시에서 이용된 공간적으로 상대적인 서술어는 이에 따라 마찬가지로 해석될 수 있다.
패치 안테나는 인쇄 회로 기판 에칭 및 반도체 처리 단계와 같은 리소그래피 패터닝 기술을 이용하여 제조하기 쉽기 때문에, 통합 팬 아웃(Integrated fan out, InFO) 패키지 구조물을 이용하는 안테나/무선 주파수 집적 회로(Radio Frequency Integrated Circuit, RFIC) 다이 통합에 있어서 패치 안테나가 관심의 대상이다. 패치 안테나는, 접지판(ground plate), 및 유전체 기판에 의해 접지판으로부터 공간적으로 분리되는 안테나 패드(안테나 패치)를 포함한다. 안테나 캐비티는 안테나 패드와 접지판 사이의 영역이다. 안테나 캐비티는, 전자기파가 안테나 패드로 또는 안테나 패드로부터 방사(radiate)되도록 하는 공진 캐비티이다.
안테나 또는 RFIC 다이 InFO 패키지 구조물을 위한 패치 안테나는 리소그래피 및 집적 회로 제조 공정을 이용하여 제조될 수 있다. 패터닝 기술은, 패터닝 재료(예를 들어, 포토레지스트 등)를 퇴적하고, 패턴을 패터닝 재료로 전사(예를 들어, 포토리소그래피, 전자 빔 리소그래피 또는 IC 제조에 이용되는 다른 패턴 전사 기술)하고, 패턴 전사 후에 패터닝 재료의 개구부 내에서 덮이지 않고 노출된 재료를 에칭하는 단계를 포함한다. 노출된 재료의 에칭은 플라즈마 에칭 및 침지 에칭(예를 들어, 딥 탱크 또는 스프레이-온 에칭제 기술)을 포함한다.
패치 안테나는, 도전성 재료의 접지판, 및 적어도 하나의 유전체 재료에 의해 접지판으로부터 공간적으로 분리되는 안테나를 위한 안테나 패드를 포함한다. 안테나 영역을 위한 접지판 및 패치는, 실질적으로 평행한 도전성 재료의 판을 포함한다. 안테나 영역을 위한 접지판 및 패치의 측방향 치수는, 안테나의 무선 주파수(Radio Frequency, RF) 특성을 조절하기 위해 조정된다. 안테나의 측방향 치수를 조정하면, 안테나의 임피던스와 동작 주파수도 조정된다.
InFO 패키지 또는 InFO 디바이스는, 다른 디바이스로부터의 RF 신호를 송신, 수신 및 해석하기 위해, RF 컨트롤러 다이(다이)에 전기적으로 연결되는 하나 이상의 안테나 패드를 갖는다. 각각의 패치 안테나는, 적어도 하나의 도전성 필라(pillar)에 전기적으로 연결되는 접지판, 그리고 안테나 패드를 포함하고, 접지판과 안테나 패드 사이에 위치하는 안테나 캐비티를 갖는다. 일부 실시예에서, 접지판에 전기적으로 연결되는 도전성 필라는, 접지판 위로, 안테나 패드의 둘레의 돌출부 내에, 위치한다. 안테나 캐비티는 로우-k 유전체 재료(예를 들어, k > 약 1 F/m 내지 k < 약 6 F/m)로 채워진다. 유전 상수가 약 1 F/m 미만인 로우-k 유전체 재료는, 제조 공정 후에 다이 커팅 또는 디바이스 분리 도중에 깨지기 쉬우며 균열되는 경향이 있다. 6 F/m보다 큰 유전 상수를 갖는 로우-k 유전체 재료는, 안테나 패드와 접지판, 또는 안테나 패드와 InFO 패키지의 다이를 충분히 분리하지 못한다. 패치 안테나의 안테나 캐비티와 패치 영역 사이에 하이-k 유전체 재료(예를 들어, k > 약 7 F/m)가 위치한다. 안테나 캐비티는, InFO 패키지에서 안테나 패드/패치 안테나의 반사 계수, 즉 S11 파라미터를 향상시킨다. 로우-k 유전체 재료는 디바이스 내의 RF 다이 내부 및 주변에 위치한다. 하이-k 유전체 재료(하이-k 유전체 패드 또는 유전체 패드)는 안테나 캐비티와 안테나 패드 사이에 위치하며, RF 및 방사 효율을 증가시킨다. 안테나 패드와 안테나 캐비티 사이에 하이-k 유전체 재료를 포함하면, 안테나 패드 및/또는 접지판의 측방향 치수의 감소가 용이하게 된다. 로우-k 유전체 재료는 도전성 필라, 접지판 및 RF 다이 사이의 절연체이다. 일부 실시예에서, 상이한 로우-k 유전체 재료가 InFO 패키지의 상이한 층에 이용된다. InFO 패키지의 일부 층은 폴리이미드, PBO, MC, 실리콘 이산화물, 스핀 온 글래스(Spin On Glass, SOG), 세라믹, 알루미늄 산화물(Al2O3) 등과 같은 절연체를 포함한다.
도 1은, 일부 실시예에 따른 반도체 디바이스(100)의 패치 안테나의 평면도이다. 절연성 재료(102)(제1 절연성 재료)가 기판(미도시) 위에 위치한다. 일부 실시예에서, 절연성 재료는 도전성 재료를 밀봉(encapsulate)하고, 수분 또는 전압원으로부터의 보호를 제공하기 위한 폴리이미드 층이다. 접지판(104A, 104B)이 절연성 재료(102) 위에 위치한다. 접지판(104A, 104B)은, 절연성 재료 위에 퇴적되는 도전성 재료(예를 들어, 구리, 티타늄, 알루미늄 또는 이의 합금)의 층이다. 접지판(104A, 104B)은 접지 연결부(120A, 120B)에 의해 반도체 디바이스 또는 인쇄 회로 기판 접지 연결부에 전기적으로 연결된다. 일부 실시예에서, 접지 연결부(120A, 120B)는, 반도체 디바이스의 접지판으로부터, 반도체 디바이스 또는 인쇄 회로 기판의 접지 연결부까지 상방으로 연장되는 비아 또는 도전성 라인을 포함한다.
도전성 필라(122A 내지 122D)의 세트는 반도체 디바이스의 접지판에 전기적으로 연결된다. 도전성 필라는, 제조 공정 동안 예를 들어 시드층을 퇴적하고, 접지판 위에 퇴적되는 희생 패터닝 재료의 개구부로 도전성 재료를 전기 도금함으로써 형성된다. 일부 실시예에서, 도전성 필라 제조 작업 전에 절연층이 접지판 위에 퇴적되고, 도전성 필라를 제조하기 전에 절연성 재료가 희생 패터닝 재료의 개구부를 통해 부분적으로 제거된다. 도전성 필라(122A, 122B, 122C, 122D)의 세트 각각은 네 개의 필라를 포함한다. 일부 실시예에서, 일 세트의 도전성 필라 내의 도전성 필라의 개수는 1 필라 내지 10 필라의 범위이지만, 다른 개수의 도전성 필라 또한 본 발명의 범위에 속한다. 도전성 필라의 세트는 반도체 디바이스의 각 안테나 패드 및/또는 유전체 패드와 연관된다. 각 안테나 패드를 위한 도전성 필라의 개수는, 도전성 패드 및/또는 유전체 패드의 면적, 안테나의 주파수, 및 접지판과 안테나 패드 및/또는 반도체 디바이스의 유전체 패드 사이의 몰딩 화합물(유전체 충전 재료)의 두께에 따라 결정된다.
안테나 패드(106A, 106C)는 접지판(104A) 위에 위치한다. 안테나 패드(106B, 106D)는 접지판(104B) 위에 위치한다. 일부 실시예에서, 각각의 접지판은 단일 안테나 패드와 연관된다. 일부 실시예에서, 반도체 디바이스 내에서, 접지판은 적어도 세 개의 안테나 패드와 연관된다. 일부 실시예에서, 접지판은 반도체 디바이스의 안테나 패드 및/또는 유전체 패드의 측방향 치수와 동일한 하나의 측방향 치수를 갖는다.
반도체 디바이스(100)에서, 각각의 안테나 패드(예를 들어, 안테나 패드(106A 내지 106D))는 안테나 패드 및 이와 가장 가까운 접지판 사이에 연관 개재 유전체 패드를 가지며, 도전성 필라 세트로부터 선택되는 연관 도전성 필라 세트(122A 내지 122D)를 갖는다. 따라서, 유전체 패드(108A)는 안테나 패드(106A)와 접지판(104A) 사이에 위치하고, 도전성 필라 세트(122A)는 유전체 패드(108A) 아래에 위치하고 접지판(104A)에 전기적으로 연결된다. 유전체 패드(108B)는 안테나 패드(106B)와 접지판(104B) 사이에 위치하고, 도전성 필라 세트(122B)는 유전체 패드(108B) 아래에 위치하고 접지판(104B)에 전기적으로 연결된다. 유전체 패드(108C)는 안테나 패드(106C)와 접지판(104A) 사이에 위치하고, 도전성 필라 세트(122C)는 유전체 패드(108C) 아래에 위치하고 접지판(104A)에 전기적으로 연결된다. 유전체 패드(108D)는 안테나 패드(106D)와 접지판(104B) 사이에 위치하고, 도전성 필라 세트(122D)는 유전체 패드(108D) 아래에 위치하고 접지판(104B)에 전기적으로 연결된다. 각각의 안테나 패드 및 각각의 유전체 패드 아래에, 네 개의 도전성 패드가, 안테나 패드 및 유전체 패드 아래에서 접지판 상에 돌출하는 바와 같이, 접지판 위에서, 유전체 패드의 둘레(perimeter) 및 반도체 디바이스의 연관 안테나 패드의 둘레 모두 내에(위에서 보았을 때) 위치한다. 일부 실시예에서, 유전체 패드의 둘레와 안테나 패드의 둘레는 상이한 치수를 갖는 상이한 둘레이고, 도전성 필라는 유전체 패드 및 안테나 패드 중 단 하나의 돌출된 둘레 내에 위치한다. 일부 실시예에서, 도전성 필라의 개수는 1 내지 최대 10의 범위이지만, 다른 개수의 도전성 필라 또한 본 개시의 범위 내에 속한다. 반도체 디바이스(100)에서, 최상면(미도시)(예를 들어, 도전성 필라(122)의 원위 단부(distal end))는 안테나 패드와 연관된 유전체 패드의 최하면(미도시)과 직접 접촉한다. 일부 실시예에서, 절연층은 도전성 필라의 최상면을 유전체 패드의 최하면으로부터 분리시킨다.
안테나 캐비티는, 일측에서 유전체 패드와 안테나 패드, 그리고 다른 측에서 접지판, 사이의 체적(volume)이다. 일부 실시예에서, 도전성 필라는, 유전체 패드의 돌출된 둘레의 에지 또는 코너, 및/또는 안테나 패드의 돌출된 둘레의 에지 또는 코너를 향해 위치하고, 안테나 캐비티는 도전성 필라 사이에도 위치한다. 일부 실시예에서, 하나 이상의 도전성 필라는, 유전체 패드 및 안테나 패드와, 접지판의 사이에서 체적의 중심을 향해 위치하고, 안테나 캐비티는 도전성 필라를 둘러싼다. 따라서, 반도체 디바이스(100)에서, 안테나 캐비티(115A)는 유전체 패드(108A)와 접지판(104A) 사이에, 그리고 대략 도전성 필라(122A) 사이에 위치한다. 유전체 패드(108A)는 안테나 캐비티(115A)와 안테나 패드(106A) 사이에 위치한다. 안테나 캐비티(115B)는 유전체 패드(108B)와 접지판(104B) 사이에, 그리고 대략 도전성 필라(122B) 사이에 위치한다. 유전체 패드(108B)는 안테나 캐비티(115B)와 안테나 패드(106B) 사이에 위치한다. 안테나 캐비티(115C)는 유전체 패드(108C)와 접지판(104A) 사이에, 그리고 대략 도전성 필라(122C) 사이에 위치한다. 유전체 패드(108C)는 안테나 캐비티(115C)와 안테나 패드(106C) 사이에 위치한다. 안테나 캐비티(115D)는 유전체 패드(108D)와 접지판(104B) 사이에, 그리고 대략 도전성 필라(122D) 사이에 위치한다. 유전체 패드(108D)는 안테나 캐비티(115D)와 안테나 패드(106D) 사이에 위치한다.
유전체 패드는 제1 방향(198)으로 제1 치수(예를 들어, 유전체 패드 길이)를 갖고, 제2 방향(199)으로 제2 치수(예를 들어, 유전체 패드 폭)를 갖는다. 안테나 패드(106A)는, 제1 방향(198)으로 안테나 패드 길이(191A)를 갖고, 제2 방향(199)으로 안테나 패드 폭(192A)을 갖는다. 안테나 패드(106B)는 제1 방향(198)으로 안테나 패드 길이(191B)를 갖고, 제2 방향(199)으로 안테나 패드 폭(192B)을 갖는다. 안테나 패드(106C)는 제1 방향(198)으로 안테나 패드 길이(191C)를 갖고, 제2 방향(199)으로 안테나 패드 폭(192C)을 갖는다. 안테나 패드(106D)는 제1 방향(198)으로 안테나 패드 길이(191D)를 갖고, 제2 방향(199)으로 안테나 패드 폭(192D)을 갖는다. 유전체 패드(108A)는 제1 방향(198)으로 유전체 패드 길이(193A)를 갖고, 제2 방향(199)으로 유전체 패드 폭(194A)을 갖는다. 유전체 패드(108B)는 제1 방향(198)으로 유전체 패드 길이(193B)을 갖고, 제2 방향(199)으로 유전체 패드 폭(194B)을 갖는다. 유전체 패드(108C)는 제1 방향(198)으로 유전체 패드 길이(193C)를 갖고, 제2 방향(199)으로 유전체 패드 폭(194C)을 갖는다. 유전체 패드(108D)는 제1 방향(198)으로 유전체 패드 길이(193D)를 갖고, 제2 방향(199)으로 유전체 패드 폭(194D)을 갖는다. 일부 실시예에 따르면, 유전체 패드 길이는 안테나 패드 길이와 동일하다. 일부 실시예에 따르면, 유전체 패드 길이는 안테나 패드 길이보다 크다. 일부 실시예에 따르면, 유전체 패드 길이는 안테나 패드 길이보다 작다. 일부 실시예에 따르면, 유전체 패드 폭은 안테나 패드 폭과 동일하다. 일부 실시예에 따르면, 유전체 패드 폭은 안테나 패드 폭보다 크다. 일부 실시예에 따르면, 유전체 패드 폭은 안테나 패드 폭보다 작다. 안테나 패드 및 유전체 패드의 치수는, 반도체 디바이스/안테나의 임피던스 및 반도체 디바이스/안테나의 주파수를 설정하기 위해 제조 공정 전에 선택된다.
반도체 디바이스(100)에서, 제1 안테나 패드 간격(195)은 안테나 패드(106B)와 안테나 패드(106D)를 분리하고, 제2 안테나 패드 간격(196)은 안테나 패드(106C)와 안테나 패드(106D)를 분리한다. 일부 실시예에서, 제1 안테나 패드 간격 및 제2 안테나 패드 간격은 동일한 거리이다. 일부 실시예에서, 제1 안테나 패드 간격 및 제2 안테나 패드 간격 중 하나 또는 둘 모두는, 안테나가 수신하도록 설계된 RF 파장의 1/2 파장과 동일한 거리이다. 일부 실시예에서, 제1 안테나 패드 간격 및 제2 안테나 패드 간격은 상이한 거리이다.
일부 실시예에 따르면, 반도체 디바이스(예를 들어, 패치 안테나 어레이, 또는 인터포저)는, 제1 방향(198)으로 약 5밀리미터(mm)의 총 길이(188) 및 제2 방향(199)으로 약 5mm의 총 폭(189)을 갖는다. 일부 실시예에서, 반도체 디바이스(패치 안테나 어레이, 또는 인터포저)의 총 길이 및/또는 총 폭은, 안테나 패드와 안테나 캐비티 사이에 퇴적되는 하이-k 유전체 패드(이하 참조)의 유전 상수, 그리고 안테나 패드/패치 안테나의 파장 또는 임피던스에 따라, 약 2 mm 내지 약 10 mm의 범위이다. 일부 실시예에서, 안테나 패드(안테나 패드 길이 및/또는 안테나 패드 폭)의 치수는 0.4 mm 내지 약 4.5 mm의 범위이다. 약 0.4 mm 미만의 안테나 패드의 치수는, 150 GHz보다 높은 주파수를 생성하는 안테나와 연관되며, 이는 본 명세서에 개시된 통합 안테나 디바이스에 이용 가능한 전력에 기초하여 제한되는 전송 거리를 가진다. 약 4.5 mm보다 큰 안테나 패드의 치수는, 회로 기판의 상당한 공간을 차지하여 디바이스 레이아웃에 영향을 미치고 다른 칩이나 라우팅의 배치를 더욱 어렵게 한다.
안테나 패드는 도전성 라인(예를 들어, 재분배 라인)에 의해 컨트롤러 다이(110)에 전기적으로 연결된다. 따라서, 안테나 패드(106A)는 도전성 라인(114A)에 의해 컨트롤러 다이(110)에 전기적으로 연결되고, 안테나 패드(106B)는 도전성 라인(114B)에 의해 컨트롤러 다이(110)에 전기적으로 연결되고, 안테나 패드(106C)는 도전성 라인(114C)에 의해 컨트롤러 다이(110)에 전기적으로 연결되고, 안테나 패드(106D)는 도전성 라인(114D)에 의해 컨트롤러 다이(110)에 전기적으로 연결된다. 안테나 패드(106A 내지 106D)와 컨트롤러 다이(110) 사이의 회로를 완성하기 위해, 컨트롤러 다이(110)의 최상면 위의 컨택(112)은 도전성 라인(114A 내지 114D)에 전기적으로 연결된다. 일부 실시예에서, 도전성 라인은, 반도체 디바이스의 안테나 패드와 동일한 층에 위치하고, 안테나 패드와 동일한 제조 작업으로 제조된다. 일부 실시예에서, 도전성 라인은 반도체 디바이스의 안테나 패드와 다른 층에 위치하고, 안테나 패드와 다른 제조 작업으로 제조된다.
도 2는, 일부 실시예에 따른 반도체 디바이스에서 패치 안테나를 제조하는 방법(200)의 흐름도이다. 방법(200)은, 접지판이 기판 위에 제조되는 작업(202)을 포함한다. 작업(202)은, 하나의 인쇄 회로 기판 또는 밀봉된(encapsulated) 반도체 디바이스와 패키징 또는 조합하기 위해, 다른 인쇄 회로 기판 또는 밀봉된 반도체 디바이스를 구축하는 것과 연관된 단계를 포함한다. 따라서, 작업(202)의 일 단계에서, 반도체 디바이스를 제조하기 전에, 이형층이 강성 기판에 적용된다. 이형층은, 예를 들어 스핀 코팅에 의해 액체로서 도포되고, 경화되어 건조될 수 있는 광 전달 열 변환(Light Transfer Heat Conversion, LTHC)층과 같은 필름 또는 재료를 포함한다. 이형층은, 제조 공정 동안 이형층의 최상부 위에 퇴적된 재료를 강성을 가지는 방식으로 보유하고, 이형층 위에 퇴적된 재료에 손상을 주지 않고, 위에 이형층이 퇴적된 기판으로부터 분리될 수 있도록 하는 재료의 층이다. 비제한적인 일 실시예에서, LTHC층은, 제조 공정 동안 광학적으로 투명한(예를 들어, 유리 또는 석영) 기판 위에 퇴적된다. 경화 후에, LTHC층은 접착성이며, 제조 공정 동안 퇴적된 재료를 보유한다. LTHC층을 광학 투명 기판으로부터 분리하기 전에, LTHC가 연화 또는 파괴되게 하는 파장을 갖는 광에 노출시킴으로써, LTHC층이 광학 투명 기판으로부터 분리된다(released).
일부 실시예에서, 절연층이 이형층 위에 퇴적된다. 절연층은, 반도체 디바이스가 제조되고 강성의 기판으로부터 분리된 후에, 물리적, 화학적 또는 전기적 노출로부터의 보호를 제공한다. 절연층의 비제한적인 예는, 제조 공정 후에 집적 회로의 최상면을 패키징하고 패시베이션하는 데 이용되는 폴리이미드 재료이다. 일부 실시예에서, 폴리이미드 재료는 스핀 코팅에 의해 도포된다. 폴리이미드 절연층의 두께는, 스핀 코팅 동안 강성 기판의 회전 속도에 의해, 그리고 강성 기판에 도포된 폴리이미드 재료의 유형에 의해 결정된다.
작업(202)의 일부 실시예는, 접지판 제조 단계의 일부로서, 구리 전기 도금을 위한 시드층을 퇴적하는 것과 연관된 단계를 포함한다. 일부 실시예에서, 시드층 퇴적은, 원자층 퇴적(Atomic Layer Deposition, ALD), 플라즈마 강화 ALD(Plasma Enhance ALD, PE-ALD), 화학적 기상 퇴적(Chemical Vapor Deposition, CVD), 플라즈마 강화 CVD(Plasma Enhanced CVD, PECVD), 저압 CVD(Low-Pressure CVD, LPCVD), 스퍼터링, 또는 다른 퇴적 기술을 이용하여 강성 기판 위에 시드층 재료를 퇴적시킨다. 일부 실시예에서, 강성 기판은 집적 회로 제조를 위한 제조 장비에 적합하고, 집적 회로 제조 단계와 유사한 처리 단계를 겪도록 구성되는 원형 디스크이다. 따라서, 일부 실시예에서, 강성 기판은, 플라즈마 강화 CVD 퇴적 툴과 같은 집적 회로 제조 툴에 적합하여 기판 위의 이형층 위에 시드층을 수용하도록 구성되는 원형 유리 또는 석영 디스크이다. 시드층은, 일부 실시예에서 절연층 위에 퇴적되는 구리, 티타늄, 알루미늄 또는 이의 합금을 포함한다. 일부 실시예에서, 시드층은 약 1 마이크로미터(마이크론, 또는 μm) 내지 약 5 마이크로미터 범위의 두께를 갖는다. 약 1마이크론보다 얇은 시드층은, 얇거나 얼룩이 있는(spotty) 표면 커버리지를 갖는 경향이 있어, 전기 도금 후에 접지판 재료의 커버리지가 불균일할 수 있다. 약 1 마이크로미터 내지 약 5 마이크로미터의 두께를 갖는 시드층은, 우수한 커버리지를 갖는 전기 도금된 필름을 제조하는 데 효과적이다. 약 5 마이크론보다 두꺼운 시드층은, 전기 도금에 잘 이용될 수 있는 시드층 퇴적 공정 동안 시간을 낭비하는 경향이 있다. 시드층 퇴적 속도는, 제조 공정에서 접지판 재료가 전기 도금에 의해 퇴적되어 두꺼운 시드층이 시간을 낭비하는 속도와 비교하여 충분히 낮다.
작업(202)은 절연층 위에 접지판 재료를 퇴적하는 것과 연관된 단계를 포함한다. 작업(202)의 일부 실시예에서, 접지판 재료를 퇴적하는 단계는 접지판 재료를 시드층 위에 전기 도금하는 단계를 포함한다. 일부 실시예에서, 접지판 재료는 구리이다. 예를 들어, 구리 전기 도금은, 전기 도금 공정의 지속 기간에 따라, 광범위한 두께로 시드층 위에 구리 필름을 생성할 수 있다. 일부 실시예에서, 시드층 위에 구리를 전기 도금하면, 5 마이크로미터 내지 10 마이크로미터 범위의 두께를 갖는 구리층이 생성된다. 일부 실시예에서, 접지판 재료는 약 7 마이크로미터의 두께를 갖는 구리층이다. 약 7 마이크로미터의 두께를 갖는 접지판 재료는, 패치 안테나를 제조하기 위한 장비 또는 공정의 특별한 수정 없이, 광범위한 회로 기판 제조 장비에 부합한다.
작업(202)에서, 절연층 위에 접지판 재료를 퇴적한 후에, 접지판 재료는 패터닝된 접지판으로 형성된다. 일부 실시예에서, 패터닝 재료(예를 들어, 포토레지스트)층이 접지판 재료 위에 퇴적되고, 패턴이 패터닝 재료층에 전사된다. 패턴을 패터닝 재료층에 전사할 때, 패터닝 재료의 일부는, 절연층으로부터 제거될 접지판 재료의 부분 위에서 제거되고, 접지판 재료의 일부는 패터닝 재료의 나머지 부분에 의해 가려진다. 일부 실시예에서, 패터닝 재료는 포토리소그래피, 전자 빔 리소그래피, 또는 접지판 재료 위에 도포되는 패터닝 재료와 호환되는 다른 패터닝 기술에 의해 패터닝된다.
작업(202)은 또한, 패터닝 재료의 부분을 제거함으로써, 노출되는 접지판 재료를 에칭하는 것과 연관된 단계를 포함한다. 일부 실시예에서, 접지판 재료는 구리 또는 구리 합금이다. 일부 실시예에서, 구리 및/또는 구리 합금은, 아세트산 및 과산화수소의 용액으로 절연층 위에서 에칭된다. 일부 실시예에서, 구리 및/또는 구리 합금은 이온성 산화제, pH 조절제 및 착화제의 혼합물로 절연층 위에서 에칭된다. 산화제는 질산, 황산 및/또는 인산과 같은 강산을 포함한다. pH 조절제는 접지판 재료를 용해시키는 데 효과적인 범위 내에서 용액의 pH를 유지하기 위한 완충 화합물을 포함한다. 착화제는, 에틸렌디아민 테트라아세트산(Ethylenediaminetetraacetic Acid, EDTA)과 같은 분자를 포함하는데, 이로 인해, 자유 이온/원자의 농도가 (접지판 재료의 착화 이온/원자의 농도와 비교하여) 낮게 유지되기 때문에, 접지판 재료로부터 용해된 원자가 노출된 표면 위에 다시 퇴적되는 것을 방지하고, 그리고/또는 접지판 재료의 추가 용해를 촉진시킨다.
방법(200)은 작업(204)을 포함하며, 여기서 도전성 비아는 접지판의 최상면에 대하여(against) 제조된다. 일부 실시예에 따르면, 패터닝된 접지판 재료(예를 들어, 접지판)는, 부식을 방지하고 접지판을 전기적 및/또는 물리적 손상으로부터 보호하기 위해 제2 절연성 재료로 덮인다. 일부 실시예에서, 제2 절연성 재료는 수지 또는 유기 재료이다. 일부 실시예에서, 제2 절연성 재료는 강성 기판 위에 퇴적되는 절연성 재료(102)(제1 절연성 재료)와 유사한 폴리이미드 재료이다.
작업(204)은 제2 패터닝 재료가 제2 절연층 위에 퇴적되는 단계를 포함한다. 일부 실시예에서, 제2 패터닝 재료는 포토레지스트층이다. 작업(204)에서, 제2 패터닝 재료는, 예를 들어 포토리소그래피 또는 전자 빔 리소그래피를 통해 패턴을 수신하지만, 다른 패턴 전사 방법도 본 개시의 범위 내에서 예상된다. 제2 패터닝 재료에 전사되는 패턴은, 접지판에 전기적으로 연결되는 도전성 필라를 위한 위치에서, 제2 패터닝 재료를 관통하는 개구부의 위치에 해당한다. 작업(204)에서, 패턴을 제2 패터닝 재료에 전사한 후에, 제2 패터닝 재료를 관통하는 개구부의 최하부에서 절연층의 노출된 부분을 제거하여 패터닝된 접지판 재료의 영역을 노출시키기 위해, 에칭 공정이 수행된다.
패터닝된 접지판 재료의 일부를 노출시킬 때, 작업(204)은, 전술한 시드 재료의 퇴적 및 접지판의 전기 도금 단계와 유사하게, 시드 재료의 퇴적 및 도전성 필라 재료의 전기 도금과 연관되는 깊이를 포함한다. 시드층 재료를 퇴적시킬 때, 도전성 필라 재료, 즉 필라 재료(예를 들어, 구리)를 전기 도금하는 동안에, 접지판의 노출된 부분에 대하여, 제2 패터닝 재료를 관통하는 개구부의 측벽에 대하여, 및 제2 패터닝 재료의 최상면 위에 공급되는, 구리, 티타늄, 알루미늄, 이의 합금, 및/또는 다른 도전성 재료를 포함하는 시드층이 시드층 위에 퇴적된다. 일부 실시예에 따르면, 제2 패턴 재료를 관통하는 개구부에 퇴적되는 시드층은 약 1 μm 내지 약 5 μm 범위의 두께를 갖는다. 시드층의 두께가 약 1 μm 미만인 경우, 위에 시드층이 퇴적되는 베이스 위의 시드층의 커버리지가 불완전한 경향이 있어서, 전기 도금된 재료의 커버리지가 열악해진다. 시드층이 약 5 μm보다 큰 경우, 시드층을 퇴적하는 데 소요되는 시간은 전기 도금의 커버리지 측면에서 추가적인 이점을 제공하지 않는다. 일부 실시예에 따르면, 제2 패터닝 재료를 관통하는 개구부의 직경은 50 μm 내지 500 μm 범위이다. 도전성 필라의 높이는, 개구부가 관통하여 형성된 제2 패터닝 재료의 두께에 상응한다. 일부 실시예에 따르면, 필라의 높이는 150 μm 내지 약 700 μm의 범위이다. 일부 실시예에서, 제2 패터닝 재료에서 개구부의 직경은 약 120 μm이다. 일부 실시예에서, 제2 패터닝 재료를 관통하는 개구부의 깊이, 또는 제2 패터닝 재료에 대한 개구부 내에 퇴적된 도전성 필라의 높이는 약 250 μm이다. 약 120 μm의 폭 및 약 250 μm의 높이를 가지는 도전성 필라는 장비 또는 공정의 특별한 수정 없이 인쇄 회로 기판 제조 공정을 통해 제조될 수 있다.
작업(204)에서, 시드층 위에 도전성 필라 재료를 전기 도금한 후에, 시드층 아래의 패터닝 재료를 노출시키기 위해, 화학적 기계적 연마 단계 또는 평탄화 단계가 수행된다. 작업(204)의 추가 단계에서, 제2 패터닝 재료는, 접지판의 최상면에 대하여 형성되며 제2 절연성 재료를 관통하여 연장되는 도전성 필라의 측벽을 노출시키도록 제거된다.
방법(200)은, 다이(RF 컨트롤러 다이, 또는 제어 다이)가 기판 위에 위치되는 작업(206)을 포함한다. 일부 실시예에서, 다이는 제2 절연층(예를 들어, 폴리아미드층)에서 안테나 조립체에 부착된다. 일부 실시예에 따르면, 폴리아미드층은 5 μm 내지 15 μm 범위의 두께를 갖는다. 다이는 5 μm 내지 12 μm 범위의 두께를 갖는 다이 부착 필름(Die Attach Film, DAF)에 의해 부착된다. 일부 실시예에서, DAF 두께는 약 10 μm이다. 다이 부착 필름 두께가 5 μm 미만이면, 다이가 충분히 부착되지 않는 경향이 있고 취급 중에 이탈되기 쉽다. 약 12 μm보다 큰 다이 부착 필름 두께는, 제조 공정 동안 추가적인 이점을 제공하지 않으며, 때로는 다이의 베이스 주위에 다이 부착 필름 재료의 오버 플로우와 관련되어 반도체 디바이스 내부에 공극을 초래한다.
방법(200)은, 유전체 필름 재료가 안테나 캐비티(안테나 캐비티 체적)에 퇴적되는 작업(208)을 포함한다. 유전체 충전 재료는 도전성 필라 및 부착된 다이 사이의 공간을 채우는 로우-k 유전체 재료이다. 일부 실시예에 따르면, 도전성 필라를 둘러싸는 유전체 충전 재료 및 디바이스 내에서 그보다 높은 층에 퇴적될 유전체 충전 재료를 모두 포함하는 반도체 디바이스에 이용되는 로우-k 유전체 재료는, 6 패럿/미터(F/m) 미만의 유전 상수를 갖는다. 유전체 패드(아래 참조)에 이용되는 하이-k 유전체 재료는 7 패럿/미터보다 큰 유전 상수를 갖는다. 일부 실시예에서, 유전체 패드에 이용되는 하이-k 유전체 재료는 50 패럿/미터보다 큰 유전 상수를 갖는다(아래의 작업(212) 참조).
일부 실시예에서, 유전체 충전 재료는, 균일한 두께를 제공하고 유전체 충전 재료 내의 공극을 제거하도록, 예를 들어 스핀 코팅을 이용하여 강성 기판(rigid substrate) 위에 퇴적되는 폴리머 재료를 포함한다. 일부 경우에, 유전체 충전 재료는, 도전성 필라 주위에 그리고 다이를 위한 지지 또는 강성을 제공하는 몰딩 화합물이다. 일부 실시예에서, 유전체 충전 재료는 스핀 온 유리(Spin On Glass, SOG), CVD-SiO2 및 CVD 퇴적 실리콘 질화물(SiNx) 또는 실리콘 산질화물(SiOxNy)이다. 안테나 캐비티를 채우는 데, 그리고 반도체 디바이스의 후속하는 (예를 들어, 더 높은) 층에서 이용되는 로우-k 유전체 재료는 약 섭씨 200도(℃) 이하의 경화 온도를 가진다.
아래에 추가로 설명되는 바와 같이, 액상 (또는 스핀 온) 실리콘 질화물(약 6.9 F/m의 k), 또는 ZrO2의 제1층, Al2O3의 중간 필름 및 ZrO2의 제2층을 포함하는 적층된 필름 세트(ZAZ, 약 13.6 F/m의 k), 또는 ZrO2(약 25 F/m의 k), Al2O3(약 9 F/m의 k), HfOx, HfSiOx, ZrTiOx, TaOx, TiO2, 및 Y2O3(약 15 F/m의 k)와 같은 다른 하이-k 유전체 재료와 같이, 유전체 패드를 형성하는 데 이용되는 하이-k 유전체 재료는 적어도 210℃의 경화 온도(적절한 경우)를 가진다. 액체 하이-k 폴리머는 폴리이미드 폴리머를 포함하며, 폴리이미드 폴리머는 100℃ 부근 또는 그 미만의 온도에서 경화되어, 경화 공정 동안 다이 또는 도전성 필라 위에 감소된 양의 변형 또는 응력을 발생시킨다.
일부 실시예에서, 유전체 충전 재료는 도전성 필라의 원위 단부(distal end)가 유전체 충전 재료에 의해 덮이지 않을 두께로 퇴적된다. 도전성 필라의 원위 단부는 접지판에 부착되지 않는 도전성 필라의 단부이다. 도전성 필라의 근위 단부(proximal end)는 접지판에 부착되는 도전성 필라의 단부이다. 일부 실시예에서, 유전체 충전 재료는 도전성 필라 및 다이를 완전히 덮는다. 일부 경우에, 제2 유전체 재료가 유전체 충전 재료 위에 퇴적되고, 일부 경우에, 제2 유전체 재료는 유전체 충전 재료와 다른 유전 상수를 갖는다. 일부 실시예에서, 제2 유전체 재료는 유기 수지 내에 실리콘 이산화물 입자의 현탁액을 포함한다. 일부 실시예에서, 실리콘 이산화물 입자는, 평탄화 단계 동안 제2 유전체 재료의 균일한 제거를 촉진하기 위해 제2 유전체 재료에 포함된다. 퇴적된 유전체 충전 재료 및 유전체 충전 재료 위에 퇴적된 임의의 제2 유전체 재료는, 접지판 아래의 절연층, 또는 예를 들어, 다이 부착 필름에 의해 절연층 위에 퇴적되는 RF 컨트롤러/다이의 구성 요소에 열적 손상을 주지 않으면서 재료를 경화시키기 위해, 저온에서 경화된다. 저온 경화는 RF 컨트롤러/다이의 트랜지스터에서 이온 확산량을 줄임으로써, 반도체 디바이스의 전체 수율을 증가시킨다. 일부 실시예에서, 저온 경화는 200℃ 이하의 경화 온도에서 발생한다. 일부 실시예에서, 열 예산(thermal budget)(예를 들어, 반도체 디바이스의 저손상 또는 무손상 열처리를 위한 온도 윈도우가 포함하는)은, 하이-k 유전체 패드 내에서, 유전체 충전 재료의 경화 및 유전체 재료의 형성에 있어서 동일하다.
방법(200)은 도전성 비아 및 RF 컨트롤러 다이의 최상면이 노출되는 작업(210)을 포함한다. 일부 실시예에서, 도전성 비아 및 RF 컨트롤러 다이의 최상면을 노출시키기 위해, 평탄화 단계가 이용된다. 일부 실시예에서, 유전체 재료 및/또는 도전성 필라 재료의 평탄화는 화학 기계적 연마(Chemical Mechanical Polishing, CMP)에 의해 달성되며, 여기서 패드가 제조 공정 동안 반도체 디바이스의 최상면에 적용된다. 화학적 기계적 연마 중에, 패드는 반도체 디바이스에 문질러지고, 작은 직경의 입자와 마찰을 감소시키는 유체의 혼합물인 슬러리가 반도체 디바이스의 최상면을 마모시킨다. 일부 실시예에서, 화학적 기계적 연마는, 반도체 디바이스 위에 퇴적된 유전체 재료의 두께 또는 양에 기초하여 사전에 결정된 시간 동안 수행된다. 일부 실시예에서, 화학 기계적 연마는, 충분한 유전체 재료가 반도체 디바이스로부터 제거되었는지 여부를 판단하기 위해 엔드포인트 기술을 이용하여 수행된다.
안테나 캐비티는, 접지판 위에, 그리고 적어도 하나의, 접지판으로의 도전성 필라에 의해 둘러싸인 체적 내에 형성된다. 안테나 캐비티는, 유전체 충전 재료가 도전성 필라와 다이 사이의 공간을 채우도록 도포된 후에, 반도체 디바이스의 최상면까지 유전체 충전 재료 및/또는 제2 유전체 재료로 채워진다. 일부 실시예에 따르면, 유전체 충전 재료 및/또는 제2 유전체 재료의 유전 상수는 안테나의 성능에 대한 용량성(capacitive) 효과를 감소시키기 위해 대략 동일하다.
방법(200)은, 유전체 패드가 안테나 캐비티 위에 제조되는 작업(212)을 포함한다. 일부 실시예에 따르면, 유전체 패드는 하이-k(예를 들어, 높은 유전 상수(k)) 유전체 재료의 단일층이다. 일부 실시예에 따르면, 유전체 패드는 하이-k 유전체 재료의 다층을 포함한다. 일부 실시예에서, 복수의 하이-k 유전체 재료층이 복수의 실리콘 이산화물(SiO2)층과 교번하여 위치한다. 본 개시의 목적을 위해, 하이-k 유전체 재료는 약 50 패럿/미터(F/m)를 초과하는 유전 상수를 갖는 유전체 재료이다. 일부 실시예에 따르면, 하이-k 유전체 재료는, 티타늄 이산화물(TiO2, 약 83 내지 100 패럿/파라미터(F/m)의 k), 스트론튬 티타늄 삼산화물(SrTiO3, 약 200패럿/파라미터(F/m)의 k), 바륨 스트론튬 티타늄 삼산화물(BaSrTiO3, 약 250 내지 300 패럿/파라미터(F/m)의 k), 바륨 티타늄 삼산화물(BaTiO3, 약 500 패럿/파라미터(F/m)의 k), 납 지르코늄 티타늄 삼산화물(PbZrTiO3, 약 1000 내지 1500 패럿/파라미터(F/m)의 k) 등과 같은 재료를 포함한다. 실리콘 이산화물(SiO2)은 약 3.7 내지 3.9 패럿/미터(F/m)의 유전 상수를 갖는다. 유전체 패드를 위한 하이-k 유전체 재료는, 액상 (스핀 온) 실리콘 질화물(약 6.9 F/m의 k), 또는 ZrO2의 제1층, Al2O3의 중간 필름 및 ZrO2의 제2층을 포함하는 적층된 필름 세트(ZAZ, 약 13.6 F/m의 k), 또는 ZrO2(약 25 F/m의 k), Al2O3(약 9 F/m의 k), HfOx, HfSiOx, ZrTiOx, TaOx, TiO2, 및 Y2O3(약 15 F/m의 k)를 포함한다.
일부 실시예에 따르면, 유전체 패드를 위한 재료층(들)은 약 1 마이크로미터 내지 약 4 마이크로미터의 총 두께로 퇴적되지만, 다른 두께도 본 개시의 범위에 속하는 것으로 간주된다. 하이-k 유전체 필름은, 일반적으로 필름이 1 마이크로미터(μm) 미만의 두께로 퇴적되거나 성장하는 기판에 있어서 불균일한 두께 및 불균일한 커버리지를 갖는다. 약 4 마이크로미터보다 큰 두께를 갖는 필름은, 제조에 추가 시간이 걸리며, 하이-k 유전체 패드 및 디바이스 축소가 없는 InFO 디바이스와 비교하여, InFO 반도체 디바이스의 주파수 시프팅과 관련하여 거의 동일한 효과를 갖는다. 총 유전체 두께가 약 4 마이크로미터보다 큰 경우, 반도체 디바이스에 걸친 필름 균일성이 크게 개선되지 않는다.
하이-k 유전체 패드를 위한 필름은 원자층 퇴적(Atomic Layer Deposition, ALD), 화학적 기상 퇴적(Chemical Vapor Deposition, CVD), 플라즈마 강화 CVD(Plasma Enhanced CVD, PECVD), 저압 CVD(Low-Pressure CVD, LPCVD), 레이저 강화 CVD(Laser Enhanced CVD, LECVD), 전자 총(Electron Gun, E-gun) 등과 같은 기술로, 당업자에게 공지된 장비 및 공정을 이용하여 퇴적된다. 일부 실시예에서, 필름 퇴적 챔버로부터 기판을 제거하지 않고 퇴적의 화학적 성질이 수정되는 단일 제조 단계에서, 다수의 필름이 퇴적된다. 일부 실시예에서, 단일 필름은 단일 챔버에 퇴적되고, 하이-k 유전체 패드의 제2 필름은, 하이-k 유전체 재료의 특정 유전체 특성을 달성하기 위해 제2 챔버에 퇴적된다.
작업(212)은, 유전체 충전 재료 및 도전성 필라 위에 퇴적되는 블랭킷 유전체층(들)의 부분을 격리시키는 것과 연관된 단계를 포함한다. 작업(212)의 일부 실시예에서, 패터닝 재료층이 하이-k 유전체층 위에 퇴적되고, 하이-k 유전체 패드의 패턴에 상응하는 패턴이 패터닝 재료층에 전사된다. 일부 실시예에서, 패터닝 재료는 포토레지스트층 또는 다른 패터닝 재료층이다. 일부 실시예에서, 패턴은 포토리소그래피, 전자 빔 리소그래피, 또는 일부 다른 패턴 전사 기술을 통해 패터닝 재료에 전사된다. 일부 실시예에서, 패턴은 안테나 캐비티마다 단일 하이-k 유전체 패드를 포함한다. 일부 실시예에서, 패턴은 다수의 안테나 캐비티 위에 단일 하이-k 유전체 패드를 포함한다. 일부 실시예에서, 반도체 디바이스는 접지판 위에 하이-k 유전체 패드가 없는 일부 안테나 캐비티를 갖는다.
작업(212)에서, 하이-k 유전체층(들)의 노출된 부분은, 디바이스 온도를 비교적 차갑게 유지(예를 들어, 약 섭씨 200℃ 미만)하면서, 하이-k 유전체 재료를 분해 및 제거하도록 구성되는, 예를 들어 강산을 함유하는 침지 에칭 또는 플라즈마 에칭을 이용하여 에칭 제거된다. 위에 도전성 패드 또는 컨택 패드를 포함하는, 다이의 최상면은 또한, 반도체 디바이스의 InFO 구조물을 형성하기 위해, 다이에 후속 전기적 연결을 가능하게 하는 에칭 공정에 의해 노출된다.
하나 이상의 안테나 캐비티 위의 하이-k 유전체 패드는 약 1 마이크로미터 내지 약 4 마이크로미터 범위의 두께를 갖지만, 다른 두께도 본 발명의 범위에 속한다. 안테나 캐비티 최상부 위에 하이-k 유전체 패드를 배치함으로써, InFO 안테나/패치 안테나의 상위 주파수 범위가 약 30 기가헤르츠(GHz) 내지 약 120 GHz 범위의 주파수로 증가하여, 셀룰러 전화 안테나 송신 및/또는 예를 들어 자동차 제어 시스템 레이더에 적합하다. 안테나 캐비티 위에 (그리고 InFO 디바이스/반도체 디바이스의 안테나 캐비티와 안테나 패드 사이에) 하이-k 유전체 패드가 존재하는 것은 또한, InFO 디바이스의 방사 효율을 증가시켜, 디바이스를 작동시키기 위한 전력 요구 사항을 감소시킨다. 안테나 캐비티 위에 하이-k 유전체 패드가 존재하는 것은, 회로 설계자로 하여금 현재 기술 성능을 유지하면서 InFO 디바이스/반도체 디바이스의 설치 공간을 줄이고, 전술한 주파수 범위 및 전력 효율 성능의 일부 또는 전부를 유지할 수 있도록 한다.
안테나 캐비티 내에 로우-k 유전체 재료가 존재하는 것은, 도전성 필라를 서로 격리시키고, 접지판을 안테나 패드로부터 격리시켜서, 반도체 디바이스의 각 부분에서 도전성 필라와 접지판 사이의 커패시턴스를 감소시킨다. 안테나 캐비티 내의 로우-k 유전체 물질은 또한, InFO 디바이스의 구성 요소 간의 인덕션을 감소시키고, (예를 들어, 안테나 패드 주위에 에어 갭을 갖는 InFO 디바이스와 비교하여) 디바이스의 구조적 안정성을 증가시킨다.
일부 실시예에서, 로우-k 유전체 재료층이 하이-k 유전체 패드 재료 위에(over) 퇴적된다. 로우-k 유전체 재료가 하이-k 유전체 재료를 노출시키기 위해 평탄화되는 한편, 로우-k 유전체 재료는 다이의 최상면을 격리시키기 위해, 다이의 전기적 연결부(패드 등)를 덮는다. 따라서, 일부 실시예에서, 하이-k 유전체 패드의 최하면은 안테나 캐비티의 로우-k 유전체 재료와 직접 접촉하고(그리고, 선택적으로 도전성 필라의 최상면과도 접촉하고), 하이-k 유전체 패드의 측부는 하이-k 유전체 패드 위에(over) 퇴적되는 로우-k 유전체 재료와 직접 접촉하고, 하이-k 유전체 패드의 최상면의 일부(또는 전부)는 안테나 패드(아래 참조)와 직접 접촉한다.
일부 실시예에서, 로우-k 유전체 재료의 평탄화가 완료된 후에, 적어도 로우-k 유전체 재료를 관통하여 연장되는 도전성 비아가 다이에 전기적으로 연결되도록 제조된다.
방법(200)은 안테나 캐비티 위에 안테나 패드가 제조되는 작업(214)을 포함한다.
일부 실시예에서, 작업(214)은, 다이 위에, 그리고 하이-k 유전체 패드와 동일한 층에 위치하는 로우-k 유전체 재료를 관통하여 연장되는 복수의 도전성 비아에 대한 전기 접속을 동시에 제조하는 단계를 포함하며, 선택적 작업(216)은 방법에서 생략된다. 일부 실시예에서, 안테나 패드가 제조되고, 안테나 패드의 전기 접속은 안테나 패드의 제조와 별도로 형성된다. 따라서, 예를 들어 안테나 패드 및 RF 컨트롤러 다이가, 디바이스 내에서 안테나 패드를 갖는 층과 다른 층에서 연결될 때, 선택적 작업(216)이 수행된다.
단계(214)에서 안테나 패드의 제조는, 단계(204)에서 접지판 위에 도전성 필라를 형성하는 것과 관련하여 전술한 단계와 유사한 단계에 따른다. 일부 실시예에서, 재료의 시드층이, 하이-k 유전체 패드의 최상면 및 반도체 디바이스의 동일한 층에 퇴적되는 유전체 재료에 대하여 퇴적된다. 일부 실시예에서, 도전성 재료층이 시드층 위에 퇴적되어, 안테나 패드 재료의 블랭킷층을 형성한다. 안테나 패드 재료의 블랭킷층 위에, 패터닝 재료층이 퇴적되고, 반도체 디바이스의 안테나 패드의 패턴에 상응하는 패턴이 패터닝 재료층에 전사된다. 안테나 패드 재료의 블랭킷층의 노출되는 부분은, 안테나 패드 재료의 노출된 부분과 반응하도록 구성되는 침지형 에칭에 의해 에칭 제거된다.
일부 실시예에서, 시드층은, 시드층 재료를 퇴적하기 위한 원자층 퇴적(Atomic Layer Deposition, ALD), 플라즈마 강화 ALD(Plasma Enhance ALD, PE-ALD), 화학적 기상 퇴적(Chemical Vapor Deposition, CVD), 플라즈마 강화 CVD(Plasma Enhanced CVD, PECVD), 저압 CVD(Low-Pressure CVD, LPCVD), 스퍼터링, 또는 다른 퇴적 기술에 의해, 노출된 표면에서 성장하는 구리를 함유하는 층이다. 시드층은, 일부 실시예에서 구리, 티타늄, 알루미늄 또는 이의 합금을 포함한다. 안테나 패드용 시드층은, 약 1나노미터 내지 약 4나노미터 범위의 두께로 퇴적되지만, 다른 두께도 본 개시에 의해 고려된다. 일부 실시예에서, 안테나 패드 재료는 전기 도금 또는 다른 방법에 의해 퇴적되어, 시드층 위에 균일한 도전성 재료층을 퇴적시킨다. 일부 실시예에서, 안테나 패드 재료는, 구리, 알루미늄, 티타늄 및/또는 이의 합금, 또는 안테나 패드용 시드층 위에 퇴적하기에 적합한 다른 도전성 재료를 포함한다.
도 3은, 일부 실시예에 따른 제조 공정 중의 패치 안테나(300A)의 단면도이다. 아래의 도 3 내지 도 11의 논의를 위해, 유사한 위치 또는 구조 또는 기능을 갖는 구성 요소는, 간략화를 위해 동일한 참조 번호로 식별된다. 당업자는 패치 안테나(300A 내지 300I)의 구성 요소의 다른 실시예, 배열, 구조, 위치, 방향 및 구성 또한 본 개시의 범위에 속함을 이해할 것이다. 패치 안테나(300A)에서, 강성 기판(302) 위에 퇴적되는 이형층(304)은 강성 기판(302)을 절연층(306)으로부터 분리시킨다. 이형층(304)은, 광파장에 노출 시 분해되도록 구성되는 광 전달 열 변환(Light Transfer Heat Conversion, LTHC)층을 포함하고, 패치 안테나를 손상시키지 않으면서 강성 기판(302)으로부터 패치 안테나(300A)를 제거하도록 할 수 있다. 절연층(306)은 이형층(304)에 도포되는 유기 스핀 온 재료를 포함하며, 이는 강성 기판(302)으로부터 제거된 후 패치 안테나(300A)를 보호한다. 접지판(308)은 절연층 위에 퇴적되고, 구리, 티타늄, 알루미늄, 이의 합금, 또는 인쇄 회로 기판 또는 패치 안테나 제조에 적합한 다른 도전성 재료를 포함한다. 절연층(304)은 약 2 마이크로미터의 두께를 갖지만, 다른 두께도 본 개시의 범위에 속한다. 약 2마이크로미터의 절연층 두께는, 제조된 디바이스에 과도한 두께를 도입하지 않고 접지판을 보호한다. 약 2 마이크로미터 미만의 절연층 두께는, 2마이크로미터 절연체 필름보다 크랙 또는 박리를 겪기 쉽다. 접지판(308)은 약 8 내지 약 14 마이크로미터 범위의 두께를 가지며, 시드층 두께(약 1마이크로미터 내지 약 5마이크로미터) 및 전기 도금된 재료 두께(약 7 마이크로미터) 모두를 포함한다. 약 8 마이크로미터 미만의 두께를 갖는 접지판은 필름 두께가 고르지 않은 경향이 있다. 약 14 마이크로미터보다 큰 접지판 두께는 추가적인 제조 시간 및 재료 비용으로 제조되며, 디바이스의 전기 성능 측면에서 높은 이점을 제공하지는 않는다. 접지판(308)은, 에칭(예를 들어, 침지 구리 습식 에칭)에 의해, 패터닝 재료의 제1층(예를 들어, 패터닝된 포토리소그래피층)으로부터 전사된 패턴에 기초한 패턴을 갖는다.
도 4는 일부 실시예에 따른 제조 공정 중의 패치 안테나(300B)의 단면도이다. 패치 안테나(300B)에서, 접지판(308)의 최상면 및 접지판(308)에 의해 덮이지 않은 제1 절연층(306)의 최상면 위에 제2 절연성 재료(310)가 퇴적된다. 패터닝 재료층(311)은 제2 절연성 재료(310) 위에 퇴적되고, 패터닝 재료(311)의 개구부(313)가 접지판(308) 위의 도전성 필라의 위치에 상응하도록(이하 참조), 패턴이 패터닝 재료(311)에 전사되었다. 접지판(308)의 최상면은, 개구부(313)의 최하부에서 노출된다(예를 들어, 개구부(313) 내에서 제2 절연성 재료를 제거하기 위해 에칭 공정이 수행되었다).
도 5는 일부 실시예에 따른 제조 공정 중의 패치 안테나(300C)의 단면도이다. 패치 안테나(300C)는 전술한 방법(200)의 작업(204) 동안의 패치 안테나와 일치한다. 패치 안테나(300C)에서, 시드층(314)은, 패터닝 재료(311) 위에, 개구부(313)(현재 채워짐) 내에, 그리고 접지판(308)의 최상면 위에 퇴적되었다. 도전성 필라 재료(316)(예를 들어, 전기 도금된 구리 또는 구리 합금)는, 패터닝 재료(311)의 최상면 위의 시드층(314) 최상부 위에, 그리고 개구부(313)(현재 채워짐) 내에 퇴적되어, 패터닝 재료(311) 내에 도전성 필라를 정의한다.
도 6은 일부 실시예에 따른 제조 공정 중의 패치 안테나(300D)의 단면도이다. 패치 안테나(300D)는, 방법(200)의 작업(206) 마지막에서의 패치 안테나의 실시예와 일치한다. 패치 안테나(300D)에서, 도전성 필라(317A, 317B, 317C)의 최상면을 노출시키는 평탄화 단계에 이어서, 패터닝 재료(311)가 제거되고, RF 컨트롤러(RF 컨트롤러 다이 또는 다이)(321)가 다이 접착 필름(318)에 의해 제2 절연성 재료(310)에 적용되었다. 다이(321)는, 제조 단계가 완료된 후에, 패치 안테나를 이용하여 RF 신호를 수신 및 송신하도록 구성되는 반도체 디바이스(320)를 포함한다. 필라(317A)는 시드층부(314A) 및 충전부(316A)를 포함하고, 필라(317B)는 시드층부(314B) 및 충전부(316B)를 포함하며, 필라(317C)는 시드층부(314C) 및 충전부(316C)를 포함한다. 일부 실시예에서, 도전성 필라의 최상면(319A) 및 다이(321)의 최상면(319B)은, 강성 기판(302)과 이형층(304) 사이의 계면으로부터 동일한 거리에 위치한다. 일부 실시예에서, 도전성 필라의 최상면(319A) 및 다이(321)의 최상면(319B)은 강성 기판의 최상면으로부터 상이한 거리에 위치하고, 강성 기판(302)과 이형층(304) 사이에 계면을 갖도록 한다.
도 7은 일부 실시예에 따른 제조 공정 중의 패치 안테나(300E)의 단면도이다. 패치 안테나(300E)는 방법(200)의 작업(212) 동안의 패치 안테나와 일치한다. 패치 안테나(300E)에서, 유전체 충전 재료(312)가 제2 절연성 재료(310) 위의 도전성 필라 및 다이(321) 주위에서 패치 안테나(300E)에 추가되었다. 패치 안테나(300E)가 평탄화되고, 하이-k 유전체 재료(336)는, 각각의 도전성 필라(317A, 317B, 317C)의 최상면(319A) 및 다이(321)의 최상면(319B) 위에 퇴적된다. 패치 안테나(300E)는 방법(200)의 작업(212) 동안의 패치 안테나와 일치한다. 안테나 캐비티(315)는 도전성 필라(317B, 317C) 사이, 그리고 접지판(308) 위에 위치한다. 유전체 충전 재료(312)는, 유전체 충전 재료(312)와 동일한 층 내의 재료(예를 들어, 다이(321) 및 도전성 필라(317A 내지 317C)) 간의 커패시턴스를 감소시키기 위해, 낮은 유전 상수(예를 들어, 약 6 패럿/미터 미만)를 갖는다.
도 8은 일부 실시예에 따른 제조 공정 중의 패치 안테나(300F)의 단면도이다. 패치 안테나(300F)는 방법(200)의 작업(212) 동안의 패치 안테나와 일치한다. 패치 안테나(300F)에서, 도전성 필라(317B, 317C)의 최상면(319A) 위에 퇴적되는 하이-k 유전체 재료(336)는, 패터닝 재료(337)에 의해 보호되어 유전체 패드를 형성한다. 패터닝 재료(337)는 접지판(308) 위의 유전체 패드의 패턴과 일치하는 패턴으로 퇴적되고 수용된다. 모든 도전성 필라가 하이-k 유전체(336)와 직접 접촉하는 것은 아니다. 도전성 필라(317B, 317C)는 하이-k 유전체 재료(336)와 전기적으로 접촉하는 반면에, 도전성 필라(317A)는 하이-k 유전체 재료(336)의 에지로부터 측방향으로 이격되어 위치한다. 도전성 필라(317A)는, 접지판(308)과, 패치 안테나(300F)의 접지 사이에 접지 연결부(도 1, 접지 연결부(120A, 120B) 참조)이 되도록 구성된다. 하이-k 유전체 재료(336)는 다이(321)의 최상면(319B)으로부터 측방향으로 이격된다. 안테나 캐비티(315)는, 접지판(308)과 하이-k 유전체 재료(336) 사이에, 그리고 도전성 필라(317B, 317C) 사이에 위치한다.
도 9는 일부 실시예에 따른 제조 공정 중의 패치 안테나(300G)의 단면도이다. 패치 안테나(300G)는, 방법(200)의 작업(214) 동안의 패치 안테나와 일치한다. 패치 안테나(300G)에서, 도전성 라인(328E)은, 유전체층(322) 아래에, 도전성 필라(317A)와 접촉하여 제조되었다. 유전체층(322)은, 다이(321) 위에, 그리고 하이-k 유전체 물질(336)로 이루어진 유전체 패드의 측부 주위에 퇴적된다. 도전성 비아(329A 내지 329D)는 유전체층(322)을 관통하여 연장된다. 안테나 패드(328A)는, 유전체층(322)의 최상면(계면(327A) 참조) 및 유전체 패드의 최상면(계면(327B) 참조)에 대하여 위치한다. 안테나 패드(328A)는 도전성 비아(329A)를 통해 다이(321)에 전기적으로 연결된다. 도전성 라인(328B, 328C)은, 유전체층(322)을 통해 도전성 비아(329B, 329C)에 전기적으로 연결되어, 다이(321)에 대한 전기적 연결을 형성한다. 도전성 라인(328D)은 도전성 비아(329D)에, 그리고 도전성 필라(317A)를 통해 접지판(308)에 전기적으로 연결된다.
도 10은 일부 실시예에 따른 제조 공정 중의 패치 안테나(300H)의 단면도이다. 패치 안테나(300H)는, 방법(200)의 작업(214, 216) 이후의 패치 안테나와 일치한다. 패치 안테나(300H)에서, 제2 유전체층(324)이 안테나 패드(328A) 위에 퇴적되고, 도전성 비아(329F)는 제2 유전체 재료(324)를 관통하여 연장되어, 도전성 패드(330A)를 접지판(308)에 전기적으로 연결한다. 도전성 비아(329E)는 제2 유전체 재료(324)를 관통하여 연장되어, 도전성 라인(328B) 및 도전성 비아(329B)를 통해 도전성 패드(330B)를 다이(321)에 전기적으로 연결한다.
도 11은 일부 실시예에 따른 제조 공정 중의 패치 안테나(300I)의 단면도이다. 솔더 볼(334A)은, 언더 범프층(332A), 도전성 패드(330A), 도전성 비아(329D, 329F), 도전성 라인(328E) 및 도전성 필라(317A)를 통해 접지판(308)에 전기적으로 연결된다. 도전성 필라(317B, 317C)는 또한 접지판(308)에 전기적으로 연결되고, 안테나 캐비티(315) 주위에, 그리고 하이-k 유전체 재료(336)로 이루어진 유전체 패드의 최하면에 대하여 위치한다. 다이(321)는, 도전성 비아(329A)를 통해 안테나 패드(328A)에, 그리고 도전성 비아(329B, 329E), 도전성 라인(328B) 및 도전성 패드(330B)를 통해 솔더 범프(334B)에 전기적으로 연결된다. 언더 범프층(332B)은, 패치 안테나(300I)에서 솔더 범프(334B)의 도전성 패드(330B)에 대한 접착을 촉진시킨다. 스택(350)은 패치 안테나(300I)의 접지판에 대한 접지 연결부이다. 스택(352)은, 패치 안테나(300I)의 안테나 스택이며, RF 신호를 높은 방사 효율로 송신과 수신하도록 구성된다. 스택(354)은, 컴퓨팅 디바이스의 다른 부분으로부터 다이(321)를 통해 안테나 패드(328A)로 전력 및/또는 신호를 제공함으로써 다이(321)를 작동시키도록 구성되는 신호 스택이다. 도 11에서, RF 신호(338)는, 안테나 패드(328A)로부터, 안테나 캐비티(315)를 통해, 기판(302) 위의 접지판(308)을 지나 방출된다.
도 12는 본 개시의 적어도 하나의 실시예에 따른 반도체 디바이스(1200)의 블록도이다. 도 12에서, 반도체 디바이스(1200)는, 무엇보다도 회로 매크로(이하, 매크로)(1202)를 그 위에 갖는 기판(1201)을 포함한다. 일부 실시예에서, 매크로(1202)는 InFO 패키지 매크로이다. 일부 실시예에서, 매크로(1202)는 InFO 패키지 매크로 이외의 매크로이다. 매크로(1202)는, 무엇보다도 와이어 라우팅 배치물(1204A) 및 제2 와이어 라우팅 배치물(1204B)을 포함한다. 와이어 라우팅 배치물(1204A, 1204B)을 생성하는 레이아웃 다이어그램의 예는 도 1의 패치 안테나를 포함한다.
도 13은 일부 실시예에 따른 전자 설계 자동화(Electronic Design Automation, EDA) EDA 시스템(1300)의 블록도이다. 일부 실시예에서, EDA 시스템(1300)은 하드웨어 프로세서(1302) 및 비일시적, 컴퓨터 판독이 가능한 저장 매체(1304)를 포함하는 범용 컴퓨팅 디바이스이다. 무엇보다도, 저장 매체(1304)는, 컴퓨터 프로그램 코드(1306)(예를 들어, 일련의 실행 가능한 명령어, 또는 명령어들)로 인코딩된다(즉, 저장한다). 하드웨어 프로세서(1302)에 의한 명령어(1306)의 실행은, 예를 들어 하나 이상의 실시예와 관련하여 전술한 방법(이하, 전술한 공정 및/또는 방법)의 일부 또는 전부를 구현하는 EDA 툴을 (적어도 부분적으로) 나타낸다.
하드웨어 프로세서(1302)는 버스(1308)를 통해 컴퓨터 판독 가능한 저장 매체(1304)에 전기적으로 결합된다. 하드웨어 프로세서(1302)는 또한 버스(1308)에 의해 I/O 인터페이스(1310)에 전기적으로 결합된다. 네트워크 인터페이스(1312)는 또한 버스를 통해 하드웨어 프로세서(1302)에 전기적으로 연결된다. 네트워크 인터페이스(1312)는, 하드웨어 프로세서(1302) 및 저장 매체(1304)가 네트워크(1314)를 통해 외부 엘리먼트에 연결될 수 있도록, 네트워크(1314)에 연결된다. 하드웨어 프로세서(1302)는, 전술한 공정 및/또는 방법의 일부 또는 전부를 수행하기 위해 EDA 시스템(1300)을 사용할 수 있도록, 컴퓨터 판독 가능 저장 디바이스에 인코딩된 컴퓨터 프로그램 코드(1306)를 실행하도록 구성된다. 하나 이상의 실시예에서, 하드웨어 프로세서(1302)는 중앙 처리 장치(CPU: Central Processing Unit), 다중 프로세서, 분산 처리 시스템, 주문형 집적 회로(ASIC: Application Specific Integrated Circuit) 및/또는 적합한 처리 유닛이다.
하나 이상의 실시예에서, 컴퓨터 판독 가능한 저장 매체(1304)는 전자, 자기, 광학, 전자기, 적외선 및/또는 반도체 시스템(또는 장치 또는 디바이스)이다. 예를 들어, 컴퓨터 판독 가능한 저장 매체(1304)는 반도체 또는 반도체를 이용한 메모리, 자기 테이프, 이동식 컴퓨터 디스켓, 랜덤 액세스 메모리(RAM: Random Access Memory), 판독 전용 메모리(ROM: Read-Only Memory), 자기 강성 디스크 및/또는 광 디스크를 포함한다. 광 디스크를 사용하는 하나 이상의 실시예에서, 컴퓨터 판독 가능한 저장 매체(1304)는 시디롬(CD-ROM: Compact Disk-Read Only Memory), 재기록 가능 시디(CD-R/W: Compact Disk-Read/Write) 및/또는 디비디(DVD: Digital Video Disk)를 포함한다.
하나 이상의 실시예에서, 저장 매체(1304)는, 전술한 공정 및/또는 방법의 일부 또는 전부를 수행하기 위해 (이러한 실행이 (적어도 부분적으로) EDA 툴을 나타내는) EDA 시스템(1300)을 사용할 수 있도록, 컴퓨터 프로그램 코드(1306)를 저장한다. 하나 이상의 실시예에서, 저장 매체(1304)는 또한 전술한 공정 및/또는 방법의 일부 또는 전부를 수행하는 단계를 용이하게 하는 정보를 저장한다. 하나 이상의 실시예에서, 저장 매체(1304)는 본 명세서에 개시된 바와 같은 표준 셀을 포함하는 표준 셀의 라이브러리(1307)를 저장한다.
EDA 시스템(1300)은 I/O 인터페이스(1310)를 포함한다. I/O 인터페이스(1310)는 외부 회로에 결합된다. 하나 이상의 실시예에서, I/O 인터페이스(1310)는 정보 및 명령을 하드웨어 프로세서(1302)와 전달하기 위한 키보드, 키패드, 마우스, 트랙볼, 트랙 패드, 터치 스크린 및/또는 커서 방향 키를 포함한다.
EDA 시스템(1300)은 또한 하드웨어 프로세서(1302)에 결합된 네트워크 인터페이스(1312)를 포함한다. 네트워크 인터페이스(1312)는 EDA 시스템(1300)이 하나 이상의 다른 컴퓨터 시스템이 연결된 네트워크(1314)와 통신할 수 있게 한다. 네트워크 인터페이스(1312)는 BLUETOOTH, WIFI, WIMAX, GPRS 또는 WCDMA와 같은 무선 네트워크 인터페이스; 또는 이더넷, USB 또는 IEEE-1364와 같은 유선 네트워크 인터페이스를 포함한다. 하나 이상의 실시예에서, 전술한 공정 및/또는 방법의 일부 또는 전부는 둘 이상의 EDA 시스템(1300)에서 구현된다.
EDA 시스템(1300)은 I/O 인터페이스(1310)를 통해 정보를 수신하도록 구성된다. I/O 인터페이스(1310)를 통해 수신된 정보는 명령어, 데이터, 설계 규칙, 표준 셀의 라이브러리 및/또는 하드웨어 프로세서(1302)에 의한 처리를 위한 기타 파라미터 중 하나 이상을 포함한다. 정보는 버스(1308)를 통해 하드웨어 프로세서(1302)로 전송된다. EDA 시스템(1300)은 I/O 인터페이스(1310)를 통해 사용자 인터페이스(UI: User Interface)(1352)와 관련된 정보를 수신하도록 구성된다. 정보는 컴퓨터 판독 가능 매체(1304)에 사용자 인터페이스(UI)로서 저장된다.
일부 실시예에서, 전술한 공정 및/또는 방법의 일부 또는 전부는 프로세서에 의한 실행을 위한 자립형 소프트웨어 애플리케이션으로서 구현된다. 일부 실시예에서, 전술한 공정들 및/또는 방법들의 일부 또는 전부는 추가 소프트웨어 애플리케이션의 일부인 소프트웨어 애플리케이션으로서 구현된다. 일부 실시예에서, 전술한 공정 및/또는 방법의 일부 또는 전부는 소프트웨어 애플리케이션에 대한 플러그인으로서 구현된다. 일부 실시예에서, 전술한 공정 및/또는 방법 중 적어도 하나는 EDA 툴의 일부인 소프트웨어 애플리케이션으로서 구현된다. 일부 실시예에서, 전술한 공정 및/또는 방법의 일부 또는 전부는 EDA 시스템(1300)에 의해 사용되는 소프트웨어 애플리케이션으로서 구현된다. 일부 실시예에서, 표준 셀을 포함하는 레이아웃 다이어그램은 CADENCE DESIGN SYSTEMS, Inc.에서 구할 수 있는 VIRTUOSO®와 같은 툴 또는 다른 적합한 레이아웃 생성 툴을 사용하여 생성된다.
일부 실시예에서, 공정은 비일시적, 컴퓨터 판독 가능 기록 매체에 저장된 프로그램의 기능으로서 실현된다. 비일시적, 컴퓨터 판독 가능 기록 매체의 예는 외부/이동식 및/또는 내부/내장 저장 또는 메모리 유닛(예를 들어, DVD와 같은 광학 디스크, 하드 디스크와 같은 자기 디스크, ROM과 같은 반도체 메모리, RAM, 메모리 카드 등)을 포함하나 이에 한정되는 것은 아니다.
도 14는 일부 실시예에 따른 집적 회로(Integrated Circuit, IC) 제조 시스템(1400)의 블록도 및 이와 연관된 IC 제조 흐름이다. 일부 실시예에서, 레이아웃 다이어그램에 기초하여, (A) 하나 이상의 반도체 마스크 또는 (B) 반도체 집적 회로의 층의 적어도 하나의 구성 요소 중 적어도 하나가 제조 시스템(1400)을 사용하여 제조된다.
도 14에서, IC 제조 시스템(1400)은, IC 디바이스(1460)를 제조하는 단계와 관련되는 설계, 개발 및 제조 사이클 및/또는 서비스에서 서로 상호 작용하는 설계 하우스(1420), 마스크 하우스(1430) 및 IC 제조업체/제작자("팹(fabricator)")(1450)와 같은 엔티티를 포함한다. 제조 시스템(1400)의 엔티티는 통신 네트워크에 의해 연결된다. 일부 실시예에서, 통신 네트워크는 단일 네트워크이다. 일부 실시예에서, 통신 네트워크는 인트라넷 및 인터넷과 같은 다양한 상이한 네트워크이다. 통신 네트워크는 유선 및/또는 무선 통신 채널을 포함한다. 각각의 엔티티는 하나 이상의 다른 엔티티와 상호 작용하고, 하나 이상의 다른 엔티티에 서비스를 제공하고 및/또는 서비스를 수신한다. 일부 실시예에서, 설계 하우스(1420), 마스크 하우스(1430) 및 IC 팹(1450) 중 둘 이상은 하나의 큰 회사에 의해 소유된다. 일부 실시예에서, 설계 하우스(1420), 마스크 하우스(1430) 및 IC 팹(1450) 중 둘 이상은 공통 시설 내에 공존하고 공통 리소스를 사용한다.
설계 하우스(또는 설계 팀)(1420)는 IC 설계 레이아웃 다이어그램(1422)을 생성한다. IC 설계 레이아웃 다이어그램(1422)은, IC 디바이스(1460)를 위해 설계된 다양한 기하학적 패턴을 포함한다. 기하학적 패턴은 제조될 IC 디바이스(1460)의 다양한 구성 요소를 만드는 금속, 산화물 또는 반도체층의 패턴에 해당한다. 다양한 층이 결합되어 다양한 IC 피처를 형성한다. 예를 들어, IC 설계 레이아웃 다이어그램(1422)의 일부는, (실리콘 웨이퍼와 같은) 반도체 기판 및 반도체 기판 상에 배치되는 다양한 재료층에 형성될, 활성 영역, 게이트 전극, 소스 및 드레인, 층간 상호연결물의 금속 라인 또는 비아, 및 패드를 접합하기 위한 개구부와 같은 다양한 IC 피처를 포함한다. 설계 하우스(1420)는 IC 설계 레이아웃 다이어그램(1422)을 형성하도록 적절한 설계 절차를 구현한다. 설계 절차는 논리 설계, 물리적 설계 또는 장소 및 루트 중 하나 이상을 포함한다. IC 설계 레이아웃 다이어그램(1422)은 기하학적 패턴의 정보를 가지는 하나 이상의 데이터 파일로 제시된다. 예를 들어, IC 설계 레이아웃 다이어그램(1422)은 GDSII 파일 형식 또는 DFII 파일 형식으로 표현될 수 있다.
마스크 하우스(1430)는 데이터 준비(1432) 및 마스크 제조(1444)을 포함한다. 마스크 하우스(1430)는 IC 설계 레이아웃 다이어그램(1422)을 사용하여 IC 설계 레이아웃 다이어그램(1422)에 따라 IC 디바이스(1460)의 다양한 층을 제조하는데 사용될 하나 이상의 마스크(1445)를 제조한다. 마스크 하우스(1430)는, IC 설계 레이아웃 다이어그램(1422)이 대표 데이터 파일(RDF: Representative Data File)로 번역되는 마스크 데이터 준비(1432)를 수행한다. 마스크 데이터 준비(1432)는 RDF를 마스크 제조(1444)에 제공한다. 마스크 제조(1444)는 마스크 라이터(mask writer)를 포함한다. 마스크 라이터는 RDF를 마스크(레티클)(1445) 또는 반도체 웨이퍼(1453)와 같은 기판 상의 이미지로 변환한다. 마스크 라이터의 소정의 특성 및/또는 IC 팹(1450)의 요구 사항을 준수하도록 설계 레이아웃 다이어그램(1414)이 마스크 데이터 준비(1432)에 의해 조작된다. 도 14에서, 마스크 데이터 준비(1432) 및 마스크 제조(1444)은 별개의 엘리먼트로서 도시되어 있다. 일부 실시예에서, 마스크 데이터 준비(1432) 및 마스크 제조(1444)는 총괄하여 마스크 데이터 준비로 지칭될 수 있다.
일부 실시예에서, 마스크 데이터 준비(1432)는, 리소그래피 향상 기술을 사용하여 회절, 간섭, 다른 공정 효과 등에서 발생할 수 있는 것과 같은 이미지 에러를 보상하는 광학 근접 보정(OPC: Optical Proximity Correction)을 포함한다. OPC는 IC 설계 레이아웃 다이어그램(1422)을 조정한다. 일부 실시예에서, 마스크 데이터 준비(1432)는 오프-축(off-axis) 조명, 서브 해상도 보조 피처, 위상 편이 마스크, 다른 적합한 기술 등 또는 이들의 조합과 같은 부가적인 해상도 향상 기술(RET: Resolution Enhancement Techniques)을 포함한다. 일부 실시예에서, OPC를 역 이미징 문제로서 취급하는 역 리소그래피 기술(ILT: Inverse Lithography Technology)이 또한 사용된다.
일부 실시예에서, 반도체 제조 공정의 가변성 등을 처리하거나, 충분한 마진을 보장하거나, 그 밖의 처리를 위해, 마스크 데이터 준비(1432)는, 특정한 기하학적 및/또는 연결적 제약을 포함하는 마스크 생성 규칙 세트를 사용하여 OPC 처리된 IC 설계 레이아웃 다이어그램(1422)을 점검하는 마스크 규칙 검사기(MRC: Mask Rule Checker)를 포함한다. 일부 실시예에서, MRC는 마스크 제조(1444) 동안 제약을 보상하도록 IC 설계 레이아웃 다이어그램(1422)을 수정하며, 이는 마스크 생성 규칙을 충족시키기 위해 OPC에 의해 수행된 수정의 일부를 취소할 수 있다.
일부 실시예에서, 마스크 데이터 준비(1432)는, IC 디바이스(1460)를 제조하기 위해 IC 팹(1450)에 의해 구현될 처리 단계를 시뮬레이션하는 리소그래피 공정 검사(LPC: Lithography Process Checking)를 포함한다. LPC는, IC 디바이스(1460)와 같은 시뮬레이션된 제조 디바이스를 생성하도록, IC 설계 레이아웃 다이어그램(1422)에 기초하여 이 처리 단계를 시뮬레이션한다. LPC 시뮬레이션에서의 처리 단계 파라미터는 IC 제조 사이클의 다양한 공정과 연관된 파라미터, IC를 제조하는데 사용되는 툴과 연관된 파라미터 및/또는 제조 공정의 다른 양태를 포함할 수 있다. LPC는, 에어리얼 이미지 콘트라스트, 초점 심도(DOF: Depth Of Focus), 마스크 에러 향상 인자(MEEF: Mask Error Enhancement Factor), 다른 적합한 인자 등 또는 이의 조합과 같은 다양한 인자를 고려한다. 일부 실시예에서, 시뮬레이션된 제조된 디바이스가 LPC에 의해 생성된 이후에, 시뮬레이션된 디바이스가 설계 규칙을 만족시키기에 충분한 형상을 갖지 않으면, OPC 및/또는 MRC가 반복되어 IC 설계 레이아웃 다이어그램(1422)을 더 개선시킨다.
마스크 데이터 준비(1432)에 대한 상기 설명은 명료성을 위해 단순화되었다는 것을 이해해야 한다. 일부 실시예에서, 데이터 준비(1432)는 제조 규칙에 따라 IC 설계 레이아웃 다이어그램(1422)을 수정하기 위한 논리 연산(LOP)과 같은 추가적인 피처를 포함한다. 또한, 데이터 준비(1432) 동안 IC 설계 레이아웃 다이어그램(1422)에 적용되는 공정은 다양한 상이한 순서로 실행될 수 있다.
마스크 데이터 준비(1432) 이후에 및 마스크 제조(1444) 동안, 수정된 IC 설계 레이아웃 다이어그램(1422)에 기초하여 마스크(1445) 또는 마스크 그룹(1445)이 제조된다. 일부 실시예에서, 마스크 제조(1444)는 IC 설계 레이아웃 다이어그램(1422)에 기초하여 하나 이상의 리소그래피 노광을 수행하는 단계를 포함한다. 일부 실시예에서, 전자 빔 또는 다수의 전자 빔의 메커니즘이 사용되어 수정된 IC 설계 레이아웃에 기초하여 마스크(포토마스크 또는 레티클)(1445) 상에 패턴을 형성한다. 마스크(1445)는 다양한 기술로 형성될 수 있다. 일부 실시예에서, 마스크(1445)는 이진 기술을 사용하여 형성된다. 일부 실시예에서, 마스크 패턴은 불투명 영역 및 투명 영역을 포함한다. 웨이퍼 상에 코팅된 감광성 재료층(예를 들어, 포토레지스트)을 노광시키기 위해 사용되는 자외선(UV) 빔과 같은 방사선 빔은 불투명 영역에 의해 차단되고 투명 영역을 통해 투과된다. 일 예에서, 마스크(1445)의 이진 마스크 버전은 투명 기판(예를 들어, 용융 쿼츠) 및 이진 마스크의 불투명 영역에 코팅된 불투명 재료(예를 들어, 크롬)을 포함한다. 다른 예에서, 마스크(1445)는 위상 편이 기술을 사용하여 형성된다. 마스크(1445)의 위상 편이 마스크(PSM: Phase Shift Mask) 버전에서, 위상 편이 마스크 상에 형성된 패턴의 다양한 피처는 해상도 및 이미징 품질을 향상시키도록 적절한 위상차를 갖도록 구성된다. 다양한 예에서, 위상 편이 마스크는 감쇠된 PSM 또는 교류 PSM일 수 있다. 마스크 제조(1444)에 의해 생성된 마스크(들)는 다양한 공정에서 사용된다. 예를 들어, 이러한 마스크(들)는, 반도체 웨이퍼(1453) 내에 다양한 도핑 영역을 형성하기 위한 이온 주입 공정, 반도체 웨이퍼(1453) 내에 다양한 에칭 영역을 형성하기 위한 에칭 공정, 및/또는 다른 적합한 공정에서 사용된다.
IC 팹(1450)은 웨이퍼 제조(1452)를 포함한다. IC 팹(1450)은 다양한 상이한 IC 제품의 제조를 위한 하나 이상의 제조 설비를 포함하는 IC 제조 사업이다. 일부 실시예에서, IC 팹(1450)은 반도체 파운드리이다. 예를 들어, 복수의 IC 제품의 프론트 엔드(FEOL: Front-End-Of-Line) 제조를 위한 제조 설비가 있을 수 있는 한편, 제2 제조 설비는 IC 제품의 상호연결 및 패키징을 위한 백 엔드(BEOL: Back-End-Of-Line) 제조를 제공할 수 있고, 제3 제조 시설은 파운드리 사업을 위한 다른 서비스를 제공할 수 있다.
IC 팹(1450)은 IC 디바이스(1460)를 제조하기 위해 마스크 하우스(1430)에 의해 제조된 마스크(들)(1445)를 사용한다. 따라서, IC 팹(1450)은 IC 디바이스(1460)를 제조하기 위해 IC 설계 레이아웃 다이어그램(1422)을 적어도 간접적으로 사용한다. 일부 실시예에서, IC 디바이스(1460)을 형성하기 위해, 반도체 웨이퍼(1453)가 IC 팹(1450)에 의해 마스크(들)(1445)을 이용하여 제조된다. 일부 실시예에서, IC 제조는 IC 설계 레이아웃 다이어그램(1422)에 적어도 간접적으로 기초하여 하나 이상의 리소그래피 노광을 수행하는 단계를 포함한다. 반도체 웨이퍼(1453)는 실리콘 기판 또는 그 위에 형성되는 재료층을 포함하는 다른 적합한 기판을 포함한다. 반도체 웨이퍼(1453)는 (이후의 제조 단계에서 형성되는) 다양한 도핑 영역, 유전체 피처, 멀티 레벨 상호연결물 등 중 하나 이상을 더 포함한다.
집적 회로(IC) 제조 시스템(예를 들어, 도 14의 제조 시스템(1400)) 및 이와 연관된 IC 제조 흐름에 관한 세부 사항은, 예를 들어, 2016년 2월 9일자로 부여된 미국 특허 출원 제9,256,709, 2015년 10월 1일에 공개된 미국 사전 허가 공개 번호 제20150278429, 2014년 2월 6일에 공개된 미국 사전 허가 공개 번호 제20140040838, 및 2007년 8월 21일자로 부여된 미국 특허 제7,260,442에서 찾을 수 있으며, 이들 각각은 그 전체로서 본 명세서에 참조로 통합되었다.
통합 팬 아웃(Integrated-fan out, InFO) 디바이스는, 적어도 하나의 안테나 패드와, 접지판 위의 안테나 캐비티 사이에 위치하는, 하이-k 유전체 재료(유전체 패드)를 갖는 적어도 하나의 안테나 패드에 전기적으로 연결되는 RF 컨트롤러(다이)를 포함한다. 접지판과 안테나 패드 사이에 하이-k 유전체 재료를 추가하면, 안테나 패드에 액세스할 수 있는 가용 주파수 범위가 증가하고, 디바이스치 제조업체가 InFO 디바이스의 설치 공간 또는 면적을 줄일 수 있다. 또한, 안테나 패드와 접지판 사이에 유전체 패드를 포함하지 않는 InFO 디바이스에 비해, 무선 주파수 방사 효율이 더 효율적이다.
본 개시의 양태는 디바이스에 관한 것으로, 디바이스는, 접지판; 접지판에 전기적으로 연결되는 제1 도전성 필라; 접지판에 실질적으로 평행한 안테나 패드; 제1 유전 상수를 갖는 유전체 패드로서, 안테나 패드는 유전체 패드에 의해 제1 도전성 필라의 원위 단부로부터 분리되는 유전체 패드; 및 안테나 캐비티를 채우는 유전체 충전 재료로서, 유전체 충전 재료는 제1 유전 상수보다 작은 제2 유전 상수를 가지며, 안테나 캐비티는 접지판, 제1 도전성 필라 및 유전체 패드에 둘러싸이는, 유전체 충전 재료를 포함한다. 일부 실시예에서, 제2 유전 상수는 6 패럿/미터(F/m) 이하이다. 일부 실시예에서, 제1 유전 상수는 7 패럿/미터(F/m)를 초과한다. 일부 실시예에서, 유전체 패드는, 티타늄 이산화물(TiO2), 스트론튬 티타늄 삼산화물(SrTiO3), 바륨 스트론튬 티타늄 삼산화물(BaSrTiO3), 바륨 티타늄 삼산화물(BaTiO3) 또는 납 지르코늄 티타늄 삼산화물(PbZrTiO3) 중 하나 이상을 포함한다. 일부 실시예에서, 유전체 패드는, 7 패럿/미터(F/m)보다 큰 유전 상수를 갖는 적어도 하나의 하이-k 유전체 재료층 및 6 F/m 미만의 유전 상수를 갖는 적어도 하나의 로우-k 유전체 재료층을 포함하는 적층된 유전체 패드이다. 일부 실시예에서, 안테나 패드는 컨트롤러 회로에 전기적으로 연결된다. 일부 실시예에서, 유전체 패드는, 접지판의 최상면에 평행한 제1 방향으로의 제1 치수 및 접지판의 최상면에 평행한 제2 방향으로의 제2 치수를 가지고, 제2 방향은 제1 방향에 수직이고, 안테나 패드는 제1 방향으로의 제3 치수 및 제2 방향으로의 제4 치수를 가지며, 제1 치수는 제3 치수보다 작고, 제2 치수는 제4 치수보다 작다.
본 개시의 양태는 방법에 관한 것으로, 방법은, 기판 위에 접지판을 형성하는 단계; 접지판과 접촉하는 제1 도전성 필라를 형성하는 단계; 다이를 기판에 부착하는 단계; 유전체 충전 재료로 제1 도전성 필라로부터 다이를 전기적으로 절연시키는 단계; 적어도 7 패럿/미터(F/m)의 유전 상수를 갖는 하이-k 유전체 재료의 유전체 패드를, 접지판의 반대쪽에 위치하는 제1 도전성 필라의 일단부에 형성하는 단계; 유전체 패드 위에 안테나 패드를 형성하는 단계; 및 안테나 패드를 다이에 전기적으로 연결하는 단계를 포함한다. 일부 실시예에서, 유전체 패드를 형성하는 단계는, 화학적 기상 퇴적(Chemical Vapor Deposition, CVD) 또는 물리적 기상 퇴적(Physical Vapor Deposition, PVD)으로 하이-k 유전체 재료를 퇴적하는 단계로서, 하이-k 유전체 재료는 7보다 큰 유전 상수를 가지는 단계; 하이-k 유전체 재료 위에 패터닝 재료층을 퇴적하는 단계; 패터닝 재료층을 패터닝하는 단계; 및 하이-k 유전체 재료의 노출된 부분을 제거하는 단계를 더 포함한다. 일부 실시예에서, 하이-k 유전체 재료의 노출된 부분을 제거하는 단계는, 하이-k 유전체 재료의 노출된 부분에 산성 용액을 도포하여 하이-k 유전체 재료의 노출된 부분을 분해시키는 단계를 더 포함한다. 일부 실시예에서, 유전체 충전 재료로 제1 도전성 필라로부터 다이를 전기적으로 절연시키는 단계는, 로우-k 유전체 재료를 접지판의 최상면에 도포하는 단계; 및 섭씨 200도(℃) 미만의 온도에서 로우-k 유전체 재료를 경화하여 다이 및 제1 도전성 필라에 대한 응력을 감소시키는 단계를 더 포함한다. 일부 실시예에서, 접지판과 접촉하는 적어도 하나의 도전성 필라를 제조하는 단계는; 접지판 위에 제1 절연층을 퇴적하는 단계; 제1 절연층 위에 패터닝 재료층을 도포하는 단계; 접지판의 일부를, 패터닝 재료층을 관통하여, 노출시키는 단계, 도전성 재료를, 패터닝 재료층의 개구부 내에, 그리고 접지판의 일부에 대하여, 퇴적하는 단계; 패터닝 재료층을 노출시키도록 도전성 재료를 평탄화하는 단계; 및 접지판으로부터 패터닝 재료를 제거하는 단계를 더 포함한다. 일부 실시예에서, 하이-k 유전체 재료의 유전체 패드를 형성하는 단계는, 각각 7 패럿/미터(F/m)보다 큰 유전 상수를 갖는 복수의 하이-k 유전체 재료층을 퇴적하는 단계를 더 포함한다. 일부 실시예에서, 방법은 안테나 패드와 다이를, 7 패럿/미터(F/m) 미만의 유전 상수를 갖는 로우-k 유전체 재료로 덮는 단계를 더 포함한다.
본 개시의 양태는 디바이스에 관한 것으로, 디바이스는, 기판 위의 제1 도전성 재료 패드로서, 접지에 전기적으로 연결되는 제1 도전성 재료 패드; 제1 도전성 재료 패드 위에 위치하는 절연성 충전 재료로서, 7 패럿/미터(F/m) 미만의 제1 유전 상수를 갖는 절연성 충전 재료; 제1 도전성 재료 패드에 전기적으로 연결되는 제1 도전성 필라로서, 절연성 충전 재료를 관통하여 연장되는 제1 도전성 필라; 기판에 연결되는 컨트롤러 다이로서, 절연성 충전 재료를 관통하여 연장되는 컨트롤러 다이; 제1 도전성 필라 및 절연성 충전 재료의 최상면 위에 위치하는 유전체 재료 패드로서, 7 F/m을 초과하는 제2 유전 상수를 갖는 유전체 재료 패드; 및 유전체 재료 패드 위에 위치하는 제2 도전성 재료 패드로서, 컨트롤러 다이에 전기적으로 연결되는 제2 도전성 재료 패드를 포함한다. 일부 실시예에서, 접지판 상에 돌출하는, 유전체 재료 패드의 둘레는, 제1 도전성 필라를 에워싼다(circumscribe). 일부 실시예에서, 유전체 재료 패드는, 7 패럿/미터(F/m)보다 큰 제1 유전 상수를 갖는, 적어도 하나의 유전체 재료층을 더 포함한다. 일부 실시예에서, 유전체 재료 패드는, 티타늄 이산화물(TiO2), 스트론튬 티타늄 삼산화물(SrTiO3), 바륨 스트론튬 티타늄 삼산화물(BaSrTiO3), 바륨 티타늄 삼산화물(BaTiO3) 또는 납 지르코늄 티타늄 삼산화물(PbZrTiO3) 중 하나 이상을 포함한다. 일부 실시예에서, 유전체 재료 패드는 적어도 두 개의 유전체 재료층을 포함하며, 적어도 두 개의 유전체 재료층 각각은 7 패럿/미터(F/m)보다 큰 유전 상수를 갖는다. 일부 실시예에서, 디바이스는 유전체 재료 패드 위에 위치하고, 컨트롤러 다이에 전기적으로 연결되는 제3 도전성 재료 패드를 더 포함한다.
전술한 바는 몇몇 실시예의 특징부를 개략적으로 설명하여 당업자가 본 개시의 양태를 더 잘 이해할 수 있도록 한다. 당업자는 본 개시에서 소개하는 실시예와 동일한 목적을 수행하고/하거나 동일한 장점을 달성하기 위한 다른 공정 및 구조물을 디자인 또는 변화하기 위한 기초로서 본 개시를 용이하게 이용할 수 있다는 것을 이해할 것이다. 당업자는 또한 이러한 균등 구성물이 본 개시의 사상 및 범위를 벗어나지 않는다는 것과, 본 개시의 사상 및 범위를 벗어나지 않고 다양한 변경, 치환 및 수정을 행할 수 있음을 알 것이다.
<부기>
1. 디바이스에 있어서,
접지판;
상기 접지판에 전기적으로 연결되는 제1 도전성 필라(pillar);
상기 접지판에 실질적으로 평행한 안테나 패드;
제1 유전 상수를 갖는 유전체 패드로서, 상기 안테나 패드는 상기 유전체 패드에 의해 상기 제1 도전성 필라의 원위 단부로부터 분리되는 것인, 상기 유전체 패드; 및
안테나 캐비티를 채우는 유전체 충전 재료
를 포함하고, 상기 유전체 충전 재료는 상기 제1 유전 상수보다 작은 제2 유전 상수를 가지며, 상기 안테나 캐비티는 상기 접지판, 상기 제1 도전성 필라 및 상기 유전체 패드에 둘러싸이는, 디바이스.
2. 제1항에 있어서, 상기 제2 유전 상수는 1 패럿/미터(F/m) 내지 6 F/m인, 디바이스.
3. 제1항에 있어서, 상기 제1 유전 상수는 7 패럿/미터(F/m)보다 큰, 디바이스.
4. 제3항에 있어서, 상기 유전체 패드는, 티타늄 이산화물(TiO2), 스트론튬 티타늄 삼산화물(SrTiO3), 바륨 스트론튬 티타늄 삼산화물(BaSrTiO3), 바륨 티타늄 삼산화물(BaTiO3) 또는 납 지르코늄 티타늄 삼산화물(PbZrTiO3) 중 하나 이상을 포함하는, 디바이스.
5. 제1항에 있어서, 상기 유전체 패드는, 7 패럿/미터(F/m)보다 큰 유전 상수를 갖는 적어도 하나의 하이-k 유전체 재료층 및 6 F/m 미만의 유전 상수를 갖는 적어도 하나의 로우-k 유전체 재료층을 포함하는 적층된 유전체 패드인, 디바이스.
6. 제1항에 있어서, 상기 안테나 패드는 컨트롤러 회로에 전기적으로 연결되는, 디바이스.
7. 제1항에 있어서, 상기 유전체 패드는, 상기 접지판의 최상면에 평행한 제1 방향으로의 제1 치수 및 상기 접지판의 최상면에 평행한 제2 방향으로의 제2 치수를 가지고, 상기 제2 방향은 상기 제1 방향에 수직이고, 상기 안테나 패드는 상기 제1 방향으로의 제3 치수 및 상기 제2 방향으로의 제4 치수를 가지며, 상기 제1 치수는 상기 제3 치수보다 작고, 상기 제2 치수는 상기 제4 치수보다 작은, 디바이스.
8. 방법에 있어서,
기판 위에 접지판을 형성하는 단계;
상기 접지판과 접촉하는 제1 도전성 필라를 형성하는 단계;
상기 기판에 다이를 부착하는 단계;
유전체 충전 재료로 상기 제1 도전성 필라로부터 상기 다이를 전기적으로 절연시키는 단계;
적어도 7 패럿/미터(F/m)의 유전 상수를 갖는 하이-k 유전체 재료의 유전체 패드를, 상기 접지판의 반대쪽에 위치하는 상기 제1 도전성 필라의 일단부에 형성하는 단계;
상기 유전체 패드 위에 안테나 패드를 형성하는 단계; 및
상기 안테나 패드를 상기 다이에 전기적으로 연결하는 단계
를 포함하는 방법.
9. 제8항에 있어서, 상기 하이-k 유전체 재료의 유전체 패드를 형성하는 단계는,
7보다 큰 유전 상수를 갖는 하이-k 유전체 재료를 퇴적하는 단계;
상기 하이-k 유전체 재료 위에 패터닝 재료층을 퇴적하는 단계;
상기 패터닝 재료층을 패터닝하는 단계; 및
상기 하이-k 유전체 재료의 노출된 부분을 제거하는 단계를 더 포함하는, 방법.
10. 제9항에 있어서, 상기 하이-k 유전체 재료의 노출된 부분을 제거하는 단계는, 상기 하이-k 유전체 재료의 노출된 부분에 산성 용액을 도포하여 상기 하이-k 유전체 재료의 노출된 부분을 분해시키는 단계를 더 포함하는, 방법.
11. 제8항에 있어서, 상기 유전체 충전 재료로 상기 다이를 상기 제1 도전성 필라로부터 전기적으로 절연시키는 단계는,
로우-k 유전체 재료를 상기 접지판의 최상면에 도포하는 단계; 및
섭씨 200도(℃) 미만의 온도에서 상기 로우-k 유전체 재료를 경화하여 상기 다이 및 상기 제1 도전성 필라에 대한 응력을 감소시키는 단계를 더 포함하는, 방법.
12. 제8항에 있어서, 상기 접지판과 접촉하는 적어도 하나의 도전성 필라를 제조하는 단계는,
상기 접지판 위에 제1 절연층을 퇴적하는 단계;
상기 제1 절연층 위에 패터닝 재료층을 도포하는 단계;
상기 접지판의 일부를, 상기 패터닝 재료층을 관통하여, 노출시키는 단계,
도전성 재료를, 상기 패터닝 재료층의 개구부 내에, 그리고 상기 접지판의 일부에 대하여, 퇴적하는 단계;
상기 패터닝 재료층을 노출시키도록 상기 도전성 재료를 평탄화하는 단계; 및
상기 접지판으로부터 상기 패터닝 재료를 제거하는 단계를 더 포함하는, 방법.
13. 제8항에 있어서, 상기 하이-k 유전체 재료의 유전체 패드를 형성하는 단계는, 각각 7 패럿/미터(F/m)보다 큰 유전 상수를 갖는 복수의 하이-k 유전체 재료층을 퇴적하는 단계를 더 포함하는, 방법.
14. 제8항에 있어서, 상기 안테나 패드와 상기 다이를, 7 패럿/미터(F/m) 미만의 유전 상수를 갖는 로우-k 유전체 재료로 덮는 단계를 더 포함하는 방법.
15. 디바이스에 있어서,
기판 위의 제1 도전성 재료 패드로서, 접지에 전기적으로 연결되는 상기 제1 도전성 재료 패드;
상기 제1 도전성 재료 패드 위의 절연성 충전 재료로서, 7 패럿/미터(F/m) 미만의 제1 유전 상수를 갖는 상기 절연성 충전 재료;
상기 제1 도전성 재료 패드에 전기적으로 연결되는 제1 도전성 필라로서, 상기 절연성 충전 재료를 관통하여 연장되는 상기 제1 도전성 필라;
상기 기판에 연결되는 컨트롤러 다이로서, 상기 절연성 충전 재료를 관통하여 연장되는 상기 컨트롤러 다이;
상기 제1 도전성 필라 및 상기 절연성 충전 재료의 최상면 위에 위치하는 유전체 재료 패드로서, 7 F/m보다 큰 제2 유전 상수를 갖는 상기 유전체 재료 패드; 및
상기 유전체 재료 패드 위에 위치하는 제2 도전성 재료 패드로서, 상기 컨트롤러 다이에 전기적으로 연결되는 상기 제2 도전성 재료 패드
를 포함하는, 디바이스.
16. 제15항에 있어서, 상기 접지판 상에 돌출하는, 상기 유전체 재료 패드의 둘레가 상기 제1 도전성 필라를 에워싸는(circumscribe), 디바이스.
17. 제15항에 있어서, 상기 유전체 재료 패드는, 7 패럿/미터(F/m)보다 큰 제1 유전 상수를 갖는 적어도 하나의 유전체 재료층을 더 포함하는, 디바이스.
18. 제15항에 있어서, 상기 유전체 재료 패드는, 티타늄 이산화물(TiO2), 스트론튬 티타늄 삼산화물(SrTiO3), 바륨 스트론튬 티타늄 삼산화물(BaSrTiO3), 바륨 티타늄 삼산화물(BaTiO3) 또는 납 지르코늄 티타늄 삼산화물(PbZrTiO3) 중 하나 이상을 포함하는, 디바이스.
19. 제15항에 있어서, 상기 유전체 재료 패드는 적어도 두 개의 유전체 재료층을 포함하며, 상기 적어도 두 개의 유전체 재료층 각각은 7 패럿/미터(F/m)보다 큰 유전 상수를 갖는, 디바이스.
20. 제15항에 있어서, 상기 유전체 재료 패드 위에 위치하고, 상기 컨트롤러 다이에 전기적으로 연결되는 제3 도전성 재료 패드를 더 포함하는 디바이스.

Claims (10)

  1. 디바이스에 있어서,
    접지판;
    상기 접지판에 전기적으로 연결되는 제1 도전성 필라(pillar);
    상기 접지판에 평행한 안테나 패드;
    제1 유전 상수를 갖는 유전체 패드로서, 상기 안테나 패드는 상기 유전체 패드에 의해 상기 제1 도전성 필라의 원위 단부로부터 분리되는 것인, 상기 유전체 패드; 및
    안테나 캐비티를 채우는 유전체 충전 재료
    를 포함하고, 상기 유전체 충전 재료는 상기 제1 유전 상수보다 작은 제2 유전 상수를 가지며, 상기 안테나 캐비티는 상기 접지판, 상기 제1 도전성 필라 및 상기 유전체 패드에 둘러싸이는, 디바이스.
  2. 제1항에 있어서, 상기 제2 유전 상수는 1 패럿/미터(F/m) 내지 6 F/m인, 디바이스.
  3. 제1항에 있어서, 상기 제1 유전 상수는 7 패럿/미터(F/m)보다 큰, 디바이스.
  4. 제3항에 있어서, 상기 유전체 패드는, 티타늄 이산화물(TiO2), 스트론튬 티타늄 삼산화물(SrTiO3), 바륨 스트론튬 티타늄 삼산화물(BaSrTiO3), 바륨 티타늄 삼산화물(BaTiO3) 또는 납 지르코늄 티타늄 삼산화물(PbZrTiO3) 중 하나 이상을 포함하는, 디바이스.
  5. 제1항에 있어서, 상기 유전체 패드는, 7 패럿/미터(F/m)보다 큰 유전 상수를 갖는 적어도 하나의 하이-k 유전체 재료층 및 6 F/m 미만의 유전 상수를 갖는 적어도 하나의 로우-k 유전체 재료층을 포함하는 적층된 유전체 패드인, 디바이스.
  6. 제1항에 있어서, 상기 안테나 패드는 컨트롤러 회로에 전기적으로 연결되는, 디바이스.
  7. 제1항에 있어서, 상기 유전체 패드는, 상기 접지판의 최상면에 평행한 제1 방향으로의 제1 치수 및 상기 접지판의 최상면에 평행한 제2 방향으로의 제2 치수를 가지고, 상기 제2 방향은 상기 제1 방향에 수직이고, 상기 안테나 패드는 상기 제1 방향으로의 제3 치수 및 상기 제2 방향으로의 제4 치수를 가지며, 상기 제1 치수는 상기 제3 치수보다 작고, 상기 제2 치수는 상기 제4 치수보다 작은, 디바이스.
  8. 방법에 있어서,
    기판 위에 접지판을 형성하는 단계;
    상기 접지판과 접촉하는 제1 도전성 필라를 형성하는 단계;
    상기 기판에 다이를 부착하는 단계;
    유전체 충전 재료로 상기 다이를 상기 제1 도전성 필라로부터 전기적으로 절연시키는 단계;
    적어도 7 패럿/미터(F/m)의 유전 상수를 갖는 하이-k 유전체 재료의 유전체 패드를, 상기 접지판의 반대쪽에 위치하는 상기 제1 도전성 필라의 일단부에 형성하는 단계;
    상기 유전체 패드 위에 안테나 패드를 형성하는 단계; 및
    상기 안테나 패드를 상기 다이에 전기적으로 연결하는 단계
    를 포함하는 방법.
  9. 제8항에 있어서, 상기 안테나 패드와 상기 다이를, 7 패럿/미터(F/m) 미만의 유전 상수를 갖는 로우-k 유전체 재료로 덮는 단계를 더 포함하는 방법.
  10. 디바이스에 있어서,
    기판 위의 제1 도전성 재료 패드로서, 접지에 전기적으로 연결되는 상기 제1 도전성 재료 패드;
    상기 제1 도전성 재료 패드 위의 절연성 충전 재료로서, 7 패럿/미터(F/m) 미만의 제1 유전 상수를 갖는 상기 절연성 충전 재료;
    상기 제1 도전성 재료 패드에 전기적으로 연결되는 제1 도전성 필라로서, 상기 절연성 충전 재료를 관통하여 연장되는 상기 제1 도전성 필라;
    상기 기판에 연결되는 컨트롤러 다이로서, 상기 절연성 충전 재료를 관통하여 연장되는 상기 컨트롤러 다이;
    상기 제1 도전성 필라 및 상기 절연성 충전 재료의 최상면 위의 유전체 재료 패드로서, 7 F/m보다 큰 제2 유전 상수를 갖는 상기 유전체 재료 패드; 및
    상기 유전체 재료 패드 위의 제2 도전성 재료 패드로서, 상기 컨트롤러 다이에 전기적으로 연결되는 상기 제2 도전성 재료 패드
    를 포함하는, 디바이스.
KR1020200012906A 2019-03-15 2020-02-04 안테나 캐비티 및 하이-k 유전체를 갖는 절연성 기판을 구비하는 통합 패치 안테나 KR102224383B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962819330P 2019-03-15 2019-03-15
US62/819,330 2019-03-15
US16/738,420 US11502402B2 (en) 2019-03-15 2020-01-09 Integrated patch antenna having insulating substrate with antenna cavity and high-K dielectric
US16/738,420 2020-01-09

Publications (2)

Publication Number Publication Date
KR20200110607A KR20200110607A (ko) 2020-09-24
KR102224383B1 true KR102224383B1 (ko) 2021-03-09

Family

ID=72423548

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200012906A KR102224383B1 (ko) 2019-03-15 2020-02-04 안테나 캐비티 및 하이-k 유전체를 갖는 절연성 기판을 구비하는 통합 패치 안테나

Country Status (3)

Country Link
US (1) US11502402B2 (ko)
KR (1) KR102224383B1 (ko)
CN (1) CN111696931A (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11749625B2 (en) * 2020-04-17 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure including one or more antenna structures
KR20210157595A (ko) 2020-06-22 2021-12-29 삼성전자주식회사 반도체 패키지
US11988740B2 (en) * 2020-09-08 2024-05-21 Anduril Industries, Inc. Millimeter wavelength radar antenna for drone interception
US11914081B2 (en) * 2021-02-26 2024-02-27 Ay Dee Kay Llc Integrated electromagnetic-acoustic sensor and sensing
US11961809B2 (en) 2021-02-26 2024-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Antenna apparatus and method
TWI778608B (zh) * 2021-05-04 2022-09-21 矽品精密工業股份有限公司 電子封裝件及其天線結構
US11894314B2 (en) * 2021-09-10 2024-02-06 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming semiconductor package with RF antenna interposer having high dielectric encapsulation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170346185A1 (en) 2016-05-27 2017-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Antenna device and method for manufacturing antenna device
JP2018515044A (ja) 2015-05-28 2018-06-07 日本電気株式会社 広帯域アンテナ放射素子および広帯域アンテナ放射素子の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6075485A (en) * 1998-11-03 2000-06-13 Atlantic Aerospace Electronics Corp. Reduced weight artificial dielectric antennas and method for providing the same
US7705782B2 (en) * 2002-10-23 2010-04-27 Southern Methodist University Microstrip array antenna
EP2426785A2 (en) 2004-10-01 2012-03-07 L. Pierre De Rochemont Ceramic antenna module and methods of manufacture thereof
TWI402015B (zh) 2009-05-27 2013-07-11 Chuan Ling Hu Integration of surface mount components of the packaging structure
US8711703B2 (en) 2010-10-29 2014-04-29 Telefonaktiebolaget L M Ericsson (Publ) Load balancing in shortest-path-bridging networks
US8648454B2 (en) * 2012-02-14 2014-02-11 International Business Machines Corporation Wafer-scale package structures with integrated antennas
US9711465B2 (en) 2012-05-29 2017-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Antenna cavity structure for integrated patch antenna in integrated fan-out packaging
US9312591B2 (en) 2013-03-19 2016-04-12 Texas Instruments Incorporated Dielectric waveguide with corner shielding
EP2840159B8 (de) 2013-08-22 2017-07-19 ThyssenKrupp Steel Europe AG Verfahren zum Herstellen eines Stahlbauteils
US20150349741A1 (en) 2014-05-29 2015-12-03 Skyworks Solutions, Inc. Temperature compensated circuits for radio-frequency devices
US9601410B2 (en) * 2015-01-07 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN106067487A (zh) * 2015-04-22 2016-11-02 台湾积体电路制造股份有限公司 包括介电质波导的集成扇出封装件
US10304700B2 (en) 2015-10-20 2019-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11626228B2 (en) * 2016-12-22 2023-04-11 Rogers Corporation Multi-layer magneto-dielectric material
KR102019952B1 (ko) 2017-07-18 2019-09-11 삼성전기주식회사 안테나 모듈 및 안테나 모듈 제조 방법
KR102411147B1 (ko) 2017-07-28 2022-06-21 삼성전기주식회사 안테나 모듈 및 안테나 모듈 제조 방법
US10411328B2 (en) * 2017-09-15 2019-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patch antenna structures and methods
US10978797B2 (en) * 2018-04-10 2021-04-13 Apple Inc. Electronic devices having antenna array apertures mounted against a dielectric layer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018515044A (ja) 2015-05-28 2018-06-07 日本電気株式会社 広帯域アンテナ放射素子および広帯域アンテナ放射素子の製造方法
US20170346185A1 (en) 2016-05-27 2017-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Antenna device and method for manufacturing antenna device

Also Published As

Publication number Publication date
US20200295453A1 (en) 2020-09-17
US11502402B2 (en) 2022-11-15
CN111696931A (zh) 2020-09-22
KR20200110607A (ko) 2020-09-24

Similar Documents

Publication Publication Date Title
KR102224383B1 (ko) 안테나 캐비티 및 하이-k 유전체를 갖는 절연성 기판을 구비하는 통합 패치 안테나
US10763155B2 (en) Semiconductor constructions comprising dielectric material, and methods of forming dielectric fill within openings extending into semiconductor constructions
US10916499B2 (en) Vias and gaps in semiconductor interconnects
US7977237B2 (en) Fabricating vias of different size of a semiconductor device by splitting the via patterning process
US20120244710A1 (en) Shrinkage of Critical Dimensions in a Semiconductor Device by Selective Growth of a Mask Material
US11769691B2 (en) Semiconductor device and formation method thereof
US20220051896A1 (en) Selective metal removal for conductive interconnects in integrated circuitry
TW202109618A (zh) 圖案化半導體裝置的方法
US20220368012A1 (en) Integrated patch antenna having an insulating substrate with an antenna cavity and a high-k dielectric
US20130034962A1 (en) Method for Reducing a Minimum Line Width in a Spacer-Defined Double Patterning Process
KR20120054348A (ko) 반도체 소자의 미세 패턴 형성 방법
US11367682B2 (en) Vias and gaps in semiconductor interconnects
US20120225560A1 (en) Manufacturing method of integrated circuits based on formation of lines and trenches
CN106158731B (zh) 互连结构的形成方法
US10998192B2 (en) Sequential infiltration synthesis extreme ultraviolet single expose patterning
CN108335970B (zh) 形成图案的方法、精细图案层以及半导体装置
US6677240B1 (en) Method for patterning dense and isolated features on semiconductor devices
US20230395503A1 (en) Method of making integrated circuit with backside interconnections
CN103197513A (zh) 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
US11515159B2 (en) Forming contact holes using litho-etch-litho-etch approach
KR20020060334A (ko) 균일성을 갖는 웨이퍼의 제조 방법
KR20070063148A (ko) 반도체 소자의 제조 방법
CN112687528A (zh) 半导体结构及其形成方法
CN117133524A (zh) 片上电感器结构及其制作方法
KR20090120959A (ko) 반도체 소자의 미세 컨택홀 패턴 형성 방법

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant