KR102163086B1 - 비등가 길이 포어암들을 가진 다-축 로봇 장치, 전자 디바이스 제조 시스템들, 및 전자 디바이스 제조시 기판들을 운반하기 위한 방법들 - Google Patents

비등가 길이 포어암들을 가진 다-축 로봇 장치, 전자 디바이스 제조 시스템들, 및 전자 디바이스 제조시 기판들을 운반하기 위한 방법들 Download PDF

Info

Publication number
KR102163086B1
KR102163086B1 KR1020157016956A KR20157016956A KR102163086B1 KR 102163086 B1 KR102163086 B1 KR 102163086B1 KR 1020157016956 A KR1020157016956 A KR 1020157016956A KR 20157016956 A KR20157016956 A KR 20157016956A KR 102163086 B1 KR102163086 B1 KR 102163086B1
Authority
KR
South Korea
Prior art keywords
forearm
boom
wrist
center
fore arm
Prior art date
Application number
KR1020157016956A
Other languages
English (en)
Other versions
KR20150092196A (ko
Inventor
제프리 씨. 허진스
이즈야 크레머맨
제프리 에이. 브로딘
데이먼 키이스 콕스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150092196A publication Critical patent/KR20150092196A/ko
Application granted granted Critical
Publication of KR102163086B1 publication Critical patent/KR102163086B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02KDYNAMO-ELECTRIC MACHINES
    • H02K5/00Casings; Enclosures; Supports
    • H02K5/04Casings or enclosures characterised by the shape, form or construction thereof
    • H02K5/12Casings or enclosures characterised by the shape, form or construction thereof specially adapted for operating in liquid or gas
    • H02K5/128Casings or enclosures characterised by the shape, form or construction thereof specially adapted for operating in liquid or gas using air-gap sleeves or air-gap discs
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/12Programme-controlled manipulators characterised by positioning means for manipulator elements electric
    • B25J9/126Rotary actuators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/07Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for semiconductor wafers Not used, see H01L21/677
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02KDYNAMO-ELECTRIC MACHINES
    • H02K16/00Machines with more than one rotor or stator
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02KDYNAMO-ELECTRIC MACHINES
    • H02K2213/00Specific aspects, not otherwise provided for and not covered by codes H02K2201/00 - H02K2211/00
    • H02K2213/12Machines characterised by the modularity of some components
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S901/00Robots
    • Y10S901/19Drive system for arm
    • Y10S901/23Electric motor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49009Dynamoelectric machine
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T74/00Machine element or mechanism
    • Y10T74/20Control lever and linkage systems
    • Y10T74/20207Multiple controlling elements for single controlled element
    • Y10T74/20305Robotic arm
    • Y10T74/20317Robotic arm including electric motor

Abstract

실시예들은 붐, 붐에 회전식으로 커플링되는 제 1 및 제 2 포어암들, 제 1 포어암보다 짧은 제 2 포어암, 제 1 포어암에 회전식으로 커플링되는 제 1 리스트 부재, 및 제 2 포어암에 회전식으로 커플링되는 제 2 리스트 부재를 포함하는, 기판 운반 시스템들을 위한 다중-암 로봇들을 포함한다. 붐, 제 1 및 제 2 포어암들, 및 제 1 및 제 2 리스트 부재들의 각각은 기판 모션 프로파일들을 수행하도록 독립적으로 회전되도록 구성된다. 전자 디바이스 프로세싱 시스템들 및 기판들을 운반하는 방법들이, 다수의 다른 양태들과 같이 설명된다.

Description

비등가 길이 포어암들을 가진 다-축 로봇 장치, 전자 디바이스 제조 시스템들, 및 전자 디바이스 제조시 기판들을 운반하기 위한 방법들{MULTI-AXIS ROBOT APPARATUS WITH UNEQUAL LENGTH FOREARMS, ELECTRONIC DEVICE MANUFACTURING SYSTEMS, AND METHODS FOR TRANSPORTING SUBSTRATES IN ELECTRONIC DEVICE MANUFACTURING}
[0001] 본 출원은 2012년 11월 30일 출원되고 발명의 명칭이 "MULTI-AXIS ROBOT APPARATUS WITH UNEQUAL LENGTH FOREARMS, ELECTRONIC DEVICE MANUFACTURING SYSTEMS, AND METHODS FOR TRANSPORTING SUBSTRATES IN ELECTRONIC DEVICE MANUFACTURING"인 미국 가 출원 제 61/732,196 호에 대한 우선권을 주장하고, 상기 미국 가 출원은 이로써 모든 목적들을 위하여 본원에 포함된다.
[0002] 본 출원은 또한 2013년 11월 26일자로 출원되고 발명의 명칭이 "MOTOR MODULES, MULTI-AXIS MOTOR DRIVE ASSEMBLIES, MULTI-AXIS ROBOT APPARATUS, AND ELECTRONIC DEVICE MANUFACTURING SYSTEMS AND METHODS"인 미국 특허 출원 번호 제14/090,929호(대리인 사건 번호 21509/FEG/SYNX/PJT)에 관련되고, 이 미국 특허 출원은 이로써 모든 목적들을 위하여 본원에 포함된다.
[0003] 본 발명은 전자 디바이스 제조에 관한 것이고, 보다 구체적으로 기판들을 운반하기 위한 장치, 시스템들, 및 방법들에 관한 것이다.
[0004] 종래의 전자 디바이스 제조 시스템들은 다수의 프로세스 챔버들 및 하나 또는 그 초과의 로드 록(load lock) 챔버들을 포함할 수 있다. 그런 챔버들은 예를 들어, 복수의 챔버들이 이송 챔버를 중심으로 분배될 수 있는 클러스터 툴(cluster tool)들에 포함될 수 있다. 이들 시스템들 및 툴들은 예를 들어 이송 챔버 내에 하우징될 수 있고, 다양한 챔버들과 하나 또는 그 초과의 로드 록 챔버들 사이에서 기판들을 운반하도록 적응된 이송 로봇을 이용할 수 있다. 예를 들어, 이송 로봇은 프로세스 챔버로부터 프로세스 챔버로, 로드 록 챔버로부터 프로세스 챔버로, 그리고 그 반대로 기판들을 운반할 수 있다. 다양한 챔버들 사이에서의 기판들의 빠르고 정확한 운반은 효율적인 시스템 처리량을 제공할 수 있으며, 그에 따라 전체 동작 비용들을 낮춘다.
[0005] 따라서, 기판들의 효율적이고 정확한 움직임을 위한 시스템들, 장치, 및 방법들이 요구된다.
[0006] 일 양태에서, 다축 로봇이 제공된다. 다축 로봇은, 제 1 회전 축을 중심으로 회전하도록 적응된 붐; 붐의 선외 단부에서 붐에 회전식으로 커플링되며 제 2 회전 축을 중심으로 독립적으로 회전되도록 구성되는 제 1 포어암; 붐의 상기 선외 단부에서 붐에 회전식으로 커플링되고 제 2 회전 축을 중심으로 독립적으로 회전되도록 구성되며, 제 1 포어암보다 짧은 제 2 포어암; 제 1 포어암의 제 1 외측 위치에서 제 1 포어암에 회전식으로 커플링되며 제 3 축을 중심으로 제 1 포어암에 대해 독립적으로 회전되도록 구성되는 제 1 리스트 부재; 및 제 2 외측 위치에서 제 2 포어암에 회전식으로 커플링되며 제 4 축을 중심으로 제 2 포어암에 대해 독립적으로 회전되도록 구성되는 제 2 리스트 부재;를 포함한다.
[0007] 다른 양태에서, 전자 디바이스 프로세싱 시스템이 제공된다. 전자 디바이스 프로세싱 시스템은, 이송 챔버; 이송 챔버에 적어도 부분적으로 수용되는 다중-링크 로봇 장치 ― 다중-링크 로봇 장치는, 제 1 회전 축을 중심으로 회전하도록 적응된 붐; 붐의 선외 단부에서 붐에 회전식으로 커플링되며 독립적으로 회전되도록 구성되는 제 1 포어암; 붐의 선외 단부에서 붐에 회전식으로 커플링되고 독립적으로 회전되도록 구성되며, 제 1 포어암보다 짧은 제 2 포어암; 제 1 포어암에 회전식으로 커플링되며 제 1 포어암에 대해 독립적으로 회전되도록 구성되는 제 1 리스트 부재; 및 제 2 포어암에 회전식으로 커플링되며 제 2 포어암에 대해 독립적으로 회전되도록 구성되는 제 2 리스트 부재;를 갖는다.
[0008] 다른 양태에서, 전자 디바이스 프로세싱 시스템 내에서 기판들을 운반하는 방법이 제공된다. 이 방법은, 제 1 회전 축을 중심으로 회전하도록 적응된 붐을 제공하는 단계; 붐의 선외 단부에서 붐에 회전식으로 커플링되는 제 1 포어암을 제공하는 단계; 붐의 선외 단부에서 붐에 회전식으로 커플링되며 제 1 포어암보다 짧은 제 2 포어암을 제공하는 단계; 제 1 포어암의 외측 위치에서 제 1 포어암에 회전식으로 커플링되는 제 1 리스트 부재를 제공하는 단계; 제 2 포어암의 외측 위치에서 제 2 포어암에 회전식으로 커플링되는 제 2 리스트 부재를 제공하는 단계; 및 챔버로부터 챔버로 기판들을 운반하기 위해 제 1 포어암, 제 2 포어암, 제 1 리스트 부재, 및 제 2 리스트 부재를 독립적으로 회전시키고, 운반 중에 제 1 포어암보다 위에서(overtop) 제 2 포어암을 이동시키는 단계;를 포함한다.
[0009] 본 발명의 이러한 그리고 다른 실시예들에 따른 다수의 다른 양태들이 제공된다. 본 발명의 실시예들의 다른 특징들 및 양태들은 하기의 상세한 설명, 첨부되는 청구항들, 및 첨부 도면들로부터 보다 충분히 명백해질 것이다.
[00010] 도 1a는 일부 실시예들에 따른, 다축(multi-axis) 로봇 장치를 포함하는 전자 디바이스 프로세싱 시스템의 개략적 평면도를 예시한다.
[00011] 도 1b는 일부 실시예들에 따른 다축 로봇 장치의 측면도를 예시한다.
[00012] 도 1c는 일부 실시예들에 따른 다축 로봇 장치의 평면도를 예시한다.
[00013] 도 1d는 일부 실시예들에 따른 다축 로봇 장치의 측단면도를 예시한다.
[00014] 도 1e는 일부 실시예들에 따른, 다축 로봇 장치의 구동 어셈블리의 측단면도를 예시한다.
[00015] 도 2a는 일부 실시예들에 따른, 6-면(six-faceted) 메인프레임 하우징에 설치된 다축 로봇 장치의 부분적인 평단면도를 예시한다.
[00016] 도 2b는 일부 실시예들에 따른, 8-면 메인프레임 하우징에 설치된 다축 로봇 장치의 부분적인 평단면도를 예시한다.
[00017] 도 3a 및 도 3b는 일부 실시예들에 따른, 상이한 구성들로 제공된 비등가 길이 포어암들(unequal length forearms)을 포함하는 다축 로봇 장치의 등각도를 예시한다.
[00018] 도 3c는 일부 실시예들에 따른, 비등가 길이 포어암들을 포함하는 다축 로봇 장치의 등각도를 예시한다.
[00019] 도 4는 일부 실시예들에 따른 또 다른 다축 로봇 장치의 등각도를 예시한다.
[00020] 도 5는 일부 실시예들에 따른, 축방향-오프셋(axially-offset) 챔버들을 갖는 메인프레임 하우징을 서비스하는 다축 로봇 장치의 평단면도를 예시한다.
[00021] 도 6은 일부 실시예들에 따른 전자 디바이스 프로세싱 시스템내에서 기판들을 운반하는 방법을 도시하는 흐름도이다.
[00022] 도 7은 일부 실시예들에 따른 또 다른 다축 로봇 장치의 측단면도를 예시한다.
[00023] 도 8은 일부 실시예들에 따른 다축 로봇 장치의 다른 구동 어셈블리의 측단면도를 예시한다.
[00024] 전자 디바이스 제조는 다양한 위치들 사이에서 기판들의 매우 정확하고 신속한 운반을 필요로 할 수 있다. 특히, 일부 실시예들에 있어서, 듀얼 엔드 이펙터들(때때로 "블레이드들"로 지칭됨)은 로봇 장치에 부착될 수 있고, 그 엔드 이펙터들에 놓인 기판들을 전자 디바이스 프로세싱 시스템의 챔버들로 및 챔버들로부터 운반하도록 적응될 수 있다. 그러한 시스템들은 오버/언더 구성을 갖는 엔드 이펙터들을 구비한, 운반 챔버에 배열되는 다축 로봇들을 포함할 수 있다. 이는 제 1 기판으로 하여금 챔버로부터 추출되고 그리고 나서 동일한 챔버에서 제 2 웨이퍼로 즉시 대체되도록 허용한다. 목적은 가능한 신속하게 이러한 이송을 달성하는데 있다. 그러나, 기존의 다축 로봇들은 실질적인 다른 로봇 이동들이 없이는 이송을 수행할 수 없을 수 있다. 이러한 추가적인 이동들은, 가능한 전체 이송 속도를 증가시킬 수 있다. 게다가, 기존의 로봇들은 그 로봇들이 그러한 챔버들에 접근할 수 있는 방식에 있어 제한될 수 있다. 예를 들어, 일부 기존의 로봇들은 단지 방사상 방향으로 패싯(facet)들에 진입할 수 있고, 여기서 방사상 방향은 이송 챔버의 중심(예를 들어, 그리고 SCARA(selective compliance assembly robot arm) 로봇의 어깨 축)과 정렬된다. 그러나, 이용가능한 프로세스 챔버들의 수를 증가시키기 위해서, 8-면(eight-faceted) 시스템들이 사용될 수 있다. 예를 들어, 6개의 프로세스 챔버들 및 2개의 로드 록들이 이송 챔버를 중심으로 해서 배열될 수 있다. 그러나, 다양한 패싯들로의 입구(entry)는 이송 챔버의 중심으로부터 탈축으로(off axis) 위치될 수 있다. 즉, 챔버 내로의 엔드 이펙터의 이동 방향을 따르는 벡터는 이송 챔버의 중심으로부터 오프셋된다. 따라서, 종래의 로봇들은 사용을 위해 적절하지 않을 수 있다.
[00025] 따라서, 하나 또는 그 초과의 실시예들에서, 전자 디바이스 제조에 있어서, 챔버들로 그리고 챔버들로부터 기판들을 운반하기 위해 사용될 수 있는 다축 로봇 장치가 제공될 수 있다.
[00026] 본 발명의 하나 또는 그 초과의 실시예들에 따라, 다축 로봇 장치는 선외 단부에 부착된 제 1 및 제 2 포어암들을 갖는 붐을 포함한다. 각각의 포어암은 그 외측 위치들에서 자신에 커플링된 리스트 부재를 갖는다. 리스트 부재들은 이들에 커플링되거나 이들과 일체로 형성된 엔드 이펙터들을 갖는다. 교체될 기판들은, 사용 중일 때, 엔드 이펙터들 중 하나 또는 둘 모두에 안착될 수 있다. 일부 실시예들에 따르면, 포어암들 및 리스트 부재들의 각각은 독립적으로 제어될 수 있어서, 기판들이 교체될 때 달성할 수 있는, 가능한 모션 경로들에 대한 상당한 정도의 유연성을 허용한다. 더욱이, 각 엔드 이펙터에 대해 단지 포어암 및 리스트 부재만을 사용하는 것은 컴포넌트들 및 조인트들의 개수를 감소시키고, 그에 따라 강성을 증가시킬 수 있다.
[00027] 다른 광범위한 양태에서, 포어암들은 비등가 길이들을 가질 수 있다. 특히, 이 길이들은, 더 짧은 포어암이 더 긴 포어암을 자신의 리스트 부재에 커넥팅하는 리스트 조인트 옆을 지날 수 있도록 하는 길이이다. 이런 차등적인(differential) 길이는 로봇의 향상된 모션 경로 성능, 및 그에 따라 향상된 기판 교환 능력을 허용한다. (1) 제 1 및 제 2 포어암들의 독립적인 모션 성능과 (2) 비등가 길이의 포어암들을 갖는 제 1 및 제 2 리스트 부재들의 결합은 함께, 대단히 유연한 모션 경로 성능을 제공한다. 특히, 탈축 챔버들을 서비스하는 능력이 제공된다.
[00028] 본 발명의 하나 또는 그 초과의 실시예들에 따라, 다축 로봇 장치를 포함하는 전자 디바이스의 프로세싱 시스템들이 제공된다. 본 발명의 하나 또는 그 초과의 추가적인 실시예들에 따라, 전자 디바이스 프로세싱 시스템을 이용하여 기판들을 이송하는 방법이 제공된다. 본 발명의 예시적인 실시예들의 추가 세부사항들이 본 명세서의 도 1a-8을 참조로 하여 설명된다.
[00029] 도 1a는 본 발명의 실시예들에 따른 전자 디바이스 프로세싱 시스템(100)의 예시적인 실시예의 개략도이다. 전자 디바이스 프로세싱 시스템(100)은, 이송 챔버(102)를 정의하는 벽들을 갖는 하우징(101)을 포함할 수 있다. 본 발명의 다른 실시예에 따르면, 다축 로봇 장치(103)가 이송 챔버(102) 내에 적어도 부분적으로 하우징될 수 있다. 다축 로봇의 부가적인 도면(view)들이 도 1b-1d에 도시된다. 다축 로봇 장치(103)는, 다축 로봇 장치(103)의 동작을 통해, 목적지들로 그리고 그러한 목적지들로부터 기판들(예를 들어, 기판들(105a, 105b))을 배치하거나 꺼내도록(extract) 적응될 수 있으며, 본원에서는 이에 대해서 하기에서 충분히 설명한다.
[00030] 목적지들은, 이송 챔버(102)에 커플링된 다양한 프로세스 챔버들(예를 들어, 프로세스 챔버들(106A, 106B, 106C, 106D, 106E, 106F))일 수 있다. 선택적으로, 목적지들은, 이송 챔버(102)에 커플링될 수 있는 하나 또는 그 초과의 로드 록 챔버들(108)일 수 있다. 프로세스 챔버들(106A-106F)은, 임의의 개수의 프로세스 단계들, 이를 테면, 증착, 산화, 질화, 에칭, 폴리싱, 세정, 리소그래피 등을 수행하도록 적응될 수 있다. 다른 프로세스들이 또한, 이러한 프로세스 챔버들 내에서 수행될 수 있다. 로드 록 챔버들(108)은 팩토리 인터페이스(factory interface; 110)와 인터페이싱하도록 적응될 수 있으며, 그러한 팩토리 인터페이스(110)는 팩토리 인터페이스(110)의 로드 포트들에 도킹된(docked) 기판 캐리어들(112)로부터 하나 또는 그 초과의 기판들을 수용할 수 있다. 기판들은, 팩토리 인터페이스(110) 내의 (점선으로 도시된) 로봇(113)에 의해 이송될 수 있으며, 화살표들(114)에 의해 나타낸 바와 같이, 임의의 순서 또는 방향으로 이송이 일어날 수 있다. 본원에서 사용되는 바와 같은 기판들은, 전자 디바이스들 또는 회로 컴포넌트들을 제조하는 데에 이용되는 물품들(articles), 이를 테면, 실리카-함유 웨이퍼들, 유리 플레이트들, 유리 패널들(glass panels), 마스크들 등을 의미할 것이다.
[0031] 트윈 챔버들(예를 들어, 평행한 패싯들을 갖는 나란한 챔버들)의 구성들이 도 1a에 도시되며, 로봇 장치(103)는 이송 챔버(102)의 중심으로부터 오프셋되고, 이송 챔버(102)의 중심으로부터 방사상으로 연장하는 라인으로부터 각을 이루어 오프셋되며, 그리고/또는 로봇(103)의 어깨 축으로부터 오프셋된 입구 방향들을 갖는 이러한 챔버들을 서비스하는데 특히 능숙하다. 예를 들어, 벡터(107)는 프로세스 챔버(106A)로의 (예를 들어, 패싯에 수직인) 정상 입구 방향을 나타내고, 그 벡터(107)는 이송 챔버(102)의 중심, 그리고 또한 이 실시예에서는 로봇 장치의 어깨 축으로부터 거리(d)만큼 측면으로 오프셋된다. 그러나 본 명세서에서 설명되는 다축 로봇(103)은 도 2a의 4개의 프로세스 챔버들과 2개의 로드 록 챔버들을 갖는 전자 디바이스 프로세싱 시스템(200A), 및 도 2b에 도시된 바와 같이 6개의 프로세스 챔버들과 2개의 로드 록 챔버들을 갖는 도 2b의 전자 디바이스 프로세싱 시스템(200B)의 메인프레임 구성과 같은 서로 다른 메인프레임 구성들을 갖는 다른 툴들에서 기판들을 이송하는데 사용하기 위한 유틸리티를 갖는다고 인식되어야 한다. 이러한 2개의 실시예들 각각에서는, 임의의 패싯에 대해 수직인 벡터가 로봇의 어깨 축과 이송 챔버의 중심을 통과하여 지향된다는 점에서, 패싯들이 방사상으로 배향된다. 이러한 실시예들에서의 로봇(103)의 사용은 많은 수의 프리포지셔닝(prepositioning) 움직임들이 이루어지게 한다. 이는 하나의 챔버로부터 제거된 제 1 엔드 이펙터 상의 제 1 기판을, 이 제 1 기판에 의해 비워진 챔버에 제 2 엔드 이펙터 상에 위치된 제 2 기판이 놓일 때(예를 들어, 배치될 때) 다른 챔버 옆에 프리포지셔닝되게 한다. 이러한 프리포지셔닝 움직임들은 스루풋(throughput)을 증가시킨다.
[0032] 다시 도 1a를 참조하면, 일부 실시예들에서 이송 챔버(102)는 예를 들어, 진공 하에 작동될 수 있다. 하나 또는 그 초과의 로드 록 챔버들(108) 및 프로세스 챔버들(106A-106F) 각각은 이들의 입구/출구에 슬릿 밸브들(109)을 포함할 수 있는데, 이러한 슬릿 밸브들(109)은 프로세스 챔버들(106A-106F) 및/또는 하나 또는 그 초과의 로드 록 챔버들(108)로 기판들(105A-105B)을 배치하거나 프로세스 챔버들(106A-106F) 및/또는 하나 또는 그 초과의 로드 록 챔버들(108)로부터 기판들(105A-105B)을 꺼낼 때 열리고 닫히도록 적응될 수 있다. 슬릿 밸브들(109)은 임의의 적절한 종래 구성일 수 있다.
[00033] 다축 로봇 장치(103)의 다양한 컴포넌트들의 모션은, 제어기(115)로부터, 다축 로봇 장치(103)의 복수의 구동 모터들을 포함하는 구동 어셈블리(111)로의 적절한 커맨드들에 의해 제어될 수 있다. 제어기(115)로부터의 신호들은, 다음 내용으로부터 명백해지는 것과 같이, 다축 로봇 장치(103)의 다양한 컴포넌트들의 모션을 일으킬 수 있다. 위치 인코더들 등과 같은 다양한 센서들에 의해 각각의 컴포넌트에 대한 적절한 피드백이 제공될 수 있다.
[00034] 이제, 도 1a-1d를 참조하면, 다축 로봇 장치(103)는 제 1 축(116)을 중심으로 회전가능한 붐(104)을 포함한다. 다축 로봇 장치(103)는, 하우징(101)의 벽(예를 들어, 바닥)에 부착되도록 적응된 베이스(117)를 포함할 수 있다. 그러나, 다축 로봇 장치(103)는 일부 실시예들에서는 하우징(101)의 천장에 부착될 수 있다. 따라서, 다축 로봇 장치(103)는 하우징(101)에 의해 적어도 부분적으로 지지될 수 있다. 다축 로봇 장치(103)는 또한, 설명될 다양한 암들 및 붐(104)을 구동시키도록 구성되고 적응되는 구동 어셈블리(111)를 포함한다. 붐(104)은, 시계방향 또는 반시계방향의 회전 방향으로 제 1 회전 축(116)을 중심으로 회전되도록 적응될 수 있다. 회전은, 본 명세서의 아래에서 더 설명될 종래의 가변 리럭턴스 또는 영구 자석 전기 모터와 같은 임의의 적절한 구동 모터에 의해 제공될 수 있다. 붐(104)의 회전은, 제어기(115)로부터 구동 모터로의 적절한 커맨드들에 의해 제어될 수 있다. 붐(104)은 제 1 회전 축(116)을 중심으로 베이스(117)에 대해 X-Y 평면에서 회전되도록 적응된다.
[00035] 도시된 실시예에서, 로봇 장치(103)는 제 1 포어암(118) 및 제 2 포어암(120)을 포함하고, 이들은, 제 1 회전 축(116)으로부터 이격된 붐(104)의 방사상 선외 단부에서 붐(104)에 커플링될 수 있다. 도시된 실시예에서, 제 1 및 제 2 포어암들(118, 120)은 각각 동일한 선외 위치에서 붐(104)의 제 1 선외 단부에 장착되고, 제 2 회전 축(122)을 중심으로 공통으로 회전가능하다. 도시된 바와 같이, 제 2 포어암(120)은 제 1 포어암(118)보다 짧다. 상기 제 2 포어암(120)은 상기 제 1 포어암(118) 보다 높은 레벨에 위치될 수 있다. 제 1 및 제 2 포어암들(118, 120)의 각각은 붐(104)에 대해 독립적으로 회전가능하다. 회전은, 약 +/- 150도일 수 있다. 도시된 실시예에서, 제 2 회전 축(122)은, 약 348 cm 내지 약 522 cm(도 1d 참조)의 거리 d1만큼 제 1 회전 축(116)으로부터 이격될 수 있다. d1은 붐(104)의 중심-대-중심 길이이다.
[00036] 또한, 제 1 리스트 부재(124)는 제 1 포어암(118) 상의 제 1 외측 위치에 커플링될 수 있고, 제 1 리스트 축(126)을 중심으로 제 1 포어암(118)에 대해 독립적으로 회전 가능하다. 제 1 리스트 축(126)은 약 670 cm 내지 약 1004 cm의 거리(d2)만큼 제 2 회전 축(122)으로부터 이격될 수 있다(도 1d 참조). d2는 제 1 포어암(118)의 제 1 중심-대-중심 길이이다. 제 1 리스트 부재(124)는 그에 커플링된 제 1 엔드 이펙터(128)를 가질 수 있다. 제 1 엔드 이펙터(128)는 기판 프로세싱 시스템(100) 내에서 프로세싱될 기판(105A)을 운반하도록 적응된다. 회전은 약 +/- 150도일 수 있다.
[00037] 제 2 리스트 부재(130)는 제 2 포어암(120) 상의 제 2 외측 위치에 커플링될 수 있고, 제 2 리스트 축(132)을 중심으로 회전 가능하다. 제 2 리스트 축(132)은 약 514 cm 내지 약 772 cm의 거리(d3)만큼 제 2 회전 축(122)으로부터 이격될 수 있다(도 1d 참조). d3은 제 2 포어암(120)의 제 2 중심-대-중심 길이이다. 제 2 중심-대-중심 길이(d3)는 제 1 중심-대-중심 길이(d2)의 90 % 미만이고, 일부 실시예들에서 제 1 중심-대-중심 길이(d2)의 약 50 % 내지 약 90 %일 수 있다. 일부 실시예들에서, 붐(104)의 중심-대-중심 길이(d1)는 제 1 포어암(118)의 제 1 중심-대-중심 길이(d2)보다 더 짧다. 일부 실시예들에서, 붐(104)의 중심-대-중심 길이(d1)는 제 2 포어암(120)의 제 2 중심-대-중심 길이(d3)보다 더 짧다.
[00038] 제 2 리스트 부재(130)는 그에 커플링된 제 2 엔드 이펙터(134)를 가질 수 있다. 제 2 엔드 이펙터(134)는 기판 프로세싱 시스템(100) 내에서 프로세싱될 기판(105B)을 운반하도록 적응된다. 제 2 리스트 부재(130)는 제 2 포어암(120)에 대해 독립적으로 회전 가능하다. 회전은 약 +/- 150도일 수 있다. 도 1b에서 볼 수 있듯이, 제 2 포어암(120) 및 제 2 리스트 부재(130) 및 제 2 엔드 이펙터(128)는, 그들이 수직으로 이격되어 있도록 구성된다. 특히, 리스트 스페이서(135)가 제 1 리스트 부재(124)로부터 수직으로 제 1 포어암(118)을 이격시키고, 제 2 포어암(120)의 더 짧은 길이는 함께, 제 2 포어암(120) 및 제 2 리스트 부재(130) 및 부착된 제 2 엔드 이펙터(134)가, 리스트 조인트와의 간섭 없이 제 1 포어암(118)과 제 1 리스트 부재(124) 사이에서 리스트 스페이서(135)를 지나서 움직이도록 허용한다.
[00039] 이러한 특징과 붐(104), 제 1 및 제 2 포어암들(118, 120) 각각 그리고 제 1 및 제 2 리스트 부재들(124, 130) 각각의 독립적 회전 성능의 결합(coupling)은 기판들(105A, 105B)의 임의의 원하는 모션 경로를 수행하는데 있어 극도의 유연성을 제공한다.
[00040] 도 1a의 도시된 실시예에서, 로봇 장치(103)는 이송 챔버(102) 내에 위치되고 하우징되는 것으로 도시된다. 그러나 로봇 장치(103)는 물론, 본 명세서에서 설명되는 다른 로봇 장치의 이러한 실시예는, 로봇 장치가 예를 들어, 프로세싱 시스템(100)의 하나 또는 그 초과의 로드 록 챔버들(108)과 로드 포트들 간에 기판들 또는 심지어 기판 캐리어들(112)을 운반할 수 있는 팩토리 인터페이스(110)에서와 같은 다른 전자 디바이스 제조 영역들에서 이용될 수 있다는 것이 인지되어야 한다.
[00041] 붐(104), 제 1 및 제 2 포어암들(118, 120) 및 제 1 및 제 2 리스트 부재들(124, 130) 각각의 독립적 회전을 달성하기 위한 구동 어셈블리(111)가 이제 상세히 설명될 것이다. 구동 어셈블리(111)는 도 1e에서 가장 잘 도시되는 바와 같이, 다양한 구동 모터 컴포넌트들을 포함하도록 적응되는 모터 하우징(136)을 포함한다. 먼저, 구동 어셈블리(111)는 제 1 회전 축(116)을 중심으로 붐(104)을 독립적으로 회전시키도록 적응되는 구동 컴포넌트들을 포함할 수 있다. 회전은 약 +/- 360도 또는 그 초과일 수 있다. 제 1 구동 샤프트(138)가 붐(104)으로부터 연장할 수 있고 적절한 베어링들에 의해 지지될 수 있다. 제 1 구동 샤프트(138)는 제 1 구동 모터(140)에 의해 회전되도록 적응된다. 제 1 구동 모터(140)는 예를 들어, 제 1 로터 및 제 1 스테이터를 포함하는 전기 모터일 수 있다. 제 1 로터는 자석일 수 있고, 제 1 구동 샤프트(138)에 커플링될 수 있다. 제 1 스테이터는 상부 벌크헤드(142) 및 하부 벌크헤드(143) 사이에 고정되거나 지지될 수 있다. 붐(104)을 원하는 대로 위치시키기 위해 적절한 종래의 회전 인코더들(도시되지 않음)이 이용될 수 있다.
[00042] 또한, 구동 어셈블리(111)는 붐(104)의 선외 단부에 위치된 제 2 회전 축(122)을 중심으로 제 1 포어암(118)을 독립적으로 회전시키도록 적응된 구동 컴포넌트들을 포함할 수 있다. 회전은 일부 실시형태들에서 약 +/-150도일 수 있다. 구동 컴포넌트들은 제 2 구동 샤프트(144) 및 제 2 구동 모터(146)를 포함할 수 있다. 제 2 구동 모터(146)의 회전은 제 2 구동 샤프트(144)의 회전을 발생시키고 제 2 회전축(122)을 중심으로, 커플링된 제 1 포어암(118)을 구동시킨다. 제 2 구동 모터(146)는 제 2 로터 및 제 2 스테이터를 포함한 전기 모터일 수 있다. 제 2 구동 샤프트(144)는 본원에 설명된 붐 구동 시스템(148)으로부터 연장될 수 있고(도 1d), 적절한 베어링들에 의해 지지될 수 있다. 제 2 구동 모터(146)를 제어기(115)로부터의 구동 신호들을 통해 구동시키는 것은 제 1 포어암(118)을 붐(104)에 대하여 독립적으로 회전시킨다. 적절한 종래의 회전 인코더들(미도시)이 원하는 바에 따라 제 1 포어 암(118)을 붐(104)에 대하여 위치시키기 위해 사용될 수 있다. 제 2 스테이터는 하부 벌크헤드(143)에 고정되거나, 또는 하부 벌크헤드(143)에 의해 지지될 수 있다. 상부 및 하부 벌크헤드들(142, 143)은 모터 하우징(136)에 고정되거나, 또는 모터 하우징(136)의 일부일 수 있다.
[00043] 구동 어셈블리(111)는 또한, 제 1 포어암(118) 상의 외측 위치에 위치된 제 1 리스트 축(126)을 중심으로 제 1 리스트 부재(124)를 독립적으로 회전시키도록 적응된 구동 컴포넌트들을 포함할 수 있다. 회전은 일부 실시예들에서 약 +/-150도일 수 있다. 구동 컴포넌트들은 제 3 구동 샤프트(150) 및 제 3 구동 모터(152)를 포함할 수 있다. 제 3 구동 모터(152)의 회전은 제 3 구동 샤프트(150)의 회전을 발생시키고 제 1 리스트 축(126)을 중심으로, 커플링된 제 1 리스트 부재(124)를 구동시킨다. 제 3 구동 모터(152)는 제 3 로터와 제 3 스테이터를 포함한 전기 모터일 수 있다. 제 3 구동 샤프트(150)는 붐 구동 시스템(148)으로부터 연장될 수 있고(도 1d), 적절한 베어링들에 의해 지지될 수 있다. 제 3 구동 모터(152)는 제어기(115)로부터의 구동 신호들을 통해 구동되어, 제 1 리스트 부재(124)를 제 1 포어암(118)에 대한 제 1 리스트 축(126)을 중심으로 독립적으로 회전시킬 수 있다. 원하는 바에 따라 제 1 리스트 부재(124)를 제 1 포어 암(118))에 대하여 위치시키기 위해 적절한 종래의 회전 인코더들(미도시)이 사용될 수 있다. 제 3 스테이터는 하부 벌크헤드(143)에 고정되거나, 또는 하부 벌크헤드(143)에 의해 지지될 수 있다.
[0044] 또한, 구동 어셈블리(111)는, 붐(104)의 선외 단부에 위치된 제 2 회전축(122)을 중심으로 제 2 포어암(120)을 독립적으로 회전시키도록 적응된 구동 컴포넌트들을 포함할 수 있다. 일부 실시예들에서, 회전은 약 +/-150도일 수 있다. 구동 컴포넌트들은, 제 4 구동 샤프트(158) 및 제 4 구동 모터(160)를 포함할 수 있다. 제 4 구동 모터(160)의 회전은, 제 2 회전축(122)을 중심으로, 제 4 구동 샤프트(158)의 회전을 야기하고, 커플링된 제 2 포어암(120)을 구동시킨다. 제 4 구동 모터(160)는, 제 4 로터 및 제 4 스테이터를 포함하는 전기 모터일 수 있다. 제 4 구동 샤프트(158)는, 붐 구동 시스템(148)(도 1d)으로부터 연장할 수 있으며, 적절한 베어링들에 의해 지지될 수도 있다. 제어기(115)로부터의 구동 신호들을 통해 제 4 구동 모터(160)를 구동시키는 것은, 붐(104)에 대한 제 2 회전축(122)을 중심으로 제 2 포어암(120)의 독립적인 회전을 일으킨다. 원하는 바에 따라 붐(104)에 대해 제 2 포어암(120)을 위치시키기 위해 적절한 종래의 회전 인코더들(미도시)이 사용될 수 있다. 제 4 스테이터는, 상부 벌크헤드(142)에 고정되거나 그에 의해 지지될 수 있다.
[0045] 구동 어셈블리(111)는 또한, 제 2 포어암(120) 상의 외측 위치에 위치된 제 2 리스트 축(132)을 중심으로 제 2 리스트 부재(130)를 독립적으로 회전시키도록 적응된 구동 컴포넌트들을 포함할 수 있다. 일부 실시예들에서, 회전은 약 +/-150도일 수 있다. 구동 컴포넌트들은, 제 5 구동 샤프트(154) 및 제 5 구동 모터(156)를 포함할 수 있다. 제 5 구동 모터(156)의 회전은, 제 5 구동 샤프트(154)의 회전을 야기하고, 제 2 리스트 축(132)을 중심으로, 커플링된 제 2 리스트 부재(130)를 구동시킨다. 제 5 구동 모터(156)는, 제 5 로터 및 제 5 스테이터를 포함하는 전기 모터일 수 있다. 제 5 구동 샤프트(154)는, 붐 구동 시스템(148)(도 1d)으로부터 연장할 수 있으며, 적절한 베어링들에 의해 지지될 수 있다. 제 5 구동 모터(156)는, 제 2 포어암(120)에 대한 제 2 리스트 부재(130)의 독립적인 회전을 야기하기 위하여 제어기(115)로부터의 구동 신호들을 통해 구동될 수 있다. 원하는 바에 따라 제 2 포어암(120)에 대해 제 2 리스트 부재(130)를 위치시키기 위해 적절한 종래의 회전 인코더들(미도시)이 사용될 수 있다. 제 5 스테이터는, 상부 벌크헤드(142)에 고정되거나 그에 의해 지지될 수도 있다.
[00046] 부가적으로, 구동 어셈블리(111)는 Z-축 모션 성능을 포함할 수 있다. 특히, 모터 하우징(136)은 모션 제한기(motion restrictor)(162)에 의해 외측 케이싱(161)에 대한 회전이 제한될 수 있다. 모션 제한기(162)는, (제 1 회전축(116)의 방향을 따라서) 외측 케이싱(161)에 대한 모터 하우징(136)의 회전을 제한하지만 모터 하우징(136)의 Z-축 모션을 여전히 허용하도록 기능하는, 둘 또는 그 초과의 선형 베어링들 또는 다른 베어링 또는 슬라이드 메커니즘들일 수 있다. 수직 모션은 수직 모터(163)에 의해 제공된다. 수직 모터(163)의 회전은, 모터 하우징(136)에 커플링된 또는 모터 하우징(136)과 통합된 리시버(163R)에서 리드 스크류(163S)를 회전시키도록 동작할 수 있다. 이는, 모터 하우징(136)을 수직으로 병진시키고, 그에 따라, 연결된 붐(104), 포어암들(118, 120), 리스트 부재들(124, 130), 엔드 이펙터들(128, 134)을 수직으로 병진시키며, 그리고 그에 따라, 기판들(105A, 105B)을 수직으로 병진시킨다. 적절한 시일(seal)(164)이 모터 하우징(136)과 베이스(117) 사이를 밀봉할 수 있고, 그렇게 함으로써 수직 모션을 수용하고, 챔버(102) 내의 진공을 유지한다. 시일(164)을 위해 금속 벨로우즈 또는 다른 유사한 가요성 시일이 사용될 수 있다. 구동 어셈블리(811)의 대안적 실시예가 도 8에서 도시된다.
[00047] 이제 도 1d를 참조하면, 예시적 붐 구동 시스템(148)이 상세히 설명될 것이다. 붐 구동 시스템(148)은, 위에서 설명된 다양한 구동 샤프트들을 제 1 포어암(118), 제 2 포어암(120), 제 1 리스트 부재(124), 및 제 2 리스트 부재(130)에 커플링시키도록 구성되고 적응되는, 풀리들 및 벨트들과 같은 구동 컴포넌트들을 포함할 수 있다. 구동 컴포넌트들은 제 2 구동 샤프트(144)에 커플링되는 제 1 포어암 구동 부재(165), 제 1 포어암(118)에 커플링되는 제 1 포어암 피구동 부재(168), 및 제 1 포어암 구동 부재(165)와 제 1 포어암 피구동 부재(168) 사이에 커플링된 제 1 포어암 전달 부재(170)를 포함할 수 있다. 그러므로, 제 2 구동 샤프트(144)의 회전은 제 1 포어암(118)을 회전시킨다. 제 1 포어암 구동 부재(165) 및 제 1 포어암 피구동 부재(168)의 각각은 베어링들에 의해 붐(104)의 강성 웨브 부분(171)에 장착될 수 있다.
[00048] 붐 구동 시스템(148)은 제 1 리스트 구동 부재(172) 및 제 1 리스트 피구동 부재(174)를 포함할 수 있다. 제 1 리스트 구동 부재(172)는 제 3 구동 샤프트(150)에 커플링되고, 제 1 리스트 피구동 부재(174)는 제 1 리스트 부재(124)에 커플링된다. 제 1 리스트 전달 부재(173)는 제 1 리스트 구동 부재(172)를 웹 부분(171) 상의 제 1 리스트 피구동 부재(174)에 커플링시킨다. 제 1 리스트 부재(124)에 커플링시키는 것은 제 1 포어암(118)을 통해 제 1 리스트 피구동 부재(174)를 제 1 리스트 부재(124)에 커플링시키는 제 1 중간 전달 부재(175)에 의해 제공된다. 제 1 리스트 전달 부재(175)는 리스트 스페이서(135) 아래의 제 1 리스트 부재(124)에 커플링될 수 있다. 제 1 리스트 부재(124)는 리스트 스페이서(135)에 장착되는 베어링들을 통해 제 1 리스트 축(126)을 중심으로 회전가능할 수 있다. 리스트 스페이서(135)는 제 2 엔드 이펙터(134) 상의 제 1 엔드 이펙터(128)를 적절히 이격시키도록 기능한다.
[00049] 도 1d를 다시 참조하면, 붐 구동 시스템(148)은 제 2 포어암(120)을 구동하도록 적응되는 풀리들 및 벨트들과 같은 구동 컴포넌트들을 포함할 수 있다. 구동 컴포넌트들은 제 4 구동 샤프트(158)에 커플링된 제 2 포어암 구동 부재(176), 제 2 포어암(120)에 커플링된 제 2 포어암 피구동 부재(178) 및 제 2 포어암 구동 부재(176)와 제 2 포어암 피구동 부재(178) 사이에 커플링된 제 2 포어암 전달 부재(180)를 포함할 수 있다. 따라서, 제 4 구동 샤프트(158)의 회전은 제 2 포어암(120)을 회전시킨다. 제 2 포어암 구동 부재(176) 및 제 2 포어암 피구동 부재(178) 각각은 붐(104)의 강성 웹 부분(171)에 베어링들에 의해 장착될 수 있다.
[00050] 붐 구동 시스템(148)은, 제 2 리스트 구동 부재(182) 및 제 2 리스트 피구동 부재(184)를 포함할 수 있다. 제 2 리스트 구동 부재(182)는 제 5 구동 샤프트(154)에 커플링되고, 제 2 리스트 피구동 부재(184)는 제 2 리스트 부재(130)에 커플링된다. 제 2 리스트 전달 부재(186)는, 웹 부분(171) 아래에서 제 2 리스트 피구동 부재(184)에 제 2 리스트 구동 부재(182)를 커플링시킨다. 제 2 리스트 부재(130)에 대한 커플링은, 제 2 포어암(120)을 통해 제 2 리스트 부재(130)에 제 2 리스트 피구동 부재(184)를 커플링시키는 제 2 중간 전달 부재(188)에 의해 제공된다. 제 2 리스트 부재(130)는, 제 2 포어암(120)의 외측 단부 위치에 장착된 베어링들을 통해 제 2 리스트 축(132)을 중심으로 회전가능할 수 있다. 붐 구동 시스템(703)의 대안적인 실시예는 도 7에서 도시된다.
[00051] 도 3a-3b는, 전자 디바이스 프로세싱 시스템(100) 내에서 사용하도록 적응될 수 있는, 실시예들에 따른 다른 로봇 장치(303)를 예시한다. 일 예시적인 로봇 장치(303)가 도 3a에서 도시되며, 도 3a에서, 로봇 장치(303)는, 제 2 기판(305B)을 운반하는 제 2 엔드 이펙터(334)가 다른 챔버 근처에 프리포지셔닝될 수 있으면서, 기판(305A)을 운반하는 제 1 엔드 이펙터(328)를 챔버(미도시) 내로 삽입시키도록 적응된 하나의 구성으로 도시된, 독립적으로 회전가능한 붐(304), 독립적으로 회전가능한 제 1 및 제 2 포어암들(318, 320), 및 독립적으로 회전가능한 제 1 및 제 2 리스트 부재들(324, 330)을 포함할 수 있다.
[00052] 도 3b는, 접힌 상태의 로봇 장치(303)를 예시한다. 접힌 상태에서, 시스템은, 동시에 운반되는 기판들(305A, 305B)이 서로의 바로 위에 놓이지 않거나 또는 서로의 바로 위를 통과하지 않도록 구성될 수 있다는 것을 주목한다. 즉, 하나의 기판(예를 들어, 기판(305A))이 다른 기판(예를 들어, 기판(305B)) 위에 있지 않도록(또는 위를 통과하지 않도록), 포어암들(318, 320), 리스트 부재들(324, 330), 및 엔드 이펙터들(328, 334)이 수직으로 정렬되는 경우에, 기판들의 중심들은 충분히 수평으로 오프셋될 수 있다. 이는, 하부 기판(305A)의 입자 오염을 감소시킬 수 있다. 그러나, 다른 구성들이 가능하다.
[00053] 도 3c는 폴딩된 상태의 로봇 장치(303)를 예시한다. 로봇 장치(303)는 외측 케이싱(361) 및 구동 모터들(140, 146, 152, 156, 및 160)(도 1e)과 같은 구동 모터들을 포함하는 모터 하우징(336)을 갖는 구동 어셈블리(311)를 포함한다. 붐(304) 및 연결된 컴포넌트들, 및 따라서 기판들(305A, 305B)을 리프팅(lift)하는 수직 Z-축 성능이 제공된다. 동작시, 모터 하우징(336)은, 하나 또는 그 초과의 모션 제한기(362A, 362B)에 의해 외측 케이싱(361)에 대해 회전하는 것이 제한될 수 있다. 모션 제한기들(362A, 362B)은 캐리지(carriage)(367)에 커플링된 둘 또는 그 초과의 수직으로 배향된 선형 슬라이드 메커니즘들일 수 있다. 캐리지(367)는 모터 하우징에 고정되거나 모터 하우징과 통합된다. 모션 제한기들(362A, 362B)은 외측 케이싱(361)에 대한 모터 하우징(336)의 회전을 제약하도록 기능하지만, 모터 하우징(336)의 Z-축 모션을 허용한다. 수직 모션은 외측 케이싱(361)에 커플링된 수직 모터(363)에 의해 제공된다. 수직 모터(363)의 회전은, 캐리지(367) 또는 모터 하우징(336)에 커플링되거나, 캐리지(367) 또는 모터 하우징(336)과 통합된 리시버(363R)의 리드 스크류(363S)를 회전시킨다. 이는, 모터 하우징(336), 및 그에 따라 연결된 붐(304), 포어암들(318, 320), 리스트 부재들(324, 330), 엔드 이펙터들(328, 334), 및 따라서 기판들(305A, 305B)을 수직으로 병진시킨다. 적절한 시일(364)이 모터 하우징(336)과 베이스(317) 사이를 밀봉할 수 있고, 이에 의해, 수직 모션을 수용하고, 로봇(303)이 동작하는 챔버 내에 진공이 유지된다. 시일(364)을 위해 금속 벨로우즈 또는 다른 유사한 가요성 시일이 사용될 수 있다.
[00054] 도 4는 전자 디바이스 프로세싱 시스템(100) 내에서의 사용을 위해 적응될 수 있는 실시예들에 따른 다른 로봇 장치(403)를 예시한다. 로봇 장치(403)는 상이한 구성의 엔드 이펙터들(428, 434) 및 리스트 부재들(424, 430)을 포함하지만, 다른 점에서는 도 1b-1e 실시예에서 설명된 바와 같다.
[00055] 이제 도 5를 참조하여, 도 1b-1e의 로봇 장치를 포함하는 다른 시스템(500)이 제공된다. 동작시에, 붐(104)은, 제 1 목적지, 즉, 로봇 장치(103)가 목적지에 쉽게 액세스할 수 있는 위치에 인접하게 붐(104)의 선외 단부를 배치시키기 위해 제 1 회전 축을 중심으로 먼저 회전될 수 있다. 다음으로, 로봇 장치(103)는 일 엔드 이펙터를 이용하여 목적지(예를 들어, 프로세스 챔버(506C))로부터 기판(105A)을 픽업하도록 작동된 후, 로봇 장치(103)의 다른 엔드 이펙터를 이용하여 목적지(예를 들어, 프로세스 챔버(506C))에 다른 기판(105B)을 위치시켜 이에 따라 교환(swap)을 수행한다. 제 1 기판이 제거됨에 따라, 포어암 및 리스트 부재가 즉시 이동될 수 있고, 이에 따라 기판(105A)이 제 2 목적지(예를 들어, 프로세스 챔버(506B)) 옆에 배치되도록 프리-포지션 이동을 수행하게 된다. 이러한 제 2 목적지에서, 로봇 장치(103)는 프로세스 챔버(506C)에 대해 설명된 것과 동일한 방식으로 엔드 이펙터들을 이용하여 다른 완전한 기판 교환을 수행할 수 있다. 다른 실시예에서, 포어암 및 리스트 부재는 즉시 이동되어, 그에 따라 예를 들어, 로드 락 챔버(508A 또는 508B)로 기판(105A)을 직접 이동시키고 그리고 로드 락 챔버(508A 또는 508B) 내부로 기판(105A)을 직접 배치시킬 수 있다. 이에 따라, 평행-면의(parallel-faceted) 프로세스 챔버 쌍들(예를 들어, 506A와 506B; 506C와 506D; 및 506E와 506F)뿐만 아니라 평행-면의 로드 락 챔버 쌍들(예를 들어, 508A와 508B)은 로봇 장치(103)에 의해 서비스될 수 있고, 독립적으로 이동가능한 붐(104), 독립적으로 이동가능한 포어암들(118, 120), 및 독립적으로 이동가능한 리스트 부재들(124, 130)의 조합으로 인해, 교환들이 더욱 효율적으로 수행될 수 있으며, 여기서 하나의 포어암(예를 들어, 포어암(120))은 다른 포어암(예를 들어, 포어암(118)) 보다 더 짧다.
[00056] 본 발명의 실시예들에 따라, 전자 디바이스 프로세싱 시스템(예를 들어, 100, 200A, 200B, 500) 내에서 기판들(예를 들어, 105A, 105B)을 운반하는 방법(600)이 도 6에서 제공된다. 방법(600)은, 제 1 회전 축(예를 들어, 제 1 회전 축(116))을 중심으로 회전하도록 적응된 붐(예를 들어, 붐(104))을 제공하는 단계(602)를 포함한다. 붐(104)은 중심-대 중심 길이(d1)를 가질 수 있다. 방법(600)은, 붐의 선외 단부에서 붐에 회전식으로 커플링되는 제 1 포어암(예를 들어, 제 1 포어암(118))을 제공하는 단계(604)를 포함한다. 선외 단부는 제 1 회전 축(116)으로부터 이격된다. 방법(600)은, 붐의 선외 단부에서 붐에 회전식으로 커플링되는 제 2 포어암(예를 들어, 제 2 포어암(120))을 제공하는 단계(606)를 포함하고, 여기서 제 2 포어암은 제 1 포어암보다 더 짧다. 제 2 포어암의 길이는, 제 1 리스트 부재(예를 들어, 제 1 리스트 부재(124))를 제 1 포어암(예를 들어, 제 1 포어암(118))에 연결시키는 리스트 조인트를 제 2 포어암(120)이 지나가도록(pass by), 충분하게 더 짧아야 한다. 방법(600)은, 제 1 포어암의 외측 위치에서 제 1 포어암에 회전식으로 커플링되는 제 1 리스트 부재를 제공하는 단계(608), 및 제 2 포어암의 외측 위치에서 제 2 포어암에 회전식으로 커플링되는 제 2 리스트 부재(예를 들어, 제 2 리스트 부재(130))를 제공하는 단계(610)를 포함한다. 단계(602) 내지 단계(610) 중 각각의 단계는, 여러 컴포넌트들이 어셈블링되는 어셈블리 동작에 의해 완료될 수 있다. 방법(600)은 임의의 순서로 수행될 수 있다. 방법(600)은, 챔버로부터 챔버로 기판들(예를 들어, 기판들(105A, 105B))을 운반하기 위해 제 1 포어암, 제 2 포어암, 제 1 리스트 부재, 및 제 2 리스트 부재를 독립적으로 회전시키고, 운반 중의 적어도 약간의 시간에 제 1 포어암보다 위에서 제 2 포어암을 이동시키는 단계(612)를 더 포함한다. 더 짧은 길이 및 리스트 조인트와의 간격(clearance)(예를 들어, 스페이서(135)와의 간격)으로 인한, 제 1 포어암(예를 들어, 제 1 포어암(118))보다 위에서의 제 2 포어암(예를 들어, 제 2 포어암(120))의 이동은, 신속하고 다양한(varied) 모션 경로 성능들을 허용한다.
[00057] 다른 양상에서, 다른 챔버(예를 들어, 프로세스 챔버 또는 로드 록 챔버)에 인접하여, 제거된 기판(예를 들어, 기판 105A)의 프리포지셔닝이 달성될 수도 있다. 예를 들어, 제 1 챔버로부터 이전에 제거된 제 1 기판(예를 들어, 105A)을 제 2 챔버(예를 들어, 챔버 506B)에 인접한 위치에 프리포지셔닝시키면서, 제 2 기판(예를 들어, 105B)이 제 1 챔버(예를 들어, 506C) 내에 배치될 수 있다. 다른 양태에서, 챔버(예를 들어, 챔버 506C)는, 챔버(506C)가 제 1 회전 축(예를 들어, 제 1 회전 축 516 - 도 5)으로부터 오프셋 거리(590)만큼 축방향으로-오프셋된다 하더라도 서비스될 수도 있다. 이러한 방식에서, 기판(예를 들어, 기판 105B)은, 입구의 라인이 제 1 회전 축과 정렬되지 않는다 하더라도 패싯에 수직한 챔버(506C) 내에 삽입될 수 있다. 이것은, 각각의 측면 상에 다수의 프로세스 챔버들을 갖는 직사각형 또는 정사각형-형상 이송 챔버들(예를 들어, 이송 챔버 502)이 서비스될 수 있게 한다. 다른 양상에서, 챔버(예를 들어, 105B)에서 제 1 기판(예를 들어, 105A)을 제 2 기판(예를 들어, 105B)과 교환하는 것은, 교환 모션 프로파일 동안 제 2 기판(105B)이 결코 제 1 기판(105A) 밑에 수직으로 위치되지 않도록, 제 1 포어암(118), 제 1 리스트 부재(124), 제 2 포어암(120), 및 제 2 리스트 부재(130)를 독립적으로 회전시키는 것에 의한 방식으로 수행될 수 있다. 이것은, 제 2 기판(105B)의 오염을 회피할 수 있다.
[00058] 전술한 설명은 단지 본 발명의 예시적인 실시예들만을 개시한다. 본 발명의 범위 내에 속하는 전술된 장치, 시스템들, 방법들의 변형들이 당업자들에게 용이하게 명백할 것이다. 따라서, 본 발명이 예시적인 실시예들과 관련하여 개시되었지만, 다른 실시예들이 다음의 청구항들에 의해 정의되는 바와 같은 본 발명의 범위 내에 속할 수 있음이 이해되어야 한다.

Claims (15)

  1. 다축 로봇으로서:
    제 1 회전 축을 중심으로 회전하도록 적응된 붐;
    상기 붐의 선외 단부에서 상기 붐에 회전식으로 커플링되며 제 2 회전 축을 중심으로 독립적으로 회전되도록 구성되는 제 1 포어암;
    상기 붐의 상기 선외 단부에서 상기 붐에 회전식으로 커플링되고, 상기 제 2 회전 축을 중심으로 독립적으로 회전되도록 구성되며, 상기 제 1 포어암보다 짧은 제 2 포어암;
    상기 제 1 포어암의 제 1 외측 위치에서 상기 제 1 포어암에 회전식으로 커플링되며, 제 3 축을 중심으로 상기 제 1 포어암에 대해 독립적으로 회전되도록 구성되는 제 1 리스트 부재; 및
    상기 제 2 포어암에 제 2 외측 위치에서 회전식으로 커플링되며, 제 4 축을 중심으로 상기 제 2 포어암에 대해 독립적으로 회전되도록 구성되는 제 2 리스트 부재;를 포함하는
    다축 로봇.
  2. 제 1 항에 있어서,
    상기 붐에 커플링되는 제 1 구동 모터;
    상기 제 1 포어암에 커플링되는 제 2 구동 모터;
    상기 제 1 리스트 부재에 커플링되는 제 3 구동 모터;
    상기 제 2 포어암에 커플링되는 제 4 구동 모터; 및
    상기 제 2 리스트 부재에 커플링되는 제 5 구동 모터;를 더 포함하는
    다축 로봇.
  3. 제 2 항에 있어서,
    상기 제 1 구동 모터의 제 1 스테이터;
    상기 제 2 구동 모터의 제 2 스테이터;
    상기 제 3 구동 모터의 제 3 스테이터;
    상기 제 4 구동 모터의 제 4 스테이터;
    상기 제 5 구동 모터의 제 5 스테이터;
    상기 제 4 및 제 5 스테이터들을 지지하는 상부 벌크헤드(bulkhead);
    상기 제 2 및 제 3 스테이터들을 지지하는 하부 벌크헤드;를 더 포함하고,
    상기 제 1 스테이터는 상기 상부 벌크헤드와 상기 하부 벌크헤드 사이에 수용되는
    다축 로봇.
  4. 제 1 항에 있어서,
    상기 제 2 포어암은, 상기 제 1 포어암보다 짧은 길이를 가지며 상기 제 2 포어암이 상기 제 1 포어암의 리스트 조인트와 간섭하지 않도록 구성되는
    다축 로봇.
  5. 제 1 항에 있어서,
    상기 제 1 포어암은 제 1 중심-대-중심 길이를 갖고, 상기 제 2 포어암은 제 2 중심-대-중심 길이를 가지며, 상기 제 2 중심-대-중심 길이는 상기 제 1 중심-대-중심 길이의 50% 내지 90%인
    다축 로봇.
  6. 제 1 항에 있어서,
    상기 붐의 중심-대-중심 길이는 상기 제 1 포어암의 중심-대-중심 길이보다 짧은
    다축 로봇.
  7. 제 1 항에 있어서,
    상기 붐의 중심-대-중심 길이는 상기 제 2 포어암의 중심-대-중심 길이보다 짧은
    다축 로봇.
  8. 제 1 항에 있어서,
    상기 제 2 포어암은 상기 제 1 포어암보다 높은 레벨에 위치되는
    다축 로봇.
  9. 제 1 항에 있어서,
    붐 구동 장치를 더 포함하며,
    상기 붐은,
    웹 부분,
    상기 웹 부분 위에서 상기 붐에 회전식으로 장착되는 제 1 포어암 구동 부재,
    상기 웹 부분 위에서 상기 붐에 회전식으로 장착되는 제 1 리스트 구동 부재,
    상기 웹 부분 위의 선외 단부에서 상기 붐에 회전식으로 장착되는 제 1 포어암 피구동 부재,
    상기 웹 부분 위의 선외 단부에서 상기 붐에 회전식으로 장착되는 제 1 리스트 피구동 부재,
    상기 웹 부분 위에서 상기 제 1 포어암 피구동 부재에 상기 제 1 포어암 구동 부재를 커플링하는 제 1 포어암 전달 부재, 및
    상기 웹 부분 위에서 상기 제 1 리스트 피구동 부재에 상기 제 1 리스트 구동 부재를 커플링하는 제 1 리스트 전달 부재를 포함하는
    다축 로봇.
  10. 제 9 항에 있어서,
    상기 제 1 리스트 구동 부재와 상기 제 1 리스트 부재 사이에 커플링되는 제 1 중간 전달 부재를 더 포함하는
    다축 로봇.
  11. 제 9 항에 있어서,
    상기 붐 구동 장치는:
    상기 웹 부분 아래에서 상기 붐에 회전식으로 장착되는 제 2 포어암 구동 부재,
    상기 웹 부분 아래에서 상기 붐에 회전식으로 장착되는 제 2 리스트 구동 부재,
    상기 웹 부분 아래의 선외 단부에서 상기 붐에 회전식으로 장착되는 제 2 포어암 피구동 부재,
    상기 웹 부분 아래의 선외 단부에서 상기 붐에 회전식으로 장착되는 제 2 리스트 피구동 부재,
    상기 웹 부분 아래에서 상기 제 2 포어암 피구동 부재에 상기 제 2 포어암 구동 부재를 커플링하는 제 2 포어암 전달 부재, 및
    상기 웹 부분 아래에서 상기 제 2 리스트 피구동 부재에 상기 제 2 리스트 구동 부재를 커플링하는 제 2 리스트 전달 부재를 더 포함하고,
    상기 다축 로봇은 상기 제 2 리스트 구동 부재와 상기 제 2 리스트 부재 사이에 커플링되는 제 2 중간 전달 부재를 더 포함하는
    다축 로봇.
  12. 전자 디바이스 프로세싱 시스템으로서:
    이송 챔버;
    상기 이송 챔버에 적어도 부분적으로 수용되는 다중-링크 로봇 장치를 포함하며,
    상기 다중-링크 로봇 장치는:
    제 1 회전 축을 중심으로 회전하도록 적응된 붐;
    상기 붐의 선외 단부에서 상기 붐에 회전식으로 커플링되며 독립적으로 회전되도록 구성되는 제 1 포어암;
    상기 붐의 상기 선외 단부에서 상기 붐에 회전식으로 커플링되고, 독립적으로 회전되도록 구성되며, 상기 제 1 포어암보다 짧은 제 2 포어암;
    상기 제 1 포어암에 회전식으로 커플링되며 상기 제 1 포어암에 대해 독립적으로 회전되도록 구성되는 제 1 리스트 부재; 및
    상기 제 2 포어암에 회전식으로 커플링되며 상기 제 2 포어암에 대해 독립적으로 회전되도록 구성되는 제 2 리스트 부재;를 가지는
    전자 디바이스 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 제 1 포어암의 제 1 중심-대-중심 길이, 상기 제 2 포어암의 제 2 중심-대-중심 길이를 포함하고,
    상기 제 2 중심-대-중심 길이는 상기 제 1 중심-대-중심 길이의 50% 내지 90%인
    전자 디바이스 프로세싱 시스템.
  14. 전자 디바이스 프로세싱 시스템 내의 기판들을 운반하는 방법으로서:
    제 1 회전 축을 중심으로 회전하도록 적응된 붐을 제공하는 단계;
    상기 붐의 선외 단부에서 상기 붐에 회전식으로 커플링되는 제 1 포어암을 제공하는 단계;
    상기 붐의 상기 선외 단부에서 상기 붐에 회전식으로 커플링되며 상기 제 1 포어암보다 짧은 제 2 포어암을 제공하는 단계;
    상기 제 1 포어암의 외측 위치에서 상기 제 1 포어암에 회전식으로 커플링되는 제 1 리스트 부재를 제공하는 단계;
    상기 제 2 포어암의 외측 위치에서 상기 제 2 포어암에 회전식으로 커플링되는 제 2 리스트 부재를 제공하는 단계; 및
    챔버로부터 챔버로 기판들을 운반하기 위해 상기 제 1 포어암, 제 2 포어암, 제 1 리스트 부재, 및 제 2 리스트 부재를 독립적으로 회전시키고, 운반 중의 적어도 약간의 시간에 상기 제 1 포어암보다 위에서 상기 제 2 포어암을 이동시키는 단계;를 포함하는
    전자 디바이스 프로세싱 시스템 내의 기판들을 운반하는 방법.
  15. 제 14 항에 있어서,
    상기 제 1 포어암, 상기 제 2 포어암, 상기 제 1 리스트 부재, 상기 제 2 리스트 부재, 상기 제 1 리스트 부재에 커플링되는 제 1 엔드 이펙터, 및 상기 제 2 리스트 부재에 커플링되는 제 2 엔드 이펙터를 모두 접힌 상태로 위치시키는 단계;를 포함하고,
    상기 제 1 및 제 2 엔드 이펙터들 상에서 운반중인 제 1 기판 및 제 2 기판은 동시에 서로의 위로 지나가지 않는
    전자 디바이스 프로세싱 시스템 내의 기판들을 운반하는 방법.
KR1020157016956A 2012-11-30 2013-11-26 비등가 길이 포어암들을 가진 다-축 로봇 장치, 전자 디바이스 제조 시스템들, 및 전자 디바이스 제조시 기판들을 운반하기 위한 방법들 KR102163086B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261732196P 2012-11-30 2012-11-30
US61/732,196 2012-11-30
PCT/US2013/072048 WO2014085479A1 (en) 2012-11-30 2013-11-26 Multi-axis robot apparatus with unequal length forearms, electronic device manufacturing systems, and methods for transporting substrates in electronic device manufacturing

Publications (2)

Publication Number Publication Date
KR20150092196A KR20150092196A (ko) 2015-08-12
KR102163086B1 true KR102163086B1 (ko) 2020-10-07

Family

ID=50824133

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020157016957A KR102094390B1 (ko) 2012-11-30 2013-11-26 모터 모듈들, 다중-축 모터 구동 조립체들, 다중-축 로봇 장치, 및 전자 디바이스 제조 시스템들 및 방법들
KR1020157016956A KR102163086B1 (ko) 2012-11-30 2013-11-26 비등가 길이 포어암들을 가진 다-축 로봇 장치, 전자 디바이스 제조 시스템들, 및 전자 디바이스 제조시 기판들을 운반하기 위한 방법들
KR1020207008382A KR102214398B1 (ko) 2012-11-30 2013-11-26 모터 모듈들, 다중-축 모터 구동 조립체들, 다중-축 로봇 장치, 및 전자 디바이스 제조 시스템들 및 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020157016957A KR102094390B1 (ko) 2012-11-30 2013-11-26 모터 모듈들, 다중-축 모터 구동 조립체들, 다중-축 로봇 장치, 및 전자 디바이스 제조 시스템들 및 방법들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020207008382A KR102214398B1 (ko) 2012-11-30 2013-11-26 모터 모듈들, 다중-축 모터 구동 조립체들, 다중-축 로봇 장치, 및 전자 디바이스 제조 시스템들 및 방법들

Country Status (6)

Country Link
US (2) US9742250B2 (ko)
JP (2) JP6382213B2 (ko)
KR (3) KR102094390B1 (ko)
CN (2) CN104823272B (ko)
TW (2) TWI598195B (ko)
WO (2) WO2014085483A1 (ko)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10086511B2 (en) * 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
USD723239S1 (en) * 2012-08-30 2015-02-24 Entegris, Inc. Wafer carrier ring
US9190306B2 (en) * 2012-11-30 2015-11-17 Lam Research Corporation Dual arm vacuum robot
US9149936B2 (en) 2013-01-18 2015-10-06 Persimmon Technologies, Corp. Robot having arm with unequal link lengths
US10224232B2 (en) 2013-01-18 2019-03-05 Persimmon Technologies Corporation Robot having two arms with unequal link lengths
CN103192384B (zh) * 2013-03-11 2015-08-19 上海交通大学 一种集成旋转变压器的静态真空轴系装置
TWI632013B (zh) * 2013-03-15 2018-08-11 美商伊雷克托科學工業股份有限公司 用以處理工件上或之內的特徵的雷射處理設備和用於雷射處理工件的方法
KR20210014778A (ko) * 2013-03-15 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법
US9802327B2 (en) 2013-09-10 2017-10-31 Seiko Epson Corporation Robot arm and robot
JP6337432B2 (ja) 2013-09-10 2018-06-06 セイコーエプソン株式会社 関節駆動装置及びロボット
AU2013403618B2 (en) 2013-10-23 2018-08-30 Prysmian S.P.A. Energy cable having a crosslinked electrically insulating layer, and method for extracting crosslinking by-products therefrom
KR20230034417A (ko) 2013-11-13 2023-03-09 브룩스 오토메이션 인코퍼레이티드 씰링된 로봇 드라이브
KR20230048164A (ko) 2013-11-13 2023-04-10 브룩스 오토메이션 인코퍼레이티드 밀봉된 스위치드 릴럭턴스 모터
JP6679482B2 (ja) 2013-11-13 2020-04-15 ブルックス オートメーション インコーポレイテッド ブラシレス電気機械の制御方法および装置
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
JP6550391B2 (ja) * 2014-01-05 2019-07-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 電子デバイス製造において基板を搬送するためのロボット装置、駆動アセンブリ、及び方法
JP6474971B2 (ja) * 2014-07-03 2019-02-27 株式会社ダイヘン ワーク搬送装置
DE102014009892B4 (de) * 2014-07-04 2018-05-30 gomtec GmbH Antriebseinheit mit magnetischer Schnittstelle
KR102451144B1 (ko) * 2015-02-06 2022-10-05 퍼시몬 테크놀로지스 코포레이션 동등하지 않은 링크 길이를 가진 아암을 구비한 로봇
USD767234S1 (en) * 2015-03-02 2016-09-20 Entegris, Inc. Wafer support ring
KR102567510B1 (ko) 2015-03-12 2023-08-17 퍼시몬 테크놀로지스 코포레이션 종속화된 엔드 이펙터 움직임을 가진 로봇
KR102587203B1 (ko) 2015-07-13 2023-10-10 브룩스 오토메이션 인코퍼레이티드 온 더 플라이 자동 웨이퍼 센터링 방법 및 장치
WO2017011367A1 (en) * 2015-07-13 2017-01-19 Brooks Automation, Inc. Substrate transport apparatus
CN105108387A (zh) * 2015-08-31 2015-12-02 苏州斯尔特微电子有限公司 一种电子焊接机上的翻转臂
US9799544B2 (en) 2015-10-23 2017-10-24 Applied Materials, Inc. Robot assemblies, substrate processing apparatus, and methods for transporting substrates in electronic device manufacturing
CN105391210B (zh) * 2015-12-28 2017-11-21 苏州大学 内转子电机
CN105702607B (zh) * 2016-03-17 2018-09-25 东方晶源微电子科技(北京)有限公司 机械臂和检查系统
CN106003134B (zh) * 2016-05-26 2020-09-29 中国科学院等离子体物理研究所 一种用于真空高温环境下的转动关节
CN106003027B (zh) * 2016-06-03 2019-03-01 广州视源电子科技股份有限公司 机械臂运动路径的设置方法和系统
TWI724971B (zh) * 2016-06-28 2021-04-11 美商應用材料股份有限公司 包括間隔上臂與交錯腕部的雙機器人以及包括該者之系統及方法
CN106041919A (zh) * 2016-07-11 2016-10-26 深圳众为兴技术股份有限公司 一种吊装式机器人结构
US20180308728A1 (en) * 2017-02-07 2018-10-25 Brooks Automation, Inc. Method and apparatus for substrate transport
TWI813479B (zh) * 2017-02-07 2023-08-21 美商布魯克斯自動機械美國公司 基材運送方法及設備
US10312770B2 (en) * 2017-02-25 2019-06-04 Applied Motion Products, Inc. Motor with integrated connector enclosure
JP6862233B2 (ja) * 2017-03-27 2021-04-21 日本電産サンキョー株式会社 産業用ロボット
CN107953363B (zh) * 2017-05-10 2021-09-07 Abb瑞士股份有限公司 一种用于多关节机器人的臂及多关节机器人
EP3410577A1 (de) * 2017-06-02 2018-12-05 Siemens Aktiengesellschaft Leitungsdurchführung
US10629472B2 (en) 2017-08-17 2020-04-21 Persimmon Technologies Corporation Material handling robot
US10453725B2 (en) 2017-09-19 2019-10-22 Applied Materials, Inc. Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same
JP6873881B2 (ja) * 2017-10-13 2021-05-19 日本電産サンキョー株式会社 産業用ロボット
TWI802439B (zh) 2017-10-27 2023-05-11 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
JP6962790B2 (ja) * 2017-11-09 2021-11-05 日本電産サンキョー株式会社 ワーク搬送システム及びその制御方法
US10155309B1 (en) * 2017-11-16 2018-12-18 Lam Research Corporation Wafer handling robots with rotational joint encoders
KR101983563B1 (ko) * 2017-11-23 2019-05-29 (주)한국미래기술 병렬형 집적 구동장치
KR101956617B1 (ko) * 2017-11-23 2019-03-12 (주)한국미래기술 병렬형 집적 구동장치
US10943805B2 (en) 2018-05-18 2021-03-09 Applied Materials, Inc. Multi-blade robot apparatus, electronic device manufacturing apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
JP7078479B2 (ja) * 2018-07-13 2022-05-31 株式会社安川電機 搬送ロボットおよびロボットシステム
CN109018783A (zh) * 2018-07-20 2018-12-18 湖南瑭桥科技发展有限公司 一种新型垃圾车机械手折叠臂定向控制器
CN215731596U (zh) 2018-09-21 2022-02-01 应用材料公司 用于处理基板的系统
JP7254924B2 (ja) * 2018-11-19 2023-04-10 マトソン テクノロジー インコーポレイテッド ワークピースを処理するためのシステムおよび方法
TWM579415U (zh) 2019-03-08 2019-06-11 華碩電腦股份有限公司 功能組件以及包含其之電子裝置
US11241800B2 (en) 2019-03-11 2022-02-08 Persimmon Technologies Corporation Asymmetric dual end effector robot arm
US11850742B2 (en) 2019-06-07 2023-12-26 Applied Materials, Inc. Dual robot including splayed end effectors and systems and methods including same
US11355367B2 (en) 2019-07-12 2022-06-07 Applied Materials, Inc. Robot for simultaneous substrate transfer
JP2022540607A (ja) 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット
US11117265B2 (en) * 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11574826B2 (en) 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
US11443973B2 (en) * 2019-07-12 2022-09-13 Applied Materials, Inc. Robot for simultaneous substrate transfer
TWI797461B (zh) * 2019-07-26 2023-04-01 日商新川股份有限公司 封裝裝置
CN111546321A (zh) * 2020-06-15 2020-08-18 含山县大力精密机械有限公司 一种适用于高负载的横向搬运机器臂及方法
US20210407837A1 (en) * 2020-06-30 2021-12-30 Applied Materials, Inc. Robot apparatus and systems, and methods for transporting substrates in electronic device manufacturing
US20220005726A1 (en) * 2020-07-02 2022-01-06 Applied Materials, Inc. Robot apparatus, systems, and methods for transporting substrates in electronic device manufacturing
US11602064B2 (en) * 2020-09-01 2023-03-07 Applied Materials, Inc. Dynamic electrical and fluid delivery system with indexing motion for batch processing chambers
US20220111513A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Infinite rotation of vacuum robot linkage through timing belt with isolated environment
TW202218831A (zh) * 2020-11-06 2022-05-16 日商發那科股份有限公司 水平多關節機器人
CN112563178B (zh) * 2021-02-23 2021-06-29 宁波群芯微电子有限责任公司 芯片转移机械手
TWI782507B (zh) * 2021-04-28 2022-11-01 富力特科技股份有限公司 具薄型脆性基材擦碰震盪感測器的入匣機械臂及感測器
KR102552870B1 (ko) * 2021-10-29 2023-07-10 주식회사 라온테크 직접구동 모터 기반의 웨이퍼 이송 로봇 장치
WO2023102497A1 (en) * 2021-12-03 2023-06-08 Lam Research Corporation Direct-pick robot for multi station semiconductor processing chambers

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020094265A1 (en) 2000-11-30 2002-07-18 Hirata Corporation Substrate conveyer robot

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03154791A (ja) 1989-11-14 1991-07-02 Sumitomo Eaton Noba Kk ロボット用多関節アーム
JPH0536809A (ja) 1991-07-31 1993-02-12 Mitsubishi Electric Corp 半導体基板処理装置に於ける半導体基板搬送アーム
JPH05109866A (ja) * 1991-10-16 1993-04-30 Nec Corp ウエハ移載ロボツト
US5765444A (en) 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6102164A (en) 1996-02-28 2000-08-15 Applied Materials, Inc. Multiple independent robot assembly and apparatus for processing and transferring semiconductor wafers
JP3495903B2 (ja) 1998-01-30 2004-02-09 多摩川精機株式会社 真空モータ
JP2000069741A (ja) 1998-08-19 2000-03-03 Komatsu Ltd 真空用アクチュエータ
US6543306B1 (en) 1998-12-04 2003-04-08 Daihen Corporation Conveying device
US6485250B2 (en) 1998-12-30 2002-11-26 Brooks Automation Inc. Substrate transport apparatus with multiple arms on a common axis of rotation
JP4445075B2 (ja) * 1999-10-06 2010-04-07 東京エレクトロン株式会社 真空モータ及び搬送装置
US6601468B2 (en) * 2000-10-24 2003-08-05 Innovative Robotic Solutions Drive system for multiple axis robot arm
JP2002158272A (ja) * 2000-11-17 2002-05-31 Tatsumo Kk ダブルアーム基板搬送装置
JP3890896B2 (ja) * 2001-01-24 2007-03-07 株式会社明電舎 基板搬送用ロボット
CN1369948A (zh) 2001-02-13 2002-09-18 许俊甫 内齿轮外旋转的轮鼓式马达
WO2003006216A1 (en) 2001-07-13 2003-01-23 Brooks Automation, Inc. Substrate transport apparatus with multiple independent end effectors
JP2003170384A (ja) 2001-12-04 2003-06-17 Rorze Corp 平板状物の搬送用スカラ型ロボットおよび平板状物の処理システム
US7891935B2 (en) 2002-05-09 2011-02-22 Brooks Automation, Inc. Dual arm robot
JP3825024B2 (ja) * 2003-09-02 2006-09-20 ミネベア株式会社 クローポール型ステッピングモータ
JP2006014578A (ja) * 2004-05-24 2006-01-12 Minebea Co Ltd ステッピングモータ
JP4303162B2 (ja) * 2004-05-25 2009-07-29 ミネベア株式会社 アクチュエータ
JP4274473B2 (ja) * 2004-06-14 2009-06-10 ミネベア株式会社 アクチュエータ
JP4974118B2 (ja) * 2005-02-12 2012-07-11 アプライド マテリアルズ インコーポレイテッド 多軸真空モータアセンブリ
JP4766955B2 (ja) * 2005-08-23 2011-09-07 株式会社デンソー シフトレンジ切替装置
TWI342597B (en) 2005-11-21 2011-05-21 Applied Materials Inc Methods and apparatus for transferring substrates during electronic device manufacturing
JP5185932B2 (ja) 2006-08-11 2013-04-17 アプライド マテリアルズ インコーポレイテッド ロボット・リスト・アセンブリの為の方法および装置
CN101678974A (zh) 2007-05-31 2010-03-24 应用材料股份有限公司 延伸scara机械手臂连接的方法及设备
JP5172225B2 (ja) * 2007-06-21 2013-03-27 ミネベア株式会社 Pm型ステッピングモータ
JPWO2009034795A1 (ja) * 2007-09-10 2010-12-24 株式会社アルバック 基板搬送ロボット、真空処理装置
US7975568B2 (en) * 2008-04-24 2011-07-12 Asm Technology Singapore Pte Ltd Robotic arm driving mechanism
US8777547B2 (en) 2009-01-11 2014-07-15 Applied Materials, Inc. Systems, apparatus and methods for transporting substrates
WO2010080983A2 (en) * 2009-01-11 2010-07-15 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates in electronic device manufacturing
KR101287000B1 (ko) 2009-01-11 2013-07-23 어플라이드 머티어리얼스, 인코포레이티드 로봇 및 로봇의 전기 엔드 이펙터로 전기 연결을 하기 위한 시스템, 장치 및 방법
GB0902394D0 (en) * 2009-02-13 2009-04-01 Isis Innovation Electric machine- cooling
JP2011199121A (ja) * 2010-03-23 2011-10-06 Ulvac Japan Ltd 搬送装置
TWI586500B (zh) 2010-10-08 2017-06-11 布魯克斯自動機械公司 機器人運送裝置及基板處理裝置
US9076829B2 (en) 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing
US9076830B2 (en) 2011-11-03 2015-07-07 Applied Materials, Inc. Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
US9202733B2 (en) 2011-11-07 2015-12-01 Persimmon Technologies Corporation Robot system with independent arms
WO2013090181A1 (en) 2011-12-12 2013-06-20 Applied Materials, Inc Fully-independent robot systems, apparatus, and methods adapted to transport multiple substrates in electronic device manufacturing
US9245783B2 (en) * 2013-05-24 2016-01-26 Novellus Systems, Inc. Vacuum robot with linear translation carriage

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020094265A1 (en) 2000-11-30 2002-07-18 Hirata Corporation Substrate conveyer robot

Also Published As

Publication number Publication date
KR20150092196A (ko) 2015-08-12
TWI609557B (zh) 2017-12-21
CN104823272A (zh) 2015-08-05
KR20150093178A (ko) 2015-08-17
CN104812534A (zh) 2015-07-29
WO2014085483A1 (en) 2014-06-05
KR102214398B1 (ko) 2021-02-08
KR20200034008A (ko) 2020-03-30
JP2016500473A (ja) 2016-01-12
JP6336467B2 (ja) 2018-06-06
US9742250B2 (en) 2017-08-22
US20140150592A1 (en) 2014-06-05
WO2014085479A1 (en) 2014-06-05
KR102094390B1 (ko) 2020-03-27
CN104823272B (zh) 2017-07-14
US9325228B2 (en) 2016-04-26
TW201429652A (zh) 2014-08-01
US20140154038A1 (en) 2014-06-05
JP6382213B2 (ja) 2018-08-29
TWI598195B (zh) 2017-09-11
CN104812534B (zh) 2018-05-11
TW201434244A (zh) 2014-09-01
JP2016502393A (ja) 2016-01-21

Similar Documents

Publication Publication Date Title
KR102163086B1 (ko) 비등가 길이 포어암들을 가진 다-축 로봇 장치, 전자 디바이스 제조 시스템들, 및 전자 디바이스 제조시 기판들을 운반하기 위한 방법들
US11613002B2 (en) Dual arm robot
US9033644B2 (en) Boom drive apparatus, multi-arm robot apparatus, electronic device processing systems, and methods for transporting substrates in electronic device manufacturing systems with web extending from hub
US8784033B2 (en) Robot systems, apparatus and methods for transporting substrates
US10850390B2 (en) Dual robot including spaced upper arms and interleaved wrists and systems and methods including same
US9076830B2 (en) Robot systems and apparatus adapted to transport dual substrates in electronic device manufacturing with wrist drive motors mounted to upper arm
US9117865B2 (en) Robot systems, apparatus, and methods having independently rotatable waists
JP7280309B2 (ja) 搬送装置および処理装置
TWI704038B (zh) 用於在進行電子設備製造時輸送基板的機械手組件、基板處理裝置及方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant