KR102006519B1 - 플라즈마 처리 방법 및 플라즈마 처리 장치 - Google Patents

플라즈마 처리 방법 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR102006519B1
KR102006519B1 KR1020147036571A KR20147036571A KR102006519B1 KR 102006519 B1 KR102006519 B1 KR 102006519B1 KR 1020147036571 A KR1020147036571 A KR 1020147036571A KR 20147036571 A KR20147036571 A KR 20147036571A KR 102006519 B1 KR102006519 B1 KR 102006519B1
Authority
KR
South Korea
Prior art keywords
gas
polycrystalline silicon
silicon layer
processing vessel
processing
Prior art date
Application number
KR1020147036571A
Other languages
English (en)
Other versions
KR20150023504A (ko
Inventor
다이스케 가타야마
미노루 혼다
도시오 나카니시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150023504A publication Critical patent/KR20150023504A/ko
Application granted granted Critical
Publication of KR102006519B1 publication Critical patent/KR102006519B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32266Means for controlling power transmitted to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

일 실시형태의 플라즈마 처리 방법은, (a) 피처리 기체(基體) 상에 다결정 실리콘층을 성장시키는 공정과, (b) 다결정 실리콘층이 성장한 피처리 기체를 수용한 처리 용기 내에 수소를 함유하는 가스를 포함하는 처리 가스를 공급하고, 처리 용기 내에 마이크로파를 방사함으로써 수소 라디칼을 생성하며, 수소 라디칼에 다결정 실리콘층을 노출시키는 공정을 포함한다.

Description

플라즈마 처리 방법 및 플라즈마 처리 장치{PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS}
본 발명의 실시형태는, 플라즈마 처리 방법 및 플라즈마 처리 장치에 관한 것으로, 보다 상세하게는, 다결정 실리콘층을 처리하는 플라즈마 처리 방법 및 상기 방법의 실시에 이용할 수 있는 플라즈마 처리 장치에 관한 것이다.
실리콘 반도체에는, 단결정 실리콘 반도체, 다결정 실리콘 반도체 및 비정질 실리콘 반도체가 있다. 이들 여러 가지 실리콘 반도체 중 다결정 실리콘 반도체는, 단결정 실리콘 반도체와 비교하여 제조가 용이하기 때문에, 실리콘 반도체를 이용하는 반도체 소자의 재료로서 널리 이용되게 되고 있다.
다결정 실리콘 반도체를 이용한 반도체 소자의 일례로서, pin 다이오드가 있다. pin 다이오드는, p형 다결정 실리콘층과 n형 다결정 실리콘층 사이에 전기 저항이 큰 i형(비-도핑된) 다결정 실리콘층을 배치한 pin 구조를 갖는 반도체 소자이다. 이 pin 다이오드는, 예컨대 전극층을 갖는 기체(基體) 상에, 붕소를 도핑한 p형 다결정 실리콘층을 형성하고, p형 다결정 실리콘층 상에 i형 다결정 실리콘층을 형성하며, i형 다결정 실리콘층 상에 인을 도핑한 n형 다결정 실리콘층을 형성함으로써 얻어진다.
다결정 실리콘층을 형성하는 방법으로서는, 2종류의 방법이 알려져 있다. 제1 방법은, 온도 조건을 약 600℃로 한 열 CVD법을 이용하여 다결정 실리콘층을 성장시키는 것이다. 이 방법의 일례는, 특허문헌 1의 제1 실시형태로서 기재되어 있다. 또한, 다결정 실리콘층을 형성하는 제2 방법은, 비정질 실리콘층을 형성하고, 이 비정질 실리콘층에 열 어닐링을 적용하여 다결정 실리콘층을 얻는 것이다. 이 방법의 예는, 특허문헌 1의 제2 실시예로서 기재되어 있다. 또한, 열 어닐링을 대신하여 레이저 어닐링을 이용하는 방법이 특허문헌 2에 기재되어 있다.
특허문헌 1: 일본 특허 공개 제2001-144258호 공보 특허문헌 2: 일본 특허 공개 제2003-282433호 공보
그런데, 반도체 소자를 구성하는 각 층의 박막화가 점점 진행되고 있다. 예컨대, pin 다이오드에서는, 그 두께가 10 ㎚ 이하인 n형 또는 p형 다결정 실리콘층이 요구되고 있다. 이러한 다결정 실리콘층의 두께는, 그 표면 조도에 의한 영향을 받을 수 있다. 예컨대, 두께가 10 ㎚ 이하인 p형 또는 n형의 다결정 실리콘층을 갖는 pin 다이오드의 경우에는, 상기 다결정 실리콘층의 표면 조도가 중심선 평균 조도(Ra)로 1 ㎚ 이상이 되면, 상기 표면 조도가 다결정 실리콘층의 두께 분포에 있어서 무시할 수 없는 것이 될 수 있고, 나아가서는 pin 다이오드의 동작을 열화시키는 경우가 있다.
그러나, 열 CVD법에 의해 성장된 다결정 실리콘막의 표면 조도는, 본래 큰 것이다. 또한, 본래 표면 조도가 작은 비정질 실리콘층에 열 어닐링 또는 레이저 어닐링을 적용하면, 얻어지는 다결정 실리콘층의 표면 조도가 커질 수 있다. 또는, 비정질 실리콘층에 열 어닐링을 적용하여 표면 조도가 작은 다결정 실리콘층을 얻을 수 있는 어닐링 조건의 범위는 매우 좁은 것이 되어, 프로세스의 제어가 곤란해질 수 있다.
따라서, 본 기술 분야에서는, 다결정 실리콘의 표면 조도를 저감시킬 수 있는 방법 및 상기 처리 방법의 실시에 이용하는 것이 가능한 장치가 요망되고 있다.
본 발명의 일 측면에 따른 플라즈마 처리 방법은, (a) 피처리 기체 상에 다결정 실리콘층을 성장시키는 공정과, (b) 다결정 실리콘층이 성장한 피처리 기체를 수용한 처리 용기 내에 수소를 함유하는 처리 가스를 공급하고, 처리 용기 내에 마이크로파를 방사함으로써 수소 라디칼을 생성하며, 수소 라디칼에 다결정 실리콘층을 노출시키는 공정을 포함한다.
이 플라즈마 처리 방법에서는, 다결정 실리콘층의 표면이, 수소 라디칼에 의해 극히 약간 에칭된다. 이 에칭에 의해, 다결정 실리콘층의 표면이 평탄화된다. 따라서, 이 플라즈마 처리 방법에 의하면, 다결정 실리콘층의 표면 조도가 저감될 수 있다.
일 실시형태에서는, 수소 라디칼에 다결정 실리콘층을 노출시키는 공정(b)은, 다결정 실리콘층을 성장시키는 공정(a)과 동일한 처리 용기 내에서 행해져도 좋다. 이 실시형태에 의하면, 다결정 실리콘층이 성장한 피처리 기체를, 처리 용기로부터 반출하여, 다른 처리 용기에 설치하는 공정을 필요로 하지 않는다. 따라서, 처리 공정수의 증가가 억제될 수 있다. 또한, 다결정 실리콘층의 표면의 산화가 방지될 수 있다.
일 실시형태에 따른 방법은, (c) 수소 라디칼에 노출된 다결정 실리콘층 상에, 다른 다결정 실리콘층을 성장시키는 공정을 더 포함해도 좋다. 이 실시형태에서는, 수소 라디칼에 노출된 상기 다결정 실리콘층, 즉, 표면 조도가 저감된 다결정 실리콘층을 하지(下地)로 하여, 다른 다결정 실리콘층이 형성된다. 따라서, 이 실시형태에 의하면, 다른 다결정 실리콘층의 두께 분포에 대한 하지의 표면 조도의 영향이 저감될 수 있다.
일 실시형태에서는, 다른 다결정 실리콘층을 성장시키는 공정(c)은, 수소 라디칼에 다결정 실리콘층을 노출시키는 공정과 동일한 처리 용기 내에서 행해져도 좋다. 이 실시형태에 의하면, 표면을 평탄화한 다결정 실리콘층을 바깥 공기에 노출시키지 않고, 평탄화된 다결정 실리콘층의 표면에 다른 다결정 실리콘층을 성장시킨다. 따라서, 평탄화된 표면의 산화를 억제할 수 있다.
일 실시형태에서는, 다결정 실리콘층을 성장시키는 공정(a)은, (a1) 처리 용기 내에 실리콘을 함유하는 원료 가스 및 제1 도펀트 재료를 함유하는 제1 가스를 공급하고, 처리 용기 내에 마이크로파를 방사하여, 피처리 기체 상에 제1 다결정 실리콘층을 성장시키는 공정과, (a2) 처리 용기 내에 원료 가스를 공급하고, 처리 용기 내에 마이크로파를 방사하여, 제1 다결정 실리콘층 상에 i형 다결정 실리콘층을 성장시키는 공정을 포함하고, 다른 다결정 실리콘층을 성장시키는 공정(c)에서는, 처리 용기 내에 원료 가스 및 제2 도펀트 재료를 함유하는 제2 가스를 공급하고, 처리 용기 내에 마이크로파를 방사하여, i형 다결정 실리콘층 상에 제2 다결정 실리콘층을 성장시켜도 좋다. 여기서, pin 다이오드에 있어서는, 일반적으로, i형 다결정 실리콘층의 두께가 p형 또는 n형의 다결정 실리콘층보다 크다. 또한, 다결정 실리콘층의 표면 조도는, 상기 다결정 실리콘층의 두께가 커질수록 커진다. 이 실시형태의 방법에서는, i형 다결정 실리콘층을 수소 라디칼에 노출시킴으로써, i형 다결정 실리콘층의 표면 조도를 저감시키고 있다. 그리고, 표면 조도를 저감시킨 i형 다결정 실리콘층을 하지로 하여, 제2 도전형의 다결정 실리콘층을 성장시키고 있다. 따라서, 이 실시형태에 의하면, 제2 도전형의 다결정 실리콘층의 두께 분포에 대한 하지의 표면 조도의 영향이 저감될 수 있다.
또한, 일 실시형태에 따른 방법은, 다결정 실리콘층을 성장시키는 공정(a) 전에, (d) 처리 용기 내에 처리 가스를 공급하고, 마이크로파를 처리 용기 내에 방사함으로써 수소 라디칼을 생성하며, 수소 라디칼에 피처리 기체를 노출시키는 공정을 더 포함하고, 피처리 기체의 표면은 전극층의 표면이어도 좋다. 이 실시형태에 의하면, 하지의 전극층을 수소 라디칼에 노출시킴으로써, 상기 전극층의 표면 조도가 저감될 수 있다. 그 결과, 이 하지의 전극층 상에 형성되는 다결정 실리콘층의 두께 분포에 대한 하지의 표면 조도의 영향이 저감될 수 있다.
일 실시형태에서는, 마이크로파는, 방사상 라인 슬롯 안테나로부터 처리 용기 내에 방사되어도 좋다. 또한, 일 실시형태에서는, 수소를 함유하는 가스는 수소 가스여도 좋다. 이 실시형태에 의하면, 수소 라디칼을 효율적으로 발생시킬 수 있다.
일 실시형태에서는, 처리 가스와 함께 처리 용기 내에 희석 가스가 더 공급되어도 좋고, 희석 가스의 유량에 대한 수소를 함유하는 가스의 유량의 비율은 10% 이하여도 좋다. 이 실시형태에 의하면, 수소 라디칼의 발생 효율을 높일 수 있다.
일 실시형태에서는, 수소 라디칼에 다결정 실리콘층을 노출시키는 공정 및 수소 라디칼에 피처리 기체를 노출시키는 공정에서는, 처리 용기 내의 압력이 13 ㎩ 이하로 설정되어도 좋다. 이 실시형태에 의하면, 수소 라디칼의 발생 효율을 보다 높일 수 있다.
본 발명의 다른 측면에 따른 플라즈마 처리 장치는, 다결정 실리콘층을 처리하는 플라즈마 처리 장치로서, 그 주면(主面) 상에 다결정 실리콘층이 성장한 피처리 기체를 수용하는 처리 용기와, 수소를 함유하는 처리 가스를 처리 용기 내에 공급하는 처리 가스 공급부와, 마이크로파를 발생하는 마이크로파 발생기와, 마이크로파 발생기에 접속되며, 처리 가스의 플라즈마를 여기하기 위한 마이크로파를 처리 용기에 방사하는 안테나를 구비한다.
이 플라즈마 처리 장치는, 처리 용기 내에 수용된 피처리 기체의 다결정 실리콘층을 수소 라디칼에 노출시킬 수 있다. 수소 라디칼에 노출되면, 다결정 실리콘층의 표면은, 극히 약간 에칭된다. 이 에칭에 의해, 다결정 실리콘층의 표면이 평탄화된다. 따라서, 이 플라즈마 처리 장치에 의하면, 다결정 실리콘층의 표면 조도가 저감될 수 있다.
일 실시형태에서는, 플라즈마 처리 장치는, 실리콘을 함유하는 원료 가스를 처리 용기 내에 공급하는 원료 가스 공급부와, 처리 가스 공급부, 원료 가스 공급부 및 마이크로파 발생기를 제어하는 제어부를 더 구비하고, 제어부는, 원료 가스 공급부에 원료 가스를 포함하는 가스를 처리 용기 내에 공급시키고, 마이크로파 발생기에 마이크로파를 발생시키는 제1 제어를 행하며, 처리 가스 공급부에 처리 가스를 처리 용기 내에 공급시키고, 마이크로파 발생기에 마이크로파를 발생시키는 제2 제어를 행해도 좋다. 이 실시형태의 플라즈마 처리 장치는, 제1 제어를 실시함으로써, 처리 용기 내에 수용한 피처리 기체 상에 다결정 실리콘층을 성장시킬 수 있다. 그리고, 상기 플라즈마 처리 장치는, 제2 제어를 실시함으로써, 수소 라디칼을 이용하여 다결정 실리콘층의 표면 조도를 저감시킬 수 있다.
일 실시형태에서는, 제어부는, 제1 제어와 제2 제어를 교대로 반복해도 좋다. 이 실시형태에 의하면, 성장시킨 다결정 실리콘층의 표면 조도를 저감시킬 수 있고, 표면 조도를 저감시킨 다결정 실리콘층을 하지로 하여, 다른 다결정 실리콘층을 성장시키는 것이 가능하다. 따라서, 이 실시형태에 의하면, 다른 다결정 실리콘층의 두께 분포에 대한 하지의 표면 조도의 영향이 저감될 수 있다.
일 실시형태에서는, 원료 가스 공급부는, 제1 도펀트 재료를 함유하는 제1 가스 및 제2 도펀트 재료를 함유하는 제2 가스를 더 공급 가능하고, 제어부는, 복수 회의 제1 제어에 있어서 선택적으로 원료 가스에 더하여 제1 가스 또는 제2 가스를 처리 용기 내에 공급시켜도 좋다. 이 실시형태에서는, 제1 제어에 의해, 제1 도전형의 다결정 실리콘층 또는 제2 도전형의 다결정 실리콘층을 선택적으로 성장시킬 수 있다.
일 실시형태에서는, 제어부는, 피처리 기체에 다결정 실리콘층을 형성하기 전에 제2 제어를 행해도 좋다. 이 실시형태에 의하면, 다결정 실리콘층의 하지인 피처리 기체, 예컨대 전극층의 표면 조도가 저감된다. 따라서, 이 하지의 전극층 상에 형성되는 다결정 실리콘층의 두께 분포에 대한 하지의 표면 조도의 영향이 저감될 수 있다.
일 실시형태에서는, 안테나는, 방사상 라인 슬롯 안테나여도 좋다. 또한, 일 실시형태에서는, 수소를 함유하는 가스는 수소 가스여도 좋다. 이 실시형태에 의하면, 처리 용기 내에 수소 라디칼을 효율적으로 발생시킬 수 있다.
일 실시형태에서는, 플라즈마 처리 장치는, 처리 용기 내에 희석 가스를 더 공급하는 희석 가스 공급부를 더 구비하고, 처리 가스 공급부는, 처리 가스의 유량을 조정하는 제1 유량 조정부를 포함하며, 희석 가스 공급부는, 희석 가스의 유량을 조정하는 제2 유량 조정부를 포함하고, 제어부는, 제1 유량 조정부 및 제2 유량 조정부에 희석 가스의 유량에 대한 처리 가스의 유량의 비율을 10% 이하로 설정시켜도 좋다. 이 실시형태에 의하면, 수소 라디칼의 발생 효율을 높일 수 있다.
일 실시형태에서는, 처리 용기 내의 압력을 조정하는 압력 조정부를 더 구비하고, 제어부는, 압력 조정부에 처리 용기 내의 압력을 13 ㎩ 이하로 설정시켜도 좋다. 이 실시형태에 의하면, 수소 라디칼의 발생 효율을 보다 높일 수 있다.
이상 설명한 바와 같이, 본 발명에 의하면, 다결정 실리콘의 표면 조도를 저감시킬 수 있는 방법 및 상기 처리 방법의 실시에 이용하는 것이 가능한 장치가 제공된다.
도 1은 일 실시형태에 따른 플라즈마 처리 장치를 개략적으로 도시한 도면이다.
도 2는 일 실시형태에 따른 슬롯판을 축선(X) 방향에서 본 평면도이다.
도 3은 일 실시형태에 따른 플라즈마 처리 방법을 도시한 플로우 차트이다.
도 4는 일 실시형태에 따른 플라즈마 처리 방법의 원리를 개략적으로 도시한 도면이다.
도 5는 일 실시형태에 따른 플라즈마 처리 방법의 각 공정을 설명하기 위한 타이밍 차트이다.
도 6은 반도체층의 두께와 표면 조도와의 관계를 설명하기 위한 도면이다.
도 7은 반도체층의 두께와 불순물 농도와의 관계를 설명하기 위한 도면이다.
도 8은 반도체층의 두께와 불순물 농도와의 관계를 설명하기 위한 도면이다.
도 9는 종래법에 의해 형성한 다결정 실리콘층의 표면 조도와 처리 온도와의 관계를 나타낸 그래프이다.
도 10은 실험예 1에 따른 다결정 실리콘층의 처리 시간과 표면 조도와의 관계를 나타낸 그래프이다.
도 11은 실험예 2에 따른 다결정 실리콘층의 처리 시간과 결정률(結晶率)과의 관계 및 처리 시간과 막 두께와의 관계를 나타낸 그래프이다.
도 12는 실험예 3에 따른 처리 용기 내의 압력과 수소 라디칼의 발생량과의 관계를 나타낸 그래프이다.
도 13은 실험예 4에 따른 수소 가스의 유량 및 아르곤 가스의 유량과 수소 라디칼의 발생량과의 관계를 나타낸 그래프이다.
이하, 도면을 참조하여 여러 가지 실시형태에 대해서 상세히 설명한다. 한편, 각 도면에 있어서 동일 또는 상당 부분에 대해서는 동일한 부호를 붙이기로 한다.
도 1은, 일 실시형태에 따른 플라즈마 처리 장치를 개략적으로 도시한 도면이다. 도 1에 도시한 플라즈마 처리 장치(10)는, 마이크로파에 의해 플라즈마를 여기하는 플라즈마 처리 장치이며, 처리 용기(12), 스테이지(14), 마이크로파 발생기(16) 및 안테나(18)를 구비하고 있다.
처리 용기(12)는, 플라즈마를 발생시키는 플라즈마 발생 공간(E)을 구획하고 있고, 또한, 피처리 기체(W)에 플라즈마 처리를 행하기 위한 처리 공간(P)을 플라즈마 발생 공간(E)의 하방에 구획하고 있다. 이 처리 용기(12)는, 측벽(12a) 및 저부(底部; 12b)를 포함할 수 있다. 측벽(12a)은, 축선(X) 방향[즉, 축선(X)의 연장 방향]으로 연장되는 대략 통 형상을 갖고 있다. 측벽(12a)의 상단부는 개구되어 있다.
측벽(12a)의 상단부 개구는, 유전체창(20)에 의해 폐쇄되어 있다. 이 유전체창(20)과 측벽(12a)의 상단부 사이에는 O링(19)이 개재되어 있어도 좋다. 이 O링(19)에 의해, 처리 용기(12)의 밀폐가 보다 확실한 것이 된다.
저부(12b)는, 측벽(12a)의 하단측에 형성되어 있다. 저부(12b)에는 배기 구멍(12c)을 갖는 배기관(21)이 설치되어 있다. 배기관(21)은, 압력 조정부(22)를 통해 배기 장치(23)에 접속되어 있다. 압력 조정부(22)는, 후술하는 제어부에 의해 제어되며, 배기되는 기체의 유량을 제어하여, 처리 용기(12) 내의 압력을 조정한다. 배기 장치(23)는, 터보 분자 펌프 등의 진공 펌프를 갖고 있다. 배기 장치(23)에 의해, 처리 용기(12) 내의 처리 공간(P)을 원하는 진공도까지 감압할 수 있다.
처리 용기(12) 내에는, 스테이지(14)가 설치되어 있다. 스테이지(14)는, 처리 공간(P)의 하방에 있어서, 후술하는 샤워 플레이트(42)와 대면하도록 설치되어 있다. 이 스테이지(14) 상에는, 피처리 기체(W)가 배치된다. 일 실시형태에서는, 스테이지(14)는, 다이(臺; 14a), 포커스 링(14b) 및 정전척(15)을 포함할 수 있다. 한편, 다이(14a), 포커스 링(14b) 및 정전척(15)을 포함하는 스테이지(14)는, 일 실시형태에 따른 배치대를 구성하고 있다.
다이(14a)는, 처리 용기(12)의 저부(12b)로부터 상방으로 연장되는 지지체(17)에 의해 지지되어 있다. 다이(14a)는, 고주파 전극을 겸하고 있다. 다이(14a)에는, 매칭 유닛(24)을 통해, RF 바이어스용의 고주파 전원(25)이 전기적으로 접속되어 있다. 고주파 전원(25)은, 피처리 기체(W)에 인입하는 이온의 에너지를 제어하는 데 적합한 일정한 주파수, 예컨대, 13.65 ㎒의 고주파 바이어스 전력을 소정의 파워로 출력한다. 일 실시형태에 있어서, 고주파 바이어스 전력의 파워는, 100 W∼500 W일 수 있다. 매칭 유닛(24)은, 고주파 전원(25)측의 임피던스와, 주로 전극, 플라즈마, 처리 용기(12)와 같은 부하측의 임피던스 사이에서 정합을 취하기 위한 정합기를 수용하고 있다. 이 정합기 중에 자기 바이어스 생성용의 블로킹 커패시터가 포함되어 있다.
다이(14a)의 상면에는, 피처리 기체(W)를 유지하기 위한 유지 부재인 정전척(15)이 설치되어 있다. 정전척(15)은, 피처리 기체(W)를 정전 흡착력으로 유지한다. 정전척(15)의 직경 방향 외측에는, 피처리 기체(W)의 주위 및 정전척(15)의 주위를 환형으로 둘러싸는 포커스 링(14b)이 설치되어 있다.
정전척(15)은, 전극(15a), 절연막(15b) 및 절연막(15c)을 포함하고 있다. 전극(15a)은, 도전막에 의해 구성되어 있고, 절연막(15b)과 절연막(15c) 사이에 설치되어 있다. 전극(15a)에는, 스위치(26) 및 피복선(27)을 통해 고압의 직류 전원(28)이 전기적으로 접속되어 있다. 정전척(15)은, 직류 전원(28)으로부터 인가되는 직류 전압에 의해 발생하는 쿨롱력에 의해, 피처리 기체(W)를 유지할 수 있다.
다이(14a)의 내부에는, 히터(29)가 설치되어 있다. 이 히터(29)는, 히터 전원(31)에 접속되어 있고, 히터 전원(31)으로부터 공급되는 전력에 의해 열을 발생하여, 피처리 기체(W)를 가열한다.
마이크로파 발생기(16)는, 예컨대, 2.45 ㎓의 마이크로파를 발생한다. 일 실시형태에서는, 플라즈마 처리 장치(10)는, 튜너(32), 도파관(33), 모드 변환기(34) 및 동축 도파관(35)을 더 구비할 수 있다.
마이크로파 발생기(16)는, 튜너(32)를 통해 도파관(33)에 접속되어 있다. 도파관(33)은, 예컨대, 직사각형 도파관이다. 도파관(33)은, 모드 변환기(34)에 접속되어 있고, 상기 모드 변환기(34)는, 동축 도파관(35)의 상단에 접속되어 있다.
동축 도파관(35)은, 축선(X)을 따라 연장되어 있다. 이 동축 도파관(35)은, 외측 도체(35a) 및 내측 도체(35b)를 포함하고 있다. 외측 도체(35a)는, 축선(X) 방향으로 연장되는 대략 원통 형상을 갖고 있다. 내측 도체(35b)는, 외측 도체(35a)의 내부에 설치되어 있다. 이 내측 도체(35b)는, 축선(X)을 따라 연장되는 대략 원통 형상을 갖고 있다.
마이크로파 발생기(16)에 의해 발생된 마이크로파는, 튜너(32) 및 도파관(33)을 통해 모드 변환기(34)에 도파된다. 모드 변환기(34)는, 마이크로파의 모드를 변환하고, 모드 변환 후의 마이크로파를 동축 도파관(35)에 공급한다. 동축 도파관(35)으로부터의 마이크로파는, 안테나(18)에 공급된다.
안테나(18)는, 마이크로파 발생기(16)에 의해 발생되는 마이크로파에 기초하여, 플라즈마 여기용의 마이크로파를 플라즈마 발생 공간(E)에 방사한다. 안테나(18)는, 유전체창(20), 슬롯판(36), 유전체판(37) 및 냉각 재킷(38)을 포함할 수 있다. 동축 도파관(35)으로부터의 마이크로파는, 유전체판(37)에 전파되고, 슬롯판(36)의 슬롯으로부터 유전체창(20)을 통해, 플라즈마 발생 공간(E)에 방사된다.
유전체창(20)은, 대략 원판 형상을 갖고 있고, 예컨대 석영에 의해 구성된다. 이 유전체창(20)은, 축선(X) 방향에 있어서 슬롯판(36) 바로 아래에 설치되어 있다.
도 2는, 일 실시형태에 따른 슬롯판(36)을 축선(X) 방향에서 본 평면도이다. 도 2에 도시한 바와 같이, 슬롯판(36)에는, 축선(X)을 중심으로 해서 둘레 방향으로 복수의 슬롯쌍이 배열되어 있다. 일 실시형태에서는, 슬롯판(36)은, 방사상 라인 슬롯 안테나를 구성하는 슬롯판일 수 있다. 슬롯판(36)은, 도전성을 갖는 금속제의 원판으로 구성된다. 슬롯판(36)에는, 복수의 슬롯쌍(36a)이 형성되어 있다. 각 슬롯쌍(36a)은, 서로 교차 또는 직교하는 방향으로 연장되는 슬롯(36b) 및 슬롯(36c)을 포함하고 있다. 복수의 슬롯쌍(36a)은, 직경 방향으로 소정의 간격으로 배치되어 있고, 또한, 둘레 방향으로 소정의 간격으로 배치되어 있다.
도 1에 도시한 바와 같이, 유전체판(37)은, 슬롯판(36)과 냉각 재킷(38)의 하측 표면 사이에 설치되어 있다. 유전체판(37)은, 예컨대 석영제이며, 대략 원판 형상을 갖고 있다. 냉각 재킷(38)의 표면은, 도전성을 가질 수 있다. 냉각 재킷(38)은, 유전체판(37) 및 슬롯판(36)을 냉각한다. 그 때문에, 냉각 재킷(38) 내에는, 냉매용의 유로가 형성되어 있다. 이 냉각 재킷(38)의 상부 표면에는, 외측 도체(35a)의 하단이 전기적으로 접속되어 있다. 또한, 내측 도체(35b)의 하단은, 냉각 재킷(38) 및 유전체판(37)의 중앙 부분에 형성된 구멍을 통해, 슬롯판(36)에 전기적으로 접속되어 있다.
일 실시형태에서는, 처리 용기(12)의 측벽(12a) 내에는, 가스 유로(39a) 및 복수의 분사 구멍(39b)이 형성되어 있다. 가스 유로(39a)는, 축선(X)을 중심으로 환형으로 연장되어 있고, 가스 공급부(41)에 접속되어 있다. 가스 공급부(41)는, 플라즈마 생성용의 가스를 가스 유로(39a)에 공급한다. 가스 공급부(41)에 의해 공급되는 플라즈마 생성용의 가스는, 예컨대 Ar 가스 또는 H2 가스이다. 이 가스 공급부(41)는, 가스원(41a), 밸브(41b) 및 유량 제어기(41c)를 포함할 수 있다. 가스원(41a)은, 플라즈마 생성용 가스의 가스원이다. 밸브(41b)는, 가스원(41a)으로부터의 가스의 공급 및 공급의 정지를 전환한다. 유량 제어기(41c)는, 예컨대, 매스 플로우 컨트롤러이며, 가스원(41a)으로부터의 가스의 유량을 조정한다. 가스 공급부(41)로부터 플라즈마 생성용의 가스를 받는 가스 유로(39a)에는, 복수의 분사 구멍(39b)이 접속되어 있다. 복수의 분사 구멍(39b)은, 축선(X) 중심으로 환형으로 배열되어 있다. 복수의 분사 구멍(39b)은, 플라즈마 발생 공간(E) 내에 플라즈마 생성용의 가스를 분사하는 것이며, 축선(X)을 향해 상기 가스를 분사한다.
일 실시형태에서는, 플라즈마 처리 장치(10)는, 샤워 플레이트(42)를 더 구비할 수 있다. 샤워 플레이트(42)는, 플라즈마 발생 공간(E)과 처리 공간(P) 사이에 개재되어 있고, 성막 가스 및 수소를 함유하는 가스를 포함하는 처리 가스를, 처리 공간(P)에 도입한다. 이 샤워 플레이트(42)는, 격자형으로 형성되어 있고, 격자의 내부에는 가스 유로(42a)가 형성되어 있다. 즉, 샤워 플레이트(42)에는, 격자형으로 연장되는 가스 유로(42a)가 형성되어 있다. 이 샤워 플레이트(42)의 가스 유로(42a)는, 가스 공급부(43)에 접속되어 있다.
가스 공급부(43)는, 성막 가스, 다결정 실리콘층을 처리하기 위한 처리 가스 및 희석 가스를 가스 유로(42a)에 공급한다. 일 실시형태에서는, 가스 공급부(43)는, 원료 가스 공급부(43a), 처리 가스 공급부(43b) 및 희석 가스 공급부(43c)를 포함하고 있다. 원료 가스 공급부(43a)는, 복수의 가스원(44a∼46a)과, 가스원(44a∼46a)에 각각 접속된 복수의 밸브(44b∼46b)와, 밸브(44b∼46b)에 각각 접속된 유량 제어기(44c∼46c)를 포함하고 있다.
가스원(44a)은, 다결정 실리콘층을 형성하기 위한 원료 가스의 가스원이다. 이 원료 가스는, 원료인 Si를 포함하며, 예컨대, SiH4 가스일 수 있다. 가스원(44a)은, 밸브(44b)와 유량 제어기(44c)를 통해 가스 유로(42a)에 접속되어 있다. 가스원(45a)은, 제1 가스의 가스원이다. 제1 가스는, 예컨대, 제1 도펀트 재료인 붕소(B)를 포함하는 B2H6 가스일 수 있다. 가스원(45a)은, 밸브(45b)와 유량 제어기(45c)를 통해 가스 유로(42a)에 접속되어 있다. 가스원(46a)은, 제2 가스의 가스원이다. 제2 가스는, 예컨대, 제2 도펀트 재료인 인(P)을 포함하는 PH3 가스일 수 있다. 가스원(46a)은, 밸브(46b)와 유량 제어기(46c)를 통해 가스 유로(42a)에 접속되어 있다.
처리 가스 공급부(43b)는, 가스원(47a)과, 가스원(47a)에 접속된 밸브(47b)와, 밸브(47b)에 접속된 유량 제어기(제1 유량 조정부)(47c)를 포함하고 있다. 가스원(47a)은, 처리 가스의 가스원이다. 처리 가스는, 수소 라디칼을 발생시키기 위한 수소를 함유하는 가스이며, 예컨대, H2 가스일 수 있다. 가스원(47a)은, 밸브(47b)와 유량 제어기(47c)를 통해 가스 유로(42a)에 접속되어 있다. 한편, 일 실시형태에서는, H2 가스인 처리 가스는, 다결정 실리콘층을 성장시킬 때에, 희석 가스로서도 이용되어도 좋다.
희석 가스 공급부(43c)는, 가스원(48a)과, 가스원(48a)에 접속된 밸브(48b)와, 밸브(48b)에 접속된 유량 제어기(제2 유량 조정부)(48c)를 포함하고 있다. 가스원(48a)은, 희석 가스의 가스원이다. 희석 가스는, 희가스 등의 불활성 가스이며, 예컨대, 아르곤(Ar) 가스일 수 있다. 가스원(48a)은, 밸브(48b)와 유량 제어기(48c)를 통해 가스 유로(42a)에 접속되어 있다. 한편, 일 실시형태에 있어서 Ar 가스인 희석 가스는, 다결정 실리콘층을 처리할 때에, 희석 가스로서 이용되어도 좋다. 또한, 일 실시형태에 있어서 Ar 가스인 희석 가스는, 다결정 실리콘층을 성장시킬 때에, 처리 가스 공급부(43b)로부터 공급되는 H2 가스와 함께 다른 희석 가스로서 이용되어도 좋다.
이러한 가스 공급부(43)에 접속된 샤워 플레이트(42)에는, 가스 유로(42a)에 접속되는 복수의 분사 구멍(42b)이 형성되어 있다. 복수의 분사 구멍(42b)은, 가스 유로(42a)에 공급된 처리 가스를 하방으로 분사하여 처리 공간(P) 내에 공급한다. 한편, 샤워 플레이트(42) 및 가스 공급부(43)는, 일 실시형태에 따른 가스 도입부를 구성하고 있다.
또한, 격자형으로 형성된 샤워 플레이트(42)는, 플라즈마 발생 공간(E)과 처리 공간(P)을 연통시키는 복수의 구멍(42c)을 구획하고 있다. 플라즈마 발생 공간(E)에 있어서 플라즈마화된 가스는, 복수의 구멍(42c)을 통해 처리 공간(P)에 공급되고, 상기 처리 공간(P)에 있어서 성막용의 처리 가스를 활성화시킨다.
일 실시형태에서는, 플라즈마 처리 장치(10)는, 프로그램 가능한 마이크로 프로세서(컴퓨터)를 포함하는 제어부(100)를 더 구비할 수 있다. 제어부(100)는, 플라즈마 처리 장치(10)의 각 구성부, 예컨대 고주파 전원(25), 가스 공급부(41, 43) 및 압력 조정부(22)를 제어할 수 있다. 또한, 플라즈마 처리 장치(10)는, 제어부(100)에 접속된 사용자 인터페이스(100a)를 더 구비할 수 있다. 사용자 인터페이스(100a)는, 오퍼레이터가 플라즈마 처리 장치(10)를 관리하기 위해서 커맨드의 입력 조작 등을 행하는 키보드나, 플라즈마 처리 장치(10)의 가동 상황을 가시화하여 표시하는 디스플레이 등을 포함한다.
또한, 제어부(100)에는, 플라즈마 처리 장치(10)에서 실행되는 각종 처리를 제어부(100)의 제어로 실현하기 위한 제어 프로그램이나, 처리 조건에 따라 플라즈마 처리 장치(10)의 각 구성부에 처리를 실행시키기 위한 프로그램, 즉, 처리 레시피가 저장된 기억부(100b)가 접속되어 있다. 처리 레시피는 기억부(100b) 중의 기억 매체에 기억되어 있다. 기억 매체는, 하드 디스크나 반도체 메모리여도 좋고, CD ROM, DVD, 플래시 메모리 등의 가반성(可搬性)의 것이어도 좋다. 또한, 다른 장치로부터, 예컨대 전용 회선을 통해 레시피를 적절하게 전송시키도록 해도 좋다.
그리고, 필요에 따라, 사용자 인터페이스(100a)로부터의 지시 등으로 임의의 처리 레시피를 기억부(100b)로부터 불러내어 제어부(100)에 실행시킴으로써, 제어부(100)의 제어하에서, 플라즈마 처리 장치(10)에서의 원하는 처리가 행해진다.
이러한 플라즈마 처리 장치(10)에서는, 처리 가스가 가스원(47a)으로부터 처리 공간(P)에 공급된다. 그리고, 플라즈마 발생 공간(E)으로부터 처리 공간(P)에 공급되는 플라즈마에 의해 처리 가스가 플라즈마화하여, 수소 라디칼이 생성된다. 이에 의해 다결정 실리콘층이 처리 공간(P)에 생성된 수소 라디칼에 노출되고, 그 표면은 극히 약간 에칭된다. 그 결과, 다결정 실리콘층의 표면은 평탄화된다. 따라서, 이 플라즈마 처리 장치(10)에 의하면, 다결정 실리콘층의 표면 조도를 저감시킬 수 있다.
일 실시형태에서는, 플라즈마 처리 장치(10)에서는, 제어부(100)가 제1 제어와 제2 제어를 교대로 반복하는 제어를 행한다. 제어부(100)는, 제1 제어에 있어서, 원료 가스 공급부(43a)에 원료 가스를 공급시키고, 마이크로파 발생기(16)에 마이크로파를 발생시킨다. 이 제1 제어에 의해, 다결정 실리콘층이 성장한다. 또한, 제어부(100)는, 제2 제어에 있어서, 처리 가스 공급부(43b)에 수소를 함유하는 처리 가스를 공급시키고, 마이크로파 발생기(16)에 마이크로파를 발생시킨다. 이 제2 제어에 의해, 다결정 실리콘층의 표면이 평탄화된다. 그리고, 제어부(100)가 다시 제1 제어를 실행하면, 표면 조도가 저감된 하지 상에 다른 다결정 실리콘층이 성장한다. 따라서, 다결정 실리콘층의 두께 분포에 대한 하지의 표면 조도의 영향이 저감된다. 한편, 가스원(44a∼48a)으로부터의 각 가스의 공급은, 밸브(44b∼48b) 및 유량 제어기(44c∼48c)에 대한 제어부(100)에 의한 제어에 의해 조정될 수 있다.
또한, 일 실시형태에서는, 플라즈마 처리 장치(10)의 원료 가스 공급부(43a)는, 제1 도펀트 재료를 함유하는 제1 가스 및 제2 도펀트 재료를 함유하는 제2 가스를 더 공급 가능하다. 이 실시형태에서는, 제어부(100)는, 복수 회의 제1 제어에 있어서, 원료 가스 공급부(43a)에, 원료 가스에 더하여 선택적으로 제1 가스 또는 제2 가스를 처리 용기(12) 내에 공급시킬 수 있다. 또한, 이 실시형태의 플라즈마 처리 장치(10)는, 전술한 바와 같이, 방사상 라인 슬롯 안테나로부터 방출된 마이크로파에 의해 전자 온도가 낮은 플라즈마를 여기할 수 있다. 따라서, 불순물 원자가 격자 구조에 편입된 상태로, 다결정 실리콘층을 성장시킬 수 있다. 그러므로, 플라즈마 처리 장치(10)는, 활성화된 제1 도전형의 다결정 실리콘층과 제2 도전형의 실리콘층을 성장시키는 것도 가능하다. 이와 같이, 실시형태의 플라즈마 처리 장치(10)는, pin형의 다이오드를 제조하는 것이 가능하다.
또한, 플라즈마 처리 장치(10)의 제어부(100)는, 피처리 기체(W)에 다결정 실리콘층을 형성하기 전에 제2 제어를 행하는 것이 가능하다. 여기서, 피처리 기체(W)의 표면은, 예컨대, pin형 다이오드의 전극층이다. 다결정 실리콘층의 성장 전에 하지가 되는 피처리 기체의 표면, 즉 전극층의 표면을 평탄화함으로써, 하지의 표면 조도의 영향이 억제된 다결정 실리콘층을 얻을 수 있다.
또한, 전술한 바와 같이, 플라즈마 처리 장치(10)는, 수소를 함유하는 처리 가스로서, 수소 가스를 이용하는 것이 가능하다. 처리 가스에 수소 가스를 이용함으로써, 처리 용기(12) 내에 수소 라디칼을 효율적으로 발생시키는 것이 가능해진다.
일 실시형태에서는, 플라즈마 처리 장치(10)는, 제어부(100)에 의해 유량 제어기(47c, 48c)를 제어함으로써, Ar 가스의 유량에 대한 H2 가스의 유량의 비율을 10% 이하로 설정해도 좋다. 이러한 범위의 비율로 H2 가스의 유량과 Ar 가스의 유량을 설정함으로써, 수소 라디칼의 발생 효율을 높일 수 있다.
또한, 일 실시형태에서는, 플라즈마 처리 장치(10)는, 제어부(100)에 의해 압력 조정부(22)를 제어함으로써, 처리 용기(12) 내의 압력을 13 ㎩ 이하로 설정해도 좋다. 이러한 범위의 압력으로 처리 용기(12) 내의 압력을 설정함으로써, 수소 라디칼의 발생 효율을 보다 높일 수 있다.
이하, 도 1의 플라즈마 처리 장치(10)를 이용한 플라즈마 처리 방법의 일 실시형태에 대해서 설명한다. 도 3은, 일 실시형태에 따른 플라즈마 처리 방법을 나타낸 플로우 차트이다. 도 4는, 일 실시형태에 따른 플라즈마 처리 방법에 있어서의 성막의 원리를 개략적으로 도시한 도면이다. 도 5는, 일 실시형태에 따른 플라즈마 처리 방법의 각 공정을 설명하기 위한 타이밍 차트이다.
일 실시형태의 플라즈마 처리 방법에서는, 도 3 및 도 4에 도시한 바와 같이, 피처리 기체(W)인 반도체 기판을 준비한다(공정 S1). 일 실시형태에서는, 피처리 기체(W)는, 기판(sub) 상에 설치된 전극층(TL)을 가질 수 있다. 공정 S1에서는, 처리 용기(12) 내에 반도체 기판(sub)을 수용하고, 상기 반도체 기판(sub)을 스테이지(14) 상에 배치하며, 정전척(15)에 의해 반도체 기판(sub)을 흡착한다.
다음으로, 일 실시형태에서는, 공정 S2에 있어서, 성막 프로세스를 행한다. 이 실시형태에서는, 성막 프로세스는, 이하에 설명하는 공정 S3∼S10, 즉, 상기 성막 프로세스의 일부인 예비 공정과, 피처리 기체(W) 및 다결정 실리콘층을 처리하는 공정과, 다결정 실리콘층을 성장시키는 공정을 포함한다.
공정 S3에서는, 성막 프로세스의 일부인 예비 공정을 행한다. 구체적으로는, 도 5에 도시한 바와 같이, 공정 S3에서는, 기간 T1에 있어서, 배기 장치(23)에 의해 처리 용기(12) 내를 배기하면서, 가스원(47a)으로부터 처리 가스, 예컨대, H2 가스를 유량 qr4로 처리 공간(P)에 공급하고, 가스원(48a)으로부터 희석 가스, 예컨대, Ar 가스를 유량 qh3으로 처리 공간(P)에 공급하며, 처리 용기(12) 내의 압력을 압력 Pr3으로 설정한다. 또한, 기간 T1에서는, 히터(29)에 전력을 부여함으로써, 스테이지(14)의 가열을 개시한다. 또한, 기간 T1에서는, 가스원(41a)으로부터 플라즈마 생성용의 가스, 예컨대, Ar 가스를 플라즈마 발생 공간(E)에 도입한다.
계속해서, 기간 T2에 있어서, 배기 장치(23)에 의해 처리 용기(12) 내를 배기하면서, 가스원(47a)으로부터 처리 가스를 유량 qr4보다 적은 유량 qr3으로 처리 공간(P)에 공급하고, 가스원(48a)으로부터 희석 가스를 유량 qh3보다 적은 유량 qh2로 처리 공간(P)에 공급하며, 처리 용기(12) 내의 압력을 압력 Pr3보다 낮은 압력 Pr2로 저하시킨다. 또한, 기간 T2에서는, 가스원(41a)으로부터 플라즈마 생성용의 가스를 플라즈마 발생 공간(E)에 도입하고, 마이크로파 발생기(16)에 의해 출력 파워 MW2의 마이크로파를 발생시키며, 플라즈마 여기용의 마이크로파를 플라즈마 발생 공간(E)에 도입한다. 이에 의해, 플라즈마 발생 공간(E)에 있어서 플라즈마가 착화(着火)된다.
계속해서, 기간 T3에 있어서, 배기 장치(23)에 의해 처리 용기(12) 내를 배기하면서, 가스원(47a)으로부터 처리 가스를 유량 qr3보다 적은 유량 qr2로 처리 공간(P)에 공급하고, 가스원(48a)으로부터 희석 가스를 유량 qh2보다 적은 유량 qh1로 처리 공간(P)에 공급하며, 처리 용기(12) 내의 압력을 압력 Pr2보다 낮은 압력 Pr1로 저하시킨다. 또한, 기간 T3에서는, 가스원(41a)으로부터 플라즈마 생성용의 가스를 플라즈마 발생 공간(E)에 도입하고, 마이크로파 발생기(16)에 의해 출력 파워 MW2보다 작은 출력 파워 MW1의 마이크로파를 발생시키며, 플라즈마 여기용의 마이크로파를 플라즈마 발생 공간(E)에 도입한다.
한편, 공정 S3에서의 기간 T1∼T3에서는, 희석 가스의 유량, 원료 가스의 유량, 제1 가스의 유량 또는 제2 가스의 유량 및 처리 용기(12) 내의 압력을, 이하의 공정 S5, S7, S9와 동일하게 설정해도 좋다. 또한, 기간 T2 및 T3에서는, 마이크로파 발생기(16)에 의해 발생하는 마이크로파 출력 파워를, 이하의 공정 S5, S7, S9와 동일하게 설정해도 좋다.
일 실시형태의 플라즈마 처리 방법에서는, 공정 S3에서의 예비 공정이 종료된 후, 다결정 실리콘층의 성막을 행한다. 일 실시형태에서는, 다결정 실리콘층을 성막하는 공정은, 피처리 기체(W)를 처리하기 위한 공정 S4, 제1 도전형의 다결정 실리콘층인 p형 다결정 실리콘층을 성장시키기 위한 공정 S5, 공정 S5에서 성장시킨 p형 다결정 실리콘층을 처리하기 위한 공정 S6, i형 다결정 실리콘층을 성장시키기 위한 공정 S7, 공정 S6에서 성장시킨 i형 다결정 실리콘층을 처리하기 위한 공정 S8, 제2 도전형의 다결정 실리콘층인 n형 다결정 실리콘층을 성장시키기 위한 공정 S9, 공정 S9에서 성장시킨 n형 다결정 실리콘층을 처리하기 위한 공정 S10을 포함하고 있다.
공정 S4에서는, 제어부(100)가 가스원(47a)에 처리 가스를 처리 용기(12) 내에 공급시키고, 마이크로파 발생기(16)에 마이크로파를 발생시키는 제2 제어를 행한다. 보다 상세하게는, 공정 S4에서는, 가스원(44a)으로부터의 원료 가스의 공급을 정지하고, 가스원(45a)으로부터의 제1 가스의 공급을 정지하며, 가스원(46a)으로부터의 제2 가스의 공급을 정지하고, 배기 장치(23)에 의해 처리 용기(12) 내를 배기하면서, 가스원(47a)으로부터 처리 가스를 유량 qr2로 처리 공간(P)에 공급하고, 가스원(48a)으로부터 희석 가스를 유량 qh1로 처리 공간(P)에 공급하며, 처리 용기(12) 내의 압력을 압력 Pr1로 유지한다.
공정 S4에서의 처리 가스의 유량은, 예컨대, 10 sccm∼100 sccm이고, 희석 가스의 유량은, 예컨대, 100 sccm∼1000 sccm이다. 이 공정 S4에서는, 희석 가스의 유량 qh1에 대하여 처리 가스의 유량 qr2의 비율이 10% 이하이다. 또한, 처리 용기(12) 내의 압력은, 13 ㎩ 이하이다. 또한, 공정 S4에서는, 가스원(41a)으로부터 플라즈마 생성용의 가스를 플라즈마 발생 공간(E)에 도입하고, 마이크로파 발생기(16)에 의해 발생하는 마이크로파 출력 파워를 출력 파워 MW1로 유지한다. 공정 S4에서의 마이크로파 출력 파워는, 예컨대, 2000 W∼4000 W이다. 또한, 공정 S4에서는, 고주파 전원(25)으로부터 고주파 전극에 고주파 바이어스 전력 RF2를 가해도 좋다. 또한, 일 실시형태에서는, 공정 S4에 있어서, 처리 공간(P)에 공급되는 희석 가스는, 예컨대 Ar 가스이다.
이러한 공정 S4에서는, 플라즈마 발생 공간(E)에 있어서 플라즈마가 여기되고, 상기 플라즈마가 처리 공간(P)에 공급되며, 처리 공간(P)에 있어서 처리 가스가 활성화된다. 이에 의해, 도 4의 (a)에 도시한 바와 같이, 수소의 활성종인 수소 라디칼(도면 중, 원에 의해 둘러싸인 「H」)이 생성된다. 생성된 수소 라디칼은 반도체 기판(sub)의 표면, 즉 전극층(TL)의 표면과 반응하여, 전극층(TL)의 표면을, 상기 표면의 요철을 저감시키도록, 에칭한다. 따라서, 공정 S4에서는, 이어지는 공정 S5의 성막의 하지가 되는 전극층(TL)의 표면 조도를 저감할 수 있다.
이어지는 공정 S5에서는, 제어부(100)가 가스원(44a)에 원료 가스를 처리 용기(12) 내에 공급시키고, 가스원(45a)에 제1 가스를 처리 용기(12)에 공급시키며, 마이크로파 발생기(16)에 마이크로파를 발생시키는 제1 제어를 행한다. 보다 상세하게는, 공정 S5에서는, 배기 장치(23)에 의해 처리 용기(12) 내를 배기하면서, 가스원(47a)으로부터 처리 가스를 유량 qr2보다 적은 유량 qr1로 처리 공간(P)에 공급하고, 가스원(48a)으로부터 희석 가스를 유량 qh1로 처리 공간(P)에 공급하며, 가스원(44a)으로부터의 원료 가스를 유량 qs2로 처리 공간(P)에 공급하고, 가스원(45a)으로부터의 제1 가스를 유량 q12로 처리 공간(P)에 공급하며, 처리 용기(12) 내의 압력을 압력 Pr1로 유지한다. 처리 가스의 유량은, 예컨대, 0 sccm∼1000 sccm이고, 희석 가스의 유량은, 0 sccm∼1000 sccm이다. 원료 가스의 유량은, 예컨대, 1 sccm∼100 sccm이고, 제1 가스의 유량은 0.02 sccm∼2 sccm이다.
또한, 공정 S5에서는, 가스원(41a)으로부터 플라즈마 생성용의 가스를 플라즈마 발생 공간(E)에 도입하고, 마이크로파 발생기(16)에 의해 발생하는 마이크로파 출력 파워를 출력 파워 MW1로 유지한다. 공정 S5에서의 마이크로파 출력 파워는, 예컨대, 2000 W∼4000 W이다. 또한, 일 실시형태에서는, 공정 S5에 있어서, 처리 공간(P)에 공급되는 희석 가스는, 가스원(47a)으로부터 공급되는 H2 가스와, 가스원(48a)으로부터 공급되는 Ar 가스와의 혼합 가스이다. 즉, 공정 S4에서의 처리 가스는, 공정 S5에서는 희석 가스로서 이용될 수 있다. 또한, 일 실시형태에서는, 공정 S5에 있어서, 고주파 전원(25)으로부터 고주파 전극에 바이어스 전력을 부여해도 좋고, 이 바이어스 전력은 100 W∼500 W의 범위의 전력이어도 좋다.
이러한 공정 S5에서는, 플라즈마 발생 공간(E)에 있어서 플라즈마가 여기되고, 상기 플라즈마가 처리 공간(P)에 공급되며, 처리 공간(P)에 있어서 원료 가스 및 제1 가스가 활성화된다. 이에 의해, 도 4의 (b)에 도시한 바와 같이, 실리콘의 활성종(도면 중, 원에 의해 둘러싸인 「Si」) 및 제1 도펀트 재료의 활성종(도면 중, 원에 의해 둘러싸인 「B」)이, 반도체 기판(sub)의 표면과 반응하여, 평탄화된 반도체 기판(sub) 상에 p형 다결정 실리콘층(H1)을 성장시킨다. 이 공정 S5에서 성장된 p형 다결정 실리콘층(H1)은, 예컨대 3 ㎚∼50 ㎚의 두께를 갖는다.
이어지는 공정 S6에서는, 제어부(100)가 다시 제2 제어를 행한다. 보다 상세하게는, 공정 S6에서는, 가스원(44a)으로부터의 원료 가스 및 가스원(45a)으로부터의 제1 가스의 공급을 정지하고, 배기 장치(23)에 의해 처리 용기(12) 내를 배기하면서, 가스원(47a)으로부터 처리 가스를 유량 qr2로 처리 공간(P)에 공급하고, 가스원(48a)으로부터 희석 가스를 유량 qh1로 처리 공간(P)에 공급하며, 처리 용기(12) 내의 압력을 압력 Pr1로 유지한다.
공정 S6에서의 처리 가스의 유량은, 예컨대, 10 sccm∼100 sccm이고, 희석 가스의 유량은, 예컨대, 100 sccm∼1000 sccm이다. 이 공정 S6에서는, 희석 가스의 유량 qh1에 대하여 처리 가스의 유량 qr2의 비율이 10% 이하이다. 또한, 처리 용기(12) 내의 압력은, 13 ㎩ 이하이다. 또한, 공정 S6에서는, 가스원(41a)으로부터 플라즈마 생성용의 가스를 플라즈마 발생 공간(E)에 도입하고, 마이크로파 발생기(16)에 의해 발생하는 마이크로파 출력 파워를 출력 파워 MW1로 유지한다. 공정 S6에서의 마이크로파 출력 파워는, 예컨대, 2000 W∼4000 W이다. 또한, 공정 S6에서는, 고주파 전원(25)으로부터 고주파 전극에 고주파 바이어스 전력 RF2를 가한다. 또한, 일 실시형태에서는, 공정 S6에 있어서, 처리 공간(P)에 공급되는 희석 가스는, 예컨대 Ar 가스이다. 또한, 일 실시형태에서는, 공정 S6에 있어서, 고주파 전원(25)으로부터 고주파 전극에 바이어스 전력을 부여해도 좋고, 이 바이어스 전력은 500 W 이하의 범위의 전력이어도 좋다.
이러한 공정 S6에서는, 플라즈마 발생 공간(E)에 있어서 플라즈마가 여기되고, 상기 플라즈마가 처리 공간(P)에 공급되며, 처리 공간(P)에 있어서 처리 가스가 활성화된다. 이에 의해, 도 4의 (c)에 도시한 바와 같이, 수소의 활성종인 수소 라디칼(도면 중, 원에 의해 둘러싸인 「H」)이 생성된다. 생성된 수소 라디칼은 p형 다결정 실리콘층(H1)의 표면과 반응하여, p형 다결정 실리콘층(H1)의 표면을, 상기 표면의 요철을 저감시키도록, 에칭한다. 따라서, 공정 S6에서는, 이어지는 공정 S7의 성막의 하지가 되는 p형 다결정 실리콘층(H1)의 표면 조도를 저감할 수 있다.
이어지는 공정 S7에서는, 제어부(100)가 가스원(44a)에 원료 가스를 처리 용기(12) 내에 공급시키고, 마이크로파 발생기에 마이크로파를 발생시키는 제1 제어를 행한다. 보다 상세하게는, 공정 S7에서는, 배기 장치(23)에 의해 처리 용기(12) 내를 배기하면서, 가스원(47a)으로부터 처리 가스를 유량 qr1로 처리 공간(P)에 공급하고, 가스원(48a)으로부터 희석 가스를 유량 qh1로 처리 공간(P)에 공급하며, 가스원(44a)으로부터의 원료 가스를 유량 qs2로 처리 공간(P)에 공급하고, 처리 용기(12) 내의 압력을 압력 Pr1로 유지한다.
원료 가스의 유량은, 예컨대, 1 sccm∼100 sccm이다. 또한, 공정 S7에서는, 가스원(41a)으로부터 플라즈마 생성용의 가스를 플라즈마 발생 공간(E)에 도입하고, 마이크로파 발생기(16)에 의해 발생하는 마이크로파 출력 파워를 출력 파워 MW1로 유지한다. 공정 S7에서의 마이크로파 출력 파워는, 예컨대, 2000 W∼4000 W이다. 또한, 공정 S7에서는, 고주파 전원(25)으로부터 고주파 전극에 고주파 바이어스 전력 RF2를 가한다. 공정 S7에서의 고주파 바이어스 전력은, 예컨대 100 W∼500 W이다. 또한, 일 실시형태에서는, 공정 S7에 있어서, 처리 공간(P)에 공급되는 희석 가스는, Ar 가스이다.
이러한 공정 S7에서는, 플라즈마 발생 공간(E)에 있어서 플라즈마가 여기되고, 상기 플라즈마가 처리 공간(P)에 공급되며, 처리 공간(P)에 있어서 원료 가스가 활성화된다. 이에 의해, 도 4의 (d)에 도시한 바와 같이, 실리콘의 활성종(도면 중, 원에 의해 둘러싸인 「Si」)이, p형 다결정 실리콘층(H1)의 표면과 반응하여, 평탄화된 p형 다결정 실리콘층(H1) 상에 i형 다결정 실리콘층(H2)을 성장시킨다. 이 공정 S7에서 성장된 i형 다결정 실리콘층(H2)은, 예컨대 40 ㎚∼100 ㎚의 두께를 갖는다.
이어지는 공정 S8에서는, 제어부(100)가 다시 제2 제어를 행한다. 보다 상세하게는, 가스원(44a)으로부터의 원료 가스의 공급을 정지하고, 배기 장치(23)에 의해 처리 용기(12) 내를 배기하면서, 가스원(47a)으로부터 처리 가스를 유량 qr2로 처리 공간(P)에 공급하고, 가스원(48a)으로부터 희석 가스를 유량 qh1로 처리 공간(P)에 공급하며, 처리 용기(12) 내의 압력을 압력 Pr1로 유지한다. 처리 가스의 유량은, 예컨대, 10 sccm∼100 sccm이고, 희석 가스의 유량은, 예컨대, 100 sccm∼1000 sccm이다. 이 공정 S8에서는, 희석 가스의 유량 qh1에 대하여 처리 가스의 유량 qr2의 비율이 10% 이하이다. 또한, 처리 용기(12) 내의 압력은, 13 ㎩ 이하이다. 또한, 공정 S8에서는, 가스원(41a)으로부터 플라즈마 생성용의 가스를 플라즈마 발생 공간(E)에 도입하고, 마이크로파 발생기(16)에 의해 발생하는 마이크로파 출력 파워를 출력 파워 MW1로 유지한다. 공정 S8에서의 마이크로파 출력 파워는, 예컨대, 2000 W∼4000 W이다. 또한, 공정 S8에서는, 고주파 전원(25)으로부터 고주파 전극에 고주파 바이어스 전력 RF2를 가한다. 또한, 일 실시형태에서는, 공정 S8에 있어서, 처리 공간(P)에 공급되는 희석 가스는, 예컨대 Ar 가스이다.
이러한 공정 S8에서는, 플라즈마 발생 공간(E)에 있어서 플라즈마가 여기되고, 상기 플라즈마가 처리 공간(P)에 공급되며, 처리 공간(P)에 있어서 처리 가스가 활성화된다. 이에 의해, 도 4의 (e)에 도시한 바와 같이, 수소의 활성종인 수소 라디칼(도면 중, 원에 의해 둘러싸인 「H」)이 생성된다. 생성된 수소 라디칼은, i형 다결정 실리콘층(H2)의 표면과 반응하여, i형 다결정 실리콘층(H2)의 표면을, 그 요철을 저감시키도록, 에칭한다. 따라서, 공정 S8에서는, 이어지는 공정 S9의 성막의 하지가 되는 i형 다결정 실리콘층(H2)의 표면 조도를 저감할 수 있다.
이어지는 공정 S9에서는, 제어부(100)가 가스원(44a)에 원료 가스를 처리 용기(12) 내에 공급시키고, 가스원(46a)에 제2 가스를 처리 용기(12) 내에 공급시키며, 마이크로파 발생기(16)에 마이크로파를 발생시키는 제1 제어를 행한다. 보다 상세하게는, 배기 장치(23)에 의해 처리 용기(12) 내를 배기하면서, 가스원(47a)으로부터 처리 가스를 유량 qr1로 처리 공간(P)에 공급하고, 가스원(48a)으로부터 희석 가스를 유량 qh1로 처리 공간(P)에 공급하며, 가스원(44a)으로부터의 원료 가스를 유량 qs2로 처리 공간(P)에 공급하고, 가스원(46a)으로부터의 제2 가스를 유량 q22로 처리 공간(P)에 공급하며, 처리 용기(12) 내의 압력을 압력 Pr1로 유지한다. 원료 가스의 유량은, 예컨대, 1 sccm∼100 sccm이고, 제2 가스의 유량은 0.02 sccm∼2 sccm이다.
또한, 공정 S9에서는, 가스원(41a)으로부터 플라즈마 생성용의 가스를 플라즈마 발생 공간(E)에 도입하고, 마이크로파 발생기(16)에 의해 발생하는 마이크로파 출력 파워를 출력 파워 MW1로 유지한다. 공정 S9에서의 마이크로파 출력 파워는, 예컨대, 2000 W∼4000 W이다. 또한, 일 실시형태에서는, 공정 S7에 있어서, 처리 공간(P)에 공급되는 희석 가스는, Ar 가스이다. 또한, 일 실시형태에서는, 공정 S9에 있어서, 고주파 전원(25)으로부터 고주파 전극에 바이어스 전력을 부여해도 좋고, 이 바이어스 전력은 100 W∼500 W의 범위의 전력이어도 좋다.
이러한 공정 S9에서는, 플라즈마 발생 공간(E)에 있어서 플라즈마가 여기되고, 상기 플라즈마가 처리 공간(P)에 공급되며, 처리 공간(P)에 있어서 원료 가스 및 제2 가스가 활성화된다. 이에 의해, 도 4의 (f)에 도시한 바와 같이, 실리콘의 활성종(도면 중, 원에 의해 둘러싸인 「Si」) 및 제2 도펀트 재료의 활성종(도면 중, 원에 의해 둘러싸인 「P」)이, 피처리 기체(W)의 표면, 즉, i형 다결정 실리콘층(H2)의 표면과 반응하여, 평탄화된 i형 다결정 실리콘층(H2) 상에 n형 다결정 실리콘층(H3)을 성장시킨다.
이어지는 공정 S10에서는, 제어부(100)가 다시 제2 제어를 행한다. 보다 상세하게는, 가스원(44a)으로부터의 원료 가스의 공급을 정지하고, 가스원(46a)으로부터의 제2 가스의 공급을 정지하며, 배기 장치(23)에 의해 처리 용기(12) 내를 배기하면서, 가스원(47a)으로부터 처리 가스를 유량 qr2로 처리 공간(P)에 공급하고, 가스원(48a)으로부터 희석 가스를 유량 qh1로 처리 공간(P)에 공급하며, 처리 용기(12) 내의 압력을 압력 Pr1로 유지한다. 처리 가스의 유량은, 예컨대, 10 sccm∼100 sccm이고, 희석 가스의 유량은, 예컨대, 100 sccm∼1000 sccm이다. 이 공정 S10에서는, 희석 가스의 유량 qh1에 대하여 처리 가스의 유량 qr2의 비율이 10% 이하이다. 또한, 처리 용기(12) 내의 압력은, 13 ㎩ 이하이다.
또한, 공정 S10에서는, 가스원(41a)으로부터 플라즈마 생성용의 가스를 플라즈마 발생 공간(E)에 도입하고, 마이크로파 발생기(16)에 의해 발생하는 마이크로파 출력 파워를 출력 파워 MW1로 유지한다. 공정 S10에서의 마이크로파 출력 파워는, 예컨대, 2000 W∼4000 W이다. 또한, 공정 S10에서는, 고주파 전원(25)으로부터 고주파 전극에 고주파 바이어스 전력 RF2를 가한다. 또한, 일 실시형태에서는, 공정 S10에 있어서, 처리 공간(P)에 공급되는 희석 가스는, 예컨대 Ar 가스이다.
이러한 공정 S10에서는, 플라즈마 발생 공간(E)에 있어서 플라즈마가 여기되고, 상기 플라즈마가 처리 공간(P)에 공급되며, 처리 공간(P)에 있어서 처리 가스가 활성화된다. 이에 의해, 도 4의 (g)에 도시한 바와 같이, 수소의 활성종인 수소 라디칼(도면 중, 원에 의해 둘러싸인 「H」)이 생성된다. 생성된 수소 라디칼은 n형 다결정 실리콘층(H3)의 표면과 반응하여, n형 다결정 실리콘층(H3)의 표면을, 그 요철을 저감시키도록, 에칭한다. 따라서, 공정 S10에서는, 이어지는 전극층의 형성의 하지가 되는 n형 다결정 실리콘층(H2)의 표면 조도를 저감할 수 있다.
이상의 공정 S1∼S10을 종료한 후, 도 4의 (h)에 도시한 바와 같이, 반도체 기판(sub) 상의 전극층(TL) 상에 p형 다결정 실리콘층(H1), i형 다결정 실리콘층(H2) 및 n형 다결정 실리콘층(H3)이 적층된 생산물(Y)이 형성된다. 일 실시형태에서는, 이 생산물(Y)의 표면에 전극을 더 형성함으로써, pin형 다이오드가 제조된다.
이상 설명한 바와 같이, 이 플라즈마 처리 방법에서는, 처리 용기(12) 내에서 수소 라디칼을 생성하고, 각 층의 표면을 상기 수소 라디칼에 노출시키고 있다. 수소 라디칼은, 각 층의 표면을 극히 약간 에칭하여, 상기 표면의 요철을 저감시킨다. 따라서, 이 플라즈마 처리 방법에 의하면, 각 층의 표면 조도가 저감된다. 그 결과, 각 층의 두께 분포에 대한 하지의 표면 조도의 영향을 억제하는 것이 가능해진다.
그런데, 다결정 실리콘층의 표면 조도를 저감시키는 방법으로서, 화학 기계적연마법(CMP법) 등의 표면 처리 기술이 있다. 그러나, CMP 등의 표면 처리 기술은, 반도체 장치의 제조에 요하는 공정수를 증가시키고, 나아가서는 반도체 장치의 제조 공정의 생산성을 저하시키며 제조 비용을 증가시킬 수 있다.
이에 비하여, 일 실시형태의 플라즈마 처리 방법에서는, 처리 용기(12) 내에 공급하는 가스의 종류 및 유량을 제어함으로써, 동일한 처리 용기(12) 내에서, 각 층의 표면 조도를 저감시키는 처리와, 다결정 실리콘층(H1∼H3)의 성장을 행할 수 있다. 즉, 수소 라디칼에 피처리 기체(W) 또는 다결정 실리콘층을 노출시키는 공정 S4, S6, S8, S10은, 다결정 실리콘층(H1∼H3)을 성장시키는 공정 S5, S7, S9와 동일한 처리 용기(12) 내에서 행하는 것이 가능하다. 따라서, 이 플라즈마 처리 방법은, 다결정 실리콘층(H1∼H3)이 성장한 피처리 기체(W)를, 처리 용기(12)로부터 반출하고, 상기 피처리 기체(W)를 다른 처리 용기에 설치하는 공정을 필요로 하지 않는다. 따라서, 이 플라즈마 처리 방법은, 반도체 장치의 제조 공정의 생산성의 저하를 억제하고, 제조 비용의 증가를 억제하는 것도 가능하다. 또한, 이 플라즈마 처리 방법은, 표면을 평탄화한 다결정 실리콘층(H1∼H3)을 바깥 공기에 노출시키지 않고, 평탄화한 다결정 실리콘층(H1∼H3)의 표면에 다른 다결정 실리콘층(H2∼H3)을 성장시키는 것이 가능하다. 따라서, 평탄화한 표면의 산화를 억제할 수도 있다.
일 실시형태에서는, 전술한 바와 같이, 공정 S4, S6, S8, S10에 있어서는, 수소를 포함하는 처리 가스에 더하여, 희석 가스가 처리 용기 내에 공급되고 있다. 이 희석 가스의 유량에 대한 처리 가스의 유량의 비율은 10% 이하여도 좋다. 이러한 범위의 비율로 H2 가스의 유량과 Ar 가스의 유량을 설정함으로써, 수소 라디칼의 발생 효율을 높일 수 있다.
일 실시형태에서는, 전술한 바와 같이, 공정 S4, S6, S8, S10에 있어서는, 처리 용기(12) 내의 압력이 13 ㎩ 이하로 설정되어도 좋다. 이러한 범위의 압력으로 처리 용기(12) 내의 압력을 설정함으로써, 수소 라디칼의 발생 효율을 보다 높일 수 있다.
이하, 표면 조도와 다결정 실리콘층의 두께의 관계에 대해서 고찰하고, 계속해서, 다결정 실리콘층의 표면 조도의 요구치에 대해서 고찰한다. 먼저, 도 6을 참조한다. 도 6의 (a)는, 피처리 기체(W) 상에 성장시킨 pin 구조(80)를 모식적으로 도시하고 있다. 도 6의 (a)에 도시한 바와 같이, pin 구조는, n형 다결정 실리콘층(81), i형 다결정 실리콘층(82) 및 p형 다결정 실리콘층(83)을 포함하는 적층 구조이다. n형 다결정 실리콘층(81)은 피처리 기체(W) 상에 형성되어 있고, i형 다결정 실리콘층(82)은, n형 다결정 실리콘층(81) 상에는 형성되어 있다. 그리고, p형 다결정 실리콘층(83)은 i형 다결정 실리콘층(82) 상에 형성되어 있다.
이러한 pin 구조(80)를 그 일부로서 갖는 반도체 소자를 제조할 때에는, 도 6의 (b)에 도시한 바와 같이, pin 구조(80)는, 적층 방향(H)에 있어서 절단되어, 분리된다. 여기서, 도 6의 (a)에 도시한 바와 같이, 다결정 실리콘층(81∼83) 각각의 표면은, 성장시에 형성된 표면 조도(Ra)를 갖고 있다. 그 결과, 하지의 요철과 층 표면의 요철의 위치 관계에 의해, 도 6의 (b)에 도시한 바와 같이, 소자마다 각 층의 두께가 상이하게 된다. 예컨대, 하나의 소자가, i형 다결정 실리콘층(82)의 표면이 볼록한 영역(A1)으로 구성되어 있고, 이 소자의 p형 다결정 실리콘층(83)의 표면이 오목한 경우에는, p형 다결정 실리콘층(83)의 두께(t1)가, 다른 소자의 p형 다결정 실리콘층(83)의 두께보다 작아진다. 이것으로부터 명백한 바와 같이, 각 층의 표면 조도를 저감시킬 필요가 있다.
계속해서, 다결정 실리콘층의 표면 조도의 요구치에 대해서 고찰한다. 도 7은, pn 접합부를 갖는 적층 구조(90)를 모식적으로 도시한 도면이다. 이 적층 구조(90)에서는, n형 다결정 실리콘층(91) 위에 p형 다결정 실리콘층(92)이 형성되어 있고, n형 다결정 실리콘층(91)과 p형 다결정 실리콘층(92) 사이에는 pn 접합부(93)가 개재되어 있다. 이러한 적층 구조(90)에 있어서는, pn 접합부(93) 근방의 n형 다결정 실리콘층(91)에는, 폭[Wd(n)]을 갖는 n측 공핍층(91a)이 발생한다. 또한, pn 접합부(93) 근방의 p형 다결정 실리콘층(92)에는, 폭[Wd(p)]을 갖는 p측 공핍층(92a)이 발생한다.
이 공핍층(94)의 폭(Wd)은, p형 다결정 실리콘층(91) 및 n형 다결정 실리콘층(92)에 도핑된 불순물 농도에 의존한다. 그래서, n형 다결정 실리콘층(91)에 있어서의 불순물 농도와 n측 공핍층(91a)의 폭[Wd(n)]과의 관계, 및 p형 다결정 실리콘층(92)에 있어서의 불순물 농도와 p측 공핍층(92a)의 폭[Wd(p)]과의 관계를 계산에 의해 구하였다.
n형 다결정 실리콘층(91)에 있어서의 불순물 농도와 n측 공핍층(91a)의 폭[Wd(n)]은, 하기 식 (1)로 나타난다. 또한, p형 다결정 실리콘층(92)에 있어서의 불순물 농도와 p측 공핍층(92a)의 폭[Wd(p)]은, 하기 식 (2)로 나타난다. 그리고, 하기 식 (3)으로 나타나는 바와 같이, 폭[Wd(n)]과 폭[Wd(p)]의 합계가 반도체 적층(90)에 있어서의 공핍층(94)의 폭(Wd)이다.
Figure 112014126452627-pct00001
Figure 112014126452627-pct00002
Figure 112014126452627-pct00003
여기서, ε0은 진공의 유전률이다. εsi는 실리콘의 비유전률이다. q는 소전하이다. NA는 억셉터-이온 농도, 즉, 홀 농도이다. ND는 도너 이온 농도, 즉 전자 농도이다. φbi는 내부 전위이다. VR은 역바이어스 전위이다.
또한, 상기 식 (1)∼(3)에서의 각 파라미터는, 이하와 같이 설정하였다.
ε0: 8.85×10-14[F/㎝]
εsi: 11.9[-]
q: 1.60×10-19[C]
NA: 1×1015∼1×1021[㎝-3]
ND: 1×1015∼1×1021[㎝-3]
φbi: 1.031[V]
VR: 0.1[V]
도 8의 (a)는, 홀 농도(NA)와 전자 농도(ND)를 파라미터로서 변화시켜 상기 식 (1)에 기초하여 계산한 n측 공핍층(91a)의 폭[Wd(n)]의 계산 결과를 나타내고 있다. 도 8의 (a)의 횡축은 전자 농도(ND)를 나타내고, 종축은 홀 농도(NA)를 나타내고 있다. 이 계산에 있어서는, 전자 농도(ND)와 홀 농도(NA)는, 각각 1×1015∼1×1021-3 사이에서 변화시켰다.
도 8의 (a)에 있어서, 영역(D1)은 n측 공핍층(91a)의 폭[Wd(n)]이 0 ㎚∼2.0 ㎚인 영역을 나타내고, 영역(D2)은 n측 공핍층(91a)의 폭[Wd(n)]이 2.0 ㎚∼4.0 ㎚인 영역을 나타내며, 영역(D3)은 n측 공핍층(91a)의 폭[Wd(n)]이 4.0 ㎚∼6.0 ㎚인 영역을 나타내고 있다. 또한, 영역(D4)은 n측 공핍층(91a)의 폭[Wd(n)]이 6.0 ㎚∼8.0 ㎚인 영역을 나타내고, 영역(D5)은 n측 공핍층(91a)의 폭[Wd(n)]이 8.0 ㎚∼10.0 ㎚인 영역을 나타내며, 영역(D6)은 n측 공핍층(91a)의 폭[Wd(n)]이 10.0 ㎚∼12.0 ㎚인 영역을 나타내고 있다. 영역(D7)은 n측 공핍층(91a)의 폭[Wd(n)]이 12.0 ㎚∼14.0 ㎚인 영역을 나타내고, 영역(D8)은 n측 공핍층(91a)의 폭[Wd(n)]이 14.0 ㎚∼16.0 ㎚인 영역을 나타내며, 영역(D9)은 n측 공핍층(91a)의 폭[Wd(n)]이 16.0 ㎚∼18.0 ㎚인 영역을 나타내고 있다. 영역(D10)은 n측 공핍층(91a)의 폭[Wd(n)]이 18.0 ㎚∼20.0 ㎚인 영역을 나타내고, 영역(D11)은 n측 공핍층(91a)의 폭[Wd(n)]이 20.0 ㎚보다 큰 영역을 나타내고 있다.
또한, 도 8의 (b)는, 홀 농도(NA)와 전자 농도(ND)를 파라미터로서 변화시켜 상기 식 (2)에 기초하여 계산한 p측 공핍층(92a)의 폭[Wd(p)]의 계산 결과를 나타내고 있다. 도 8의 (b)의 횡축은 전자 농도(ND)를 나타내고, 종축은 홀 농도(NA)를 나타내고 있다. 이 계산에 있어서는, 전자 농도(ND)와 홀 농도(NA)는, 각각 1×1015∼1×1021-3 사이에서 변화시켰다.
도 8의 (b)에 있어서, 영역(E1)은 p측 공핍층(92a)의 폭[Wd(p)]이 0 ㎚∼2.0 ㎚인 영역을 나타내고, 영역(E2)은 p측 공핍층(92a)의 폭[Wd(p)]이 2.0 ㎚∼4.0 ㎚인 영역을 나타내며, 영역(E3)은 p측 공핍층(92a)의 폭[Wd(p)]이 4.0 ㎚∼6.0 ㎚인 영역을 나타내고 있다. 또한, 영역(E4)은 p측 공핍층(92a)의 폭[Wd(p)]이 6.0 ㎚∼8.0 ㎚인 영역을 나타내고, 영역(E5)은 p측 공핍층(92a)의 폭[Wd(p)]이 8.0 ㎚∼10.0 ㎚인 영역을 나타내며, 영역(E6)은 p측 공핍층(92a)의 폭[Wd(p)]이 10.0 ㎚∼12.0 ㎚인 영역을 나타내고 있다. 영역(E7)은 p측 공핍층(92a)의 폭[Wd(p)]이 12.0 ㎚∼14.0 ㎚인 영역을 나타내고, 영역(E8)은 p측 공핍층(92a)의 폭[Wd(p)]이 14.0 ㎚∼16.0 ㎚인 영역을 나타내며, 영역(E9)은 p측 공핍층(92a)의 폭[Wd(p)]이 16.0 ㎚∼18.0 ㎚인 영역을 나타내고 있다. 영역(E10)은 p측 공핍층(92a)의 폭[Wd(p)]이 18.0 ㎚∼20.0 ㎚인 영역을 나타내고, 영역(E11)은 p측 공핍층(92a)의 폭[Wd(p)]이 20.0 ㎚보다 큰 영역을 나타내고 있다.
여기서, 적층 구조(90)를 다이오드로서 기능시키기 위해서, 즉, 역방향 전류를 억제하고 정류성을 나타내기 위해서는, n형 다결정 실리콘층(91)의 두께, p형 다결정 실리콘층(92)의 두께는 각각, n측 공핍층(91a)의 폭[Wd(n)], p측 공핍층(92a)의 폭[Wd(p)]보다 클 필요가 있다. 따라서, n형 다결정 실리콘층(91)의 두께, p형 다결정 실리콘층(92)의 두께를 각각 5 ㎚라고 하면, n형 다결정 실리콘층(91) 및 p형 다결정 실리콘층(92)에, 5×1020-3 이상의 불순물을 도핑함으로써, n측 공핍층(91a)의 폭[Wd(n)], p측 공핍층(92a)의 폭[Wd(p)]을, 0 ㎚∼4.0 ㎚로 설정할 수 있는 것을, 도 8의 (a) 및 도 8의 (b)로부터 알 수 있다. 또한, 5 ㎚의 두께를 갖는 n형 및 p형의 다결정 실리콘층(91, 92)에 있어서, 4 ㎚의 폭의 공핍층(91a, 92a)이 발생할 수 있는 것을 상정하면, n형 및 p형의 다결정 실리콘층(91, 92)의 표면 조도(Ra)는 1 ㎚ 이하인 것이 필요해진다.
그러나, 열 CVD법에 의해 형성한 다결정 실리콘층의 표면 조도는 1 ㎚보다 큰 값이 된다. 또한, 열 CVD법에 의해 형성한 비정질 실리콘층에 대하여 열 어닐링을 적용함으로써 얻어지는 다결정 실리콘층의 표면 조도도 1 ㎚보다 큰 값이 된다. 이 사실을 도 9에 도시한다. 도 9에서는, 횡축이 성장 온도 또는 어닐링 온도인 처리 온도를 나타내고, 종축이 다결정 실리콘층의 표면 조도(Ra)를 나타내고 있다. 도 9에 있어서, 플롯 P1은, 열 CVD법에 의해 형성한 다결정 실리콘층의 표면 조도(Ra)를 나타내고 있고, 플롯 P2는, 열 CVD법에 의해 형성한 비정질 실리콘층의 표면 조도(Ra)를 나타내고 있으며, 플롯 P3∼P5는, 열 CVD법에 의해 형성한 비정질 실리콘층에 대하여 열 어닐링을 적용함으로써 얻어지는 다결정 실리콘층의 표면 조도(Ra)를 나타내고 있다. 이 도 9에 도시한 바와 같이, 종래법에 의해 얻어지는 다결정 실리콘층의 표면 조도(Ra)는, 1 ㎚를 넘는 것이었다. 한편, 일 실시형태에 따른 플라즈마 처리 방법에 의하면, 이하의 실험예 1 등에서 확인되는 바와 같이, 표면 조도(Ra)가 1 ㎚ 이하인 다결정 실리콘층을 얻는 것이 가능하다.
이하, 플라즈마 처리 장치(10)를 이용하여 행한 실험예 1∼4에 대해서 설명한다.
<실험예 1>
실험예 1에서는, 수소 라디칼에 다결정 실리콘층을 노출시키는 시간을 가변의 파라미터로 하여, 다결정 실리콘층의 표면을 처리하였다. 실험예 1에 있어서, 다결정 실리콘 반도체층을 처리하는 조건은 이하와 같이 하였다.
다결정 실리콘층의 두께: 100 ㎚
처리 가스(H2)의 유량: 30 sccm
희석 가스(Ar)의 유량: 1000 sccm
마이크로파 출력 파워: 4000 W
마이크로파의 주파수: 2.45 ㎓
처리 용기 내의 압력: 4 ㎩
바이어스 전력: 400 W
실험예 1에서는, 수소 라디칼에 소정 시간만큼 노출시킨 다결정 실리콘층의 표면 조도(Ra)를, 원자간력 현미경(AFM)을 이용하여 측정하였다. 이 표면 조도(Ra)는, JIS B 0601:2001에 규정된 산술 평균 조도(Ra)이다. 이 실험예 1의 결과를, 도 10에 도시한다. 도 10의 횡축은, 다결정 실리콘층을 수소 라디칼에 노출시킨 시간, 즉 처리 시간을 나타내고 있고, 종축은 다결정 실리콘층의 표면 조도(Ra)를 나타내고 있다. 도 10으로부터 명백한 바와 같이, 실험예 1에 의하면, 다결정 실리콘층의 표면 조도(Ra)는, 처리 시간과 상관이 있는 것이 확인되었다. 보다 상세하게는, 처리 전의 다결정 실리콘층의 표면 조도(Ra)는 1.5 ㎚이고(참조 부호 P6), 수소 라디칼에 60초간 노출시킨 후의 다결정 실리콘층의 표면 조도(Ra)는 0.8 ㎚이며(참조 부호 P7), 수소 라디칼에 120초간 노출시킨 후의 다결정 실리콘층의 표면 조도(Ra)는 0.6 ㎚였다(참조 부호 P8). 따라서, 실험예 1에 의해, 수소 라디칼에 60초간 이상 다결정 실리콘층을 노출시킴으로써, 그 표면 조도(Ra)를 1.0 ㎚ 이하로 제어할 수 있는 것이 확인되었다.
<실험예 2>
실험예 2에서는, 수소 라디칼에 노출시키는 시간을 가변의 파라미터로 하여, 다결정 실리콘층의 표면을 처리하였다. 실험예 2에 있어서, 다결정 실리콘층을 처리하는 조건은 실험예 1과 동일하다. 실험예 2에서는, 수소 라디칼에 소정 시간만큼 노출시킨 다결정 실리콘층의 결정률(結晶率)을 평가하였다. 결정률은, 라만 분광법을 이용하여 측정하였다. 또한, 실험예 2에서는, 수소 라디칼에 소정 시간만큼 노출시킨 다결정 실리콘층의 막 두께를 측정하였다. 막 두께는, 주사형 전자 현미경상(SEM상)을 이용하여 측정하였다.
이 실험예 2의 결과를 도 11에 도시한다. 도 11의 횡축은 처리 시간을 나타내고 있고, 좌측 종축은 결정률을 나타내며, 우측 종축은 막 두께를 나타내고 있다. 도 11 중의 그래프 G1은 결정률의 측정 결과를 나타내고 있고, 그래프 G2는 막 두께를 나타내고 있다.
도 11의 그래프 G1로부터 명백한 바와 같이, 결정률은, 수소 플라즈마에 다결정 실리콘층을 노출시키는 시간에 유의한 의존성을 나타내지 않았다. 또한, 도 11의 그래프 G2로부터 명백한 바와 같이, 다결정 실리콘층의 막 두께도, 수소 플라즈마에 다결정 실리콘층을 노출시키는 시간에 유의한 의존성을 나타내지 않았다. 이것으로부터, 다결정 실리콘을 수소 플라즈마에 노출시키는 시간을 길게 할수록, 다결정 실리콘층의 결정률 및 막 두께에 영향을 주지 않고, 상기 다결정 실리콘층의 표면 조도를 저감시킬 수 있는 것이 확인되었다.
<실험예 3>
실험예 3에서는, 처리 용기(12) 내의 압력과 수소 라디칼의 발생량과의 관계를 평가하였다. 즉, 실험예 3에서는, 처리 용기(12) 내의 압력을 가변의 파라미터로 하여, 수소 라디칼의 발생량을 평가하였다. 실험예 3에서의 조건은 이하와 같이 하였다.
처리 가스(H2)의 유량: 100 sccm
희석 가스(Ar)의 유량: 1000 sccm
마이크로파 출력 파워: 2000 W
마이크로파의 주파수: 2.45 ㎓
처리 용기 내의 압력: 0.1 Torr(13 ㎩), 0.5 Torr(67 ㎩), 3.0 Torr(399 ㎩), 9.5 Torr(1264 ㎩)
수소 라디칼의 발생량은, 수소 라디칼의 발광 파장에 있어서의 카운트수를 계측함으로써 평가하였다. 또한, 아르곤 라디칼의 발생량에 대해서도, 아르곤 라디칼의 발광 파장에 있어서의 카운트수를 계측함으로써 평가하였다. 또한, 이들의 카운트수는, 분광 장치(Verity Instruments사 제조 SD1024)를 이용하여 계측하였다. 이 결과를 도 12에 도시한다. 도 12의 횡축은, 처리 용기 내의 압력을 나타내고 있고, 종축은, 발광 강도, 즉, 카운트수를 나타내고 있다. 도 12에 있어서, 그래프 G3은 수소 라디칼의 발광 파장 486 ㎚의 카운트수를 나타내고 있고, 그래프 G4는, 수소 라디칼의 발광 파장 658 ㎚의 카운트수를 나타내고 있으며, 그래프 G5는, 아르곤 라디칼의 발광 파장 750 ㎚의 카운트수를 나타내고 있다.
여기서, 수소 라디칼은, 상기 수소 라디칼의 발광 파장(658 ㎚)에 있어서의 카운트수가 10000 이상인 경우에, 효율적으로 생성되고 있는 것으로 생각된다. 따라서, 도 12로부터 명백한 바와 같이, 처리 용기(12) 내의 압력을 0.1 Torr(13 ㎩) 이하로 설정함으로써, 수소 라디칼의 발생 효율을 높여, 다결정 실리콘층을 효율적으로 처리하기 위해서 필요한 수소 라디칼을 확보할 수 있는 것이 확인되었다.
<실험예 4>
실험예 4에서는, 수소 가스의 유량과 아르곤 가스의 유량의 비와, 수소 라디칼의 발생량과의 관계를 평가하였다. 즉, 실험예 4에서는, 수소 가스의 유량과 아르곤 가스의 유량의 비를 가변의 파라미터로 하여, 수소 라디칼의 발생량을 평가하였다. 실험예 4에서의 조건은 이하와 같이 하였다.
처리 가스(H2)의 유량: 20, 50, 100, 500 sccm
희석 가스(Ar)의 유량: 50, 500, 1000 sccm
마이크로파 출력 파워: 2000 W
마이크로파의 주파수: 2.45 ㎓
처리 용기 내의 압력: 13 ㎩
실험예 4에 있어서도, 실험예 3과 마찬가지로 수소 라디칼 및 아르곤 라디칼의 발생량을 계측하였다. 그 결과를 도 13에 도시한다. 도 13의 (a), 도 13의 (b) 및 도 13의 (c)의 횡축은, 수소 가스의 유량을 나타내고 있고, 종축은, 발광 강도, 즉, 카운트수를 나타내고 있다. 도 13에 있어서, 그래프 G3은 수소 라디칼의 발광 파장 486 ㎚의 카운트수를 나타내고 있고, 그래프 G4는 수소 라디칼의 발광 파장 658 ㎚의 카운트수를 나타내고 있으며, 그래프 G5는, 아르곤 라디칼의 발광 파장 750 ㎚의 카운트수를 나타내고 있다. 도 13의 (a)는, Ar 가스의 유량을 1000 sccm으로 설정했을 때의 계측 결과를 나타내고 있고, 도 13의 (b)는, Ar 가스의 유량을 500 sccm으로 설정했을 때의 계측 결과를 나타내고 있으며, 도 13의 (c)는, Ar 가스의 유량을 50 sccm으로 설정했을 때의 계측 결과를 나타내고 있다.
전술한 바와 같이, 수소 라디칼은, 상기 수소 라디칼의 발광 파장(658 ㎚)에 있어서의 카운트수가 10000 이상인 경우에, 효율적으로 생성되고 있는 것으로 생각된다. 도 13의 (a)에 도시한 바와 같이, Ar 가스의 유량이 1000 sccm인 경우에는, 수소 가스의 유량이 100 sccm일 때, 즉, Ar 가스의 유량에 대하여 수소 가스의 유량이 10% 이하일 때에, 효율적으로 수소 라디칼이 발생하고 있었다. 또한, 도 13의 (b)에 도시한 바와 같이, Ar 가스의 유량이 500 sccm인 경우에는, 수소 가스의 유량이 100 sccm일 때, 즉, Ar 가스의 유량에 대하여 수소 가스의 유량이 20% 이하일 때에, 효율적으로 수소 라디칼이 발생하고 있었다. 또한, 도 13의 (c)에 도시한 바와 같이, Ar 가스의 유량이 50 sccm인 경우에는, 수소 가스의 유량이 20 sccm일 때, 즉, Ar 가스의 유량에 대하여 수소 가스의 유량이 40% 이하일 때에, 효율적으로 수소 라디칼이 발생하고 있었다. 이 결과, 아르곤 가스의 유량에 대한 수소 가스의 유량의 비율을 10% 이하로 설정함으로써, 아르곤 가스의 유량의 절대량에 상관없이, 수소 라디칼을 효율적으로 발생시키는 것이 가능한 것이 확인되었다.
이상, 여러 가지 실시형태에 대해서 설명해 왔으나, 전술한 실시형태에 한정되지 않고 여러 가지 변형 양태를 구성 가능하다. 전술한 공정 S4∼공정 S10은, 각각 상이한 처리 용기 내에서 실시되어도 좋다. 예컨대, 플라즈마 처리 장치는, 다결정 실리콘층을 처리하기 위한 제1 처리 용기와, 다결정 실리콘층을 성장시키기 위한 제2 처리 용기를 갖고 있어도 좋다. 이 제1 처리 용기와 제2 처리 용기 사이에서 다결정 실리콘층을 성장시킨 피처리 기체(W)를 왕복시켜, 제1 처리 용기에 있어서 다결정 실리콘층을 처리하는 공정 S4, S6, S8, S10과, 제2 처리 용기에 있어서 다결정 실리콘층을 성장시키는 공정 S5, S7, S9를 실시해도 좋다.
또한, 전술한 바와 같이, p형 다결정 실리콘층(H1)을 성막하는 공정 S5, i형 다결정 실리콘층(H2)을 성막하는 공정 S7, n형 다결정 실리콘층(H3)을 성막하는 공정 S9의 각각의 공정에서의 처리 가스의 유량 qr1은, 공정 S5, S7, S9 전에 행해지는 수소 라디칼로 처리하는 공정 S4, S6, S8에서의 처리 가스의 유량 qr2보다 작게 하는 일 실시형태를 기재하였으나, 이 형태에 한정되지 않는다. 공정 S5, S7, S9에서의 처리 가스의 유량 qr1은, 원하는 유량으로 설정할 수 있다. 예컨대, 처리 가스의 유량 qr1은, 처리 가스의 유량 qr2보다 큰 값이어도 좋고, 동일한 값이어도 좋으며, 작은 값이어도 좋다. 또한, 처리 가스의 공급을 정지해도 좋다. 또한, 공정 S5, S7, S9에서는, 처리 가스의 유량 qr1이 각각 서로 상이해도 좋다.
또한, 전술한 바와 같이, p형 다결정 실리콘층(H1)을 성막하는 공정 S5, i형 다결정 실리콘층(H2)을 성막하는 공정 S7, n형 다결정 실리콘층(H3)을 성막하는 공정 S9의 각각의 공정에서의 희석 가스의 유량을, 공정 S5, S7, S9 전에 행해지는 수소 라디칼로 처리하는 공정 S4, S6, S8에서의 희석 가스의 유량 qh1과 동일한 값으로 설정한 일 실시형태를 기재하였으나, 이 형태에 한정되지 않는다. 공정 S5, S7, S9에서의 희석 가스의 유량은, 원하는 유량으로 설정할 수 있다. 예컨대, 공정 S5, S7, S9에서의 희석 가스의 유량은, 공정 S4, S6, S8에서의 희석 가스의 유량 qh1보다 큰 값이어도 좋고, 동일한 값이어도 좋으며, 작은 값이어도 좋다. 또한, 희석 가스의 공급을 정지해도 좋다. 또한, 공정 S5, S7, S9에서는, 희석 가스의 유량 qh1이 각각 서로 상이해도 좋다.
[0127]
10: 플라즈마 처리 장치 12: 처리 용기
14: 스테이지(배치대) 16: 마이크로파 발생기
22: 압력 조정부 25: 고주파 전원
41, 43: 가스 도입부 42: 샤워 플레이트
100: 제어부 E: 플라즈마 발생 공간
P: 처리 공간
H1: p형 다결정 실리콘층(제1 도전형의 다결정 실리콘층)
H2: i형 다결정 실리콘층(i형의 다결정 실리콘층)
H3: n형 다결정 실리콘층(제2 도전형의 다결정 실리콘층)
W: 피처리 기체

Claims (19)

  1. 플라즈마 처리 방법에 있어서,
    처리 용기 내에 실리콘을 함유하는 원료 가스를 공급하고 상기 처리 용기 내에 마이크로파를 방사하여, 상기 처리 용기 내에 수용된 피처리 기체(基體) 상에 다결정 실리콘층을 성장시키는 공정;
    상기 다결정 실리콘층이 성장한 상기 피처리 기체를 수용한 상기 처리 용기 내에 수소를 함유하는 무-실리콘 처리 가스를 공급하고, 상기 처리 용기 내에 상기 마이크로파를 방사함으로써 수소 라디칼을 생성하며, 상기 다결정 실리콘층의 표면 조도를 저감하도록 상기 수소 라디칼에 상기 다결정 실리콘층을 노출시키고 상기 다결정 실리콘층의 표면을 에칭하는 공정; 및
    상기 다결정 실리콘층을 성장시키는 공정 및 상기 수소 라디칼에 상기 다결정 실리콘층을 노출시키는 공정을 순차적으로 반복하는 공정
    을 포함하는 것인, 플라즈마 처리 방법.
  2. 제1항에 있어서, 상기 수소 라디칼에 상기 다결정 실리콘층을 노출시키는 공정은, 상기 다결정 실리콘층을 성장시키는 공정과 동일한 상기 처리 용기 내에서 행해지는 것인, 플라즈마 처리 방법.
  3. 삭제
  4. 삭제
  5. 제1항에 있어서, 상기 다결정 실리콘층을 성장시키는 공정은,
    상기 처리 용기 내에 상기 실리콘을 함유하는 원료 가스 및 제1 도펀트 재료를 함유하는 제1 가스를 공급하고, 상기 처리 용기 내에 상기 마이크로파를 방사하여, 상기 피처리 기체 상에 제1 다결정 실리콘층을 성장시키는 공정;
    상기 처리 용기 내에 상기 원료 가스를 공급하고, 상기 처리 용기 내에 상기 마이크로파를 방사하여, 상기 제1 다결정 실리콘층 상에 i형 다결정 실리콘층을 성장시키는 공정; 및
    상기 처리 용기 내에 상기 원료 가스 및 제2 도펀트 재료를 함유하는 제2 가스를 공급하고, 상기 처리 용기 내에 상기 마이크로파를 방사하여, 상기 i형 다결정 실리콘층 상에 제2 다결정 실리콘층을 성장시키는 공정
    중 적어도 하나의 공정을 포함하는 것인, 플라즈마 처리 방법.
  6. 제1항 또는 제2항에 있어서, 상기 다결정 실리콘층을 성장시키는 공정 전에, 상기 처리 용기 내에 상기 처리 가스를 공급하고, 상기 마이크로파를 상기 처리 용기 내에 방사함으로써 상기 수소 라디칼을 생성하며, 상기 수소 라디칼에 상기 피처리 기체를 노출시키는 공정을 더 포함하고,
    상기 피처리 기체의 표면은 전극층의 표면인 것인, 플라즈마 처리 방법.
  7. 제1항 또는 제2항에 있어서, 상기 마이크로파는, 방사상 라인 슬롯 안테나로부터 상기 처리 용기 내에 방사되는 것인, 플라즈마 처리 방법.
  8. 제1항 또는 제2항에 있어서, 상기 수소를 함유하는 가스는 수소 가스인 것인, 플라즈마 처리 방법.
  9. 제1항 또는 제2항에 있어서, 상기 처리 가스와 함께 상기 처리 용기 내에 희석 가스가 더 공급되고,
    상기 희석 가스의 유량에 대한 상기 수소를 함유하는 가스의 유량의 비율은 10% 이하인 것인, 플라즈마 처리 방법.
  10. 제1항 또는 제2항에 있어서, 상기 수소 라디칼에 상기 다결정 실리콘층을 노출시키는 공정 및 상기 수소 라디칼에 상기 피처리 기체를 노출시키는 공정에서는, 상기 처리 용기 내의 압력이 13 ㎩ 이하로 설정되는 것인, 플라즈마 처리 방법.
  11. 제1항에 있어서, 상기 수소 라디칼에 상기 다결정 실리콘층을 노출시키는 시간은 60초 이상인 것인, 플라즈마 처리 방법.
  12. 제1항에 있어서, 상기 수소 라디칼에 상기 다결정 실리콘층을 노출시키는 공정은 상기 다결정 실리콘층의 표면 조도가 산술 평균 조도로서 1.0 ㎚ 이하가 될 때까지 상기 다결정 실리콘층을 노출시키는 것인, 플라즈마 처리 방법.
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
KR1020147036571A 2012-06-27 2013-04-17 플라즈마 처리 방법 및 플라즈마 처리 장치 KR102006519B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012144368A JP6008611B2 (ja) 2012-06-27 2012-06-27 プラズマ処理方法及びプラズマ処理装置
JPJP-P-2012-144368 2012-06-27
PCT/JP2013/061372 WO2014002586A1 (ja) 2012-06-27 2013-04-17 プラズマ処理方法及びプラズマ処理装置

Publications (2)

Publication Number Publication Date
KR20150023504A KR20150023504A (ko) 2015-03-05
KR102006519B1 true KR102006519B1 (ko) 2019-08-01

Family

ID=49782764

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147036571A KR102006519B1 (ko) 2012-06-27 2013-04-17 플라즈마 처리 방법 및 플라즈마 처리 장치

Country Status (5)

Country Link
US (1) US9779936B2 (ko)
JP (1) JP6008611B2 (ko)
KR (1) KR102006519B1 (ko)
TW (1) TW201415540A (ko)
WO (1) WO2014002586A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210103596A (ko) * 2020-02-13 2021-08-24 세메스 주식회사 실리콘 구조물 표면 러프니스 개선 방법 및 기판 처리 장치

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6406811B2 (ja) * 2013-11-20 2018-10-17 国立大学法人名古屋大学 Iii 族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法
DE102014104011A1 (de) * 2014-03-24 2015-09-24 Aixtron Se Vorrichtung zum Abscheiden von Nanotubes
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
KR102209758B1 (ko) * 2019-03-27 2021-01-29 신재철 배치식 건식 식각 챔버
JP2023157404A (ja) * 2022-04-15 2023-10-26 信越半導体株式会社 ポリシリコンウェーハの製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100275981A1 (en) * 2007-12-19 2010-11-04 Tokyo Electron Limited Apparatus and method for manufacturing photoelectric conversion elements, and photoelectric conversion element
US20120142172A1 (en) * 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3905297A1 (de) * 1989-02-21 1990-08-23 Siemens Ag Verfahren zum herstellen polykristalliner halbleitermaterialschichten durch plasmaangeregte gasphasenabscheidung
US5221643A (en) * 1989-02-21 1993-06-22 Siemens Aktiengesellschaft Method for producing polycrystalline semiconductor material by plasma-induced vapor phase deposition using activated hydrogen
JP3319550B2 (ja) * 1995-03-17 2002-09-03 キヤノン株式会社 多結晶Si薄膜の堆積法
JP3812232B2 (ja) * 1998-10-23 2006-08-23 日新電機株式会社 多結晶シリコン薄膜形成方法及び薄膜形成装置
DE19935046C2 (de) * 1999-07-26 2001-07-12 Schott Glas Plasma-CVD-Verfahren und Vorrichtung zur Herstellung einer mikrokristallinen Si:H-Schicht auf einem Substrat sowie deren Verwendung
JP2001144258A (ja) 1999-11-16 2001-05-25 Matsushita Electronics Industry Corp 半導体装置の製造方法
JP2002343993A (ja) * 2001-03-15 2002-11-29 Canon Inc 薄膜多結晶太陽電池及びその形成方法
JP2003282433A (ja) 2002-03-26 2003-10-03 Hajime Shirai 多結晶シリコン膜及びその生産方法
JP2005129666A (ja) * 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
JP2005206897A (ja) * 2004-01-23 2005-08-04 Toshiba Corp ポリシリコン膜形成方法
JP5219538B2 (ja) * 2008-02-12 2013-06-26 大成建設株式会社 太陽光発電薄膜を基材に直接形成した太陽電池
JP2011077322A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 結晶性珪素膜の成膜方法およびプラズマcvd装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100275981A1 (en) * 2007-12-19 2010-11-04 Tokyo Electron Limited Apparatus and method for manufacturing photoelectric conversion elements, and photoelectric conversion element
US20120142172A1 (en) * 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210103596A (ko) * 2020-02-13 2021-08-24 세메스 주식회사 실리콘 구조물 표면 러프니스 개선 방법 및 기판 처리 장치
KR102523367B1 (ko) * 2020-02-13 2023-04-21 세메스 주식회사 실리콘 구조물 표면 러프니스 개선 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
JP2014011178A (ja) 2014-01-20
US9779936B2 (en) 2017-10-03
TW201415540A (zh) 2014-04-16
US20150162193A1 (en) 2015-06-11
JP6008611B2 (ja) 2016-10-19
KR20150023504A (ko) 2015-03-05
WO2014002586A1 (ja) 2014-01-03

Similar Documents

Publication Publication Date Title
KR102006519B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR102663833B1 (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
US7273638B2 (en) High density plasma oxidation
US20200075346A1 (en) Apparatus and process for electron beam mediated plasma etch and deposition processes
KR102419980B1 (ko) 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들
TWI480932B (zh) 處理有非平坦表面的基材的方法
EP2006888A9 (en) Method and apparatus for growing plasma atomic layer
CN111199918A (zh) 用于隔离结构的伸缩衬里层
WO2016111833A1 (en) Direct deposition of nickel silicide nanowire
KR102455355B1 (ko) 원격 플라즈마 산화에 대한 아르곤 추가
US11264460B2 (en) Vertical transistor fabrication for memory applications
RU2189663C2 (ru) Способ и устройство для изготовления тонкой полупроводниковой пленки
US9252011B2 (en) Method for forming a layer on a substrate at low temperatures
US20150093886A1 (en) Plasma processing method and plasma processing apparatus
WO2013172203A1 (ja) 太陽電池の製造方法及びプラズマ処理装置
US20240203709A1 (en) Substrate processing method and substrate processing device
US12009219B2 (en) Substrate processing method
JPH0748479B2 (ja) 絶縁膜形成方法及び装置
WO2016111832A1 (en) Laminate and core shell formation of silicide nanowire
TW201034078A (en) Methods for forming conformal oxide layers on semiconductor devices

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right