KR101998844B1 - 식각 정지층을 사용한 반도체 소자 제조 - Google Patents

식각 정지층을 사용한 반도체 소자 제조 Download PDF

Info

Publication number
KR101998844B1
KR101998844B1 KR1020170011794A KR20170011794A KR101998844B1 KR 101998844 B1 KR101998844 B1 KR 101998844B1 KR 1020170011794 A KR1020170011794 A KR 1020170011794A KR 20170011794 A KR20170011794 A KR 20170011794A KR 101998844 B1 KR101998844 B1 KR 101998844B1
Authority
KR
South Korea
Prior art keywords
etch stop
layer
stop layer
etch
exposing
Prior art date
Application number
KR1020170011794A
Other languages
English (en)
Other versions
KR20170089420A (ko
Inventor
디터르 피에뤽스
베르너르 크나펀
베르트 용블루트
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20170089420A publication Critical patent/KR20170089420A/ko
Application granted granted Critical
Publication of KR101998844B1 publication Critical patent/KR101998844B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

식각 정지층은 주기율표의 4족 금속들, 주기율표의 5족 금속들, 주기율표의 6족 금속들 및 이트륨으로 구성되는 군으로부터 선택되는 금속을 포함하는 금속 산화물을 포함한다. 상기 금속 산화물은 애싱 및 HF 노출에 대한 저항성이 있는 예외적으로 얇은 층들을 형성한다. 상기 식각 정지들에 애싱 및 HF 식각 공정들 모두를 가해주는 것은 상기 식각 정지층의 두께의 0.3 nm보다 작게, 더욱 바람직하게는 0.25 nm보다 작게 제거한다. 상기 식각 정지층은 얇을 수 있고, 약 0.5-2 nm의 두께를 가질 수 있다. 일부 실시예들에서, 상기 식각 정지층은 탄탈륨 산화물(TaO)을 포함한다.

Description

식각 정지층을 사용한 반도체 소자 제조{Semiconductor device fabrication using etch stop layer}
[1] 본 출원은 2016년 1월 26일 출원된 임시출원 제62/287,359호의 35 U.S.C. §119(e)에 따른 우선권을 주장하며, 그 전문이 여기에 참조문헌으로 병합된다.
[2] 본 발명은 반도체 소자 제조에 관한 것이며, 더욱 상세하게는 식각 정지층들을 이용한 공정들에 관한 것이다.
[3] 예를 들어 집적 회로를 제조하기 위한 반도체 소자 제조 공정들은 마스크층 내의 패턴을 아래에 놓이는 타겟층 내로 전사하는 데 식각 공정이 사용되는 다양한 패턴 전사 단계들을 포함할 수 있다. 상기 타겟층 아래에 놓이는 물질로의 원치 않는 식각 또는 손상을 방지하기 위하여, 상기 타겟층 및 보호될 상기 하부 물질 사이에 식각 정지가 제공될 수 있다. 반도체 소자들의 피쳐들이 더욱 작아질수록, 상기 식각 정지들을 위한 요구 조건들은 더욱 엄격해졌다. 따라서, 현대의 반도체 소자들의 제조에 적합한 식각 정지들을 개발할 지속적인 요구가 존재한다.
본 발명의 목적은 전술한 문제들을 극복하기 위한 것이다.
[4] 일부 태양들에서, 반도체 소자의 제조 방법이 제공된다. 상기 방법은 기판을 제공하는 단계와 상기 기판 상에 식각 정지층을 제공하는 단계를 포함한다. 상기 식각 정지층은 탄탈륨 산화물을 포함한다. 상기 방법은 상기 식각 정지층을 산소 플라즈마에 노출하는 단계를 더 포함한다.
[5] 일부 다른 태양들에서, 반도체 소자는 분리된 피쳐들의 패턴을 포함하는 템플릿과, 상기 템플릿 아래에 놓이는 식각 정지층을 포함한다. 상기 식각 정지층은 탄탈륨 산화물을 포함하고 0.5 내지 2 nm의 두께를 갖는다.
[6] 또 다른 태양들에서, 반도체 소자의 제조 방법이 제공된다. 상기 방법은 기판을 제공하는 단계와 상기 기판 상에 식각 정지층을 제공하는 단계를 포함한다. 상기 식각 정지층은 탄탈륨 산화물을 포함하고 0.5 내지 2 nm의 두께를 갖는다. 타겟층은 상기 식각 정지층 상에 제공되고, 상기 타겟층 내에 패턴이 형성된다. 상기 패턴을 형성하는 단계는 상기 타겟층을 통해 연장되는 개구부들을 형성하도록 상기 타겟층을 산소-함유 플라즈마에 노출하는 단계를 포함하고, 상기 식각 정지층이 상기 개구부들 내에서 상기 산소-함유 플라즈마에 노출된다.
[7] 일부 다른 태양들에서, 반도체 소자의 제조 방법이 제공된다. 상기 방법은 기판을 제공하는 단계와, 상기 기판 상에 식각 정지층을 제공하는 단계를 포함한다. 상기 식각 정지층은 0.5 내지 2.0 nm의 두께를 갖는다. 상기 식각 정지층은 산소-플라즈마에, 또한 플루오르화수소에 노출된다. 상기 식각 정지층을 산소-함유 플라즈마에 노출하는 단계와 상기 식각 정지층을 플루오르화수소에 노출하는 단계에 의해 상기 식각 정지층의 0.3 nm 이하의 두께가 제거된다.
[8] 일부 다른 태양들에서, 반도체 소자는 분리된 피쳐들의 패턴을 포함하는 템플릿과, 상기 템플릿의 아래에 놓이는 식각 정지층을 포함한다. 상기 식각 정지층은 0.5 내지 2 nm의 두께를 가지며, 주기율표의 4족의 금속들, 주기율표의 5족의 금속들, 주기율표의 6 족들의 금속들, 및 이트륨으로 구성되는 군으로부터 선택되는 금속을 포함하는 금속 산화물을 포함한다.
[9] 도 1은 다양한 기판들 상에 수행된 원자층 퇴적 사이클들의 횟수의 함수로서 TaO 막의 두께의 플롯을 나타낸다.
[10] 도 2는 TaO 층으로 캡핑된 Al2O3 층에 의해 형성된 물질 스택으로부터, 상기 스택을 플루오르화수소에 노출하는 단계 이후에 제거된 물질 두께의 플롯을 나타낸다. 제거된 물질의 양이 TaO 층 두께의 함수로서 도시된다.
[11] 도 3은 0.5, 1.0, 및 1.5 nm 두께의 TaO 층들로 캡핑된 Al2O3 층들에 의해 형성된 물질 스택들 내에, 상기 스택들을 플루오르화수소에 노출하는 단계 이후에 잔류하는 물질 두께의 플롯을 나타낸다. 각각의 스택 내에 잔류하는 물질의 양이 노출 기간의 함수로서 도시된다.
[12] 도 4는 도 3의 데이터로부터 유도되는, TaO 층 두께의 함수로서 TaO 층의 식각 저항 시간의 플롯을 나타낸다.
[13] 도 5는 산소 플라즈마에 대한 노출을 포함하는 애싱 공정에 대한 노출 이전과 이후의 다양한 식각 정지층들의 굴절율의 그래프를 나타낸다.
[14] 도 6은 식각 정지층을 사용한 공정 흐름의 예시를 나타낸다.
[15] 도면들은 예시적인 실시예들을 도시하도록 제공되며, 본 개시의 범위를 한정하도록 의도되지 않는다. 유사한 부호들 및 유사한 음영들은 전체를 통해 유사한 부분들을 가리킨다. 추가적으로, 도면들 내의 피쳐들은 필수적으로 비례가 맞는 것은 아니다.
[16] 식각 정지층들은 하부의(underlying) 물질들 전부 또는 부분들을 제거하는 데 적용되는 식각 케미스트리들로부터 하부의 물질들을 보호하는 데 사용될 수 있다. 바람직하게는, 하부의 물질들의 요구되는 식각이 완결된 이후에 이들이 제거되지 않도록 상기 식각 정지층들은 식각 케미스트리들에 충분히 저항성이 있다. 제거에 대한 충분한 저항성을 갖는 식각 정지층들을 형성하기 위한 하나의 접근법은 단순히 큰 두께들을 갖는 층들을 형성하는 것이다. 그러나 이러한 두꺼운 층들은 현대의 반도체 소자들을 위하여 적합하지 않을 수 있다. 추가적으로, 상기 식각 정지층들에 다수의 식각 케미스트리들이 가해질 수 있고, 따라서 이러한 다수의 케미스트리들 중 각각에 대하여 상기 식각 정지층이 저항성이 있을 것이 요구될 수 있다. 얇음 및 하나 또는 그 이상의 다른 식각 케미스트리들에 대한 높은 식각 저항성에 대한 경쟁하는 목표들은 적합한 식각 정지층들의 개발을 달성하기 어렵게 할 수 있다.
[17] 이러한 경쟁하는 목표들의 일 예시는 카본-함유 층들이 패터닝 템플릿들 또는 식각 마스크들로서 사용되는 반도체 제조 공정들 내에서 일어난다. 카본-함유 층의 일 예시는 비정질 카본층 또는 포토레지스트층이다.
[18] 상기 카본-함유층은 기판 상에 위치할 수 있고, 산소-함유 플라즈마에 대한 노출에 의해 패터닝될 수 있다. 산소-함유 플라즈마를 사용하는 식각 공정들은 또한 애싱 공정들로 지칭될 수 있다. 식각 정지층은 상기 산소-함유 플라즈마로부터 하부의 기판을 보호하는 데 사용될 수 있다. 산소-함유 플라즈마들이 일반적으로 공격적이므로, 실리콘 질화물, 실리콘 산질화물 또는 실리콘 카바이드와 같은 통상의 식각 정지 물질들을 사용할 때, 상기 식각 정지층은 하부의 기판을 위한 적합한 보호를 제공하도록 일반적으로 큰 최소 두께를 가질 필요가 있다. 낮은 두께를 갖는 식각 정지층은 더 많은 공간이 소자 내의 다른 기능성 층들을 위하여 가능하도록 남는 장점을 가지며, 이는 최종 소자 내에서 상기 식각 정지층이 제거되지 않고 잔류할 때, 및 작은 피쳐 사이즈들을 갖는 소자의 제조 내에서 상기 식각 정지층이 사용될 때 또한 특히 더욱 바람직하다. 애싱을 위한 식각 정지층들에서 사용되는 통상의 물질들은 어느 정도 산화되고, 상기 산화물들이 상기 애싱 공정의 산소 플라즈마를 견딜 수 있음이 밝혀진 바 있다. 그러나 상기 층의 일부분이 소모되어 상기 산화물로 변환되기 때문에 이는 최초의 식각 정지층이 특정한 두께를 가질 것을 요구한다.
[19] 상기 산소-함유 플라즈마에 대한 노출 이후에, 희석된 플루오르화수소(HF) 내의 습식 식각은 일반적으로 식각 마스크 물질을 포함하여 임의의 잔류 물질을 제거하도록 적용된다. 그러므로 상기 산소-함유 플라즈마에 대한 노출 이후에, 상기 식각 정지층은 하부 기판이 HF에 의해 식각되는 것을 방지하도록 HF 저항성이 있는 것이 또한 바람직하다. 원치 않지만, 일반적으로 산화물들은 HF 식각에 대한 열악한 저항성을 갖는다.
[20] 추가적으로, 기판을 위한 적합한 보호를 제공하도록 상기 식각 정지층이 완전히 폐쇄되어야 함이 이해될 것이다. 그러나 통상의 식각 정지층들의 퇴적 동안에, 상기 막이 완전히 폐쇄되기 전에 상기 퇴적된 식각 정지막의 핵생성 이후에 특정한 최소 두께가 필요할 수 있다.
[21] 위의 다양한 요인들의 관점에서, 통상의 식각 정지층들은 일반적으로 기판을 위한 적절한 보호를 제공하도록 5 nm 이상의 두께를 갖는 것이 필요하다.
[22] 유리하게는, 일부 실시예들에 따른 식각 정지층들은 극히 얇은 한편 애싱 및 HF 노출에 대한 높은 저항성을 제공한다. 바람직하게는, 일부 실시예들에서 상기 식각 정지층의 두께는 약 0.5 내지 2 nm, 더욱 바람직하게는 약 0.5 내지 1.5 nm, 가장 바람직하게는 약 0.5 nm 내지 1.0 nm일 수 있다. 상기 식각 정지층에 애싱 및 HF 식각 공정들 모두가 가해지는 것은 바람직하게는 상기 식각 정지층의 두께의 0.3 nm 이하, 더욱 바람직하게는 0.25 nm 이하를 제거한다. HF 식각에 대한 이러한 저항성은 바람직하게는 적어도 0.5 분 또는 적어도 1 분의 식각들 동안 관찰되고, 더욱 바람직하게는 적어도 2 분, 적어도 3 분, 또는 적어도 4 분 동안 관찰된다. 일부 실시예들에서, 상기 식각 정지층은 하부 물질(예를 들어 Al2O3)가 식각되는 속도보다 적어도 25배보다 작고, 적어도 35배 작고, 또는 적어도 50배 작은 속도로 HF 용액에 의해 식각된다. 식각 저항성은 바람직하게는 0.5% HF 수용액을 사용하여 적어도 상기 식각 정지층에 HF 식각이 가해질 때 관찰된다. 바람직하게는, HF 식각은 실온 및 대기압의 표준 조건들 하에서 수행된다.
[23] 일부 실시예들에서, 상기 식각 정지층은 금속 산화물을 포함한다. 바람직하게는, 상기 식각 정지층은 탄탈륨 산화물(TaO)로 형성되고, 이는 낮은 두께들에서도 균일한 퇴적 결과들을 허용하는 한편 애싱 및 HF 노출에 대한 극도의 저항성이 있는 것으로 밝혀졌다. 일부 실시예들에서, 상기 식각 정지층은 화학양론비의 탄탈륨 산화물층(Ta2O5 또는 Ta2O3)이거나, 질소, 카본, 수소 및 염소와 같은 다른 원소들을 작은 농도로, 예를 들어 0 내지 20 at%만큼 함유할 수 있다. 용어 TaO는 이러한 조성들 모두를 포함하는 것으로 이해될 것이다.
[24] 일부 다른 실시예들에서, 상기 식각 정지층은 주기율표의 5b족으로부터의 다른 금속들, 또는 4b 또는 6b족으로부터의 금속들을 갖는 금속 산화물을 포함할 수 있다. 다른 5b족 원소들의 예시들은 바나듐(V) 및 니오븀(Nb)을 포함하고; 4b족 원소들의 예시들은 Hf, Zr 및 Ti를 포함하며; 6b족 원소들의 예시들은 크롬(Cr), 몰리브덴(Mo) 및 텅스텐(W)을 포함한다. 일부 다른 실시예들에서, 상기 식각 정지층은 이트륨 산화물을 포함한다. 바람직하게는, 이러한 금속 산화물들은 TaO와 유사한 특성들을 갖는다.
[25] 상기 식각 정지층이 화학 기상 퇴적(CVD)과 같은 기상 퇴적 공정들을 포함하는 퇴적 공정에 의해 형성될 수 있다는 점이 이해될 것이다. CVD에서, 기판이 예를 들어 기체 상태에서 반응하는 상호 반응성 전구체들에 노출되어, 상기 기판 상에 이러한 전구체들의 원소들을 포함하는 화합물이 퇴적된다. 반응 조건들은 상기 기판과의 접촉 이전 및/또는 접촉시에 상기 전구체들을 분해하도록 마련될 수 있다.
[26] 더욱 바람직하게는, 상기 식각 정지층은 원자층 퇴적(ALD)에 의해 퇴적될 수 있다. ALD-타입의 공정들은 조절된, 자기-제한적 표면 반응들에 기초하며, 막 조성 및 층 두께의 정밀한 조절 및 높은 콘포말리티를 제공할 수 있다. 기상 반응들은 상기 기판을 반응물들과 교대로, 및 순차적으로 접촉시키거나 노출시킴에 의해 방지된다. 기상 반응물들은 예를 들어 반응물 펄스들 사이에 상기 반응 챔버로부터 여분의 반응물들 및/또는 반응 부산물들을 제거함에 의해 상기 반응 챔버 내에서 서로 분리된다. 여분의 반응물들 및/또는 반응 부산물들을 제거하는 단계는 예를 들어 진공 및/또는 퍼지 가스를 사용한 반응물 가스의 각각의 펄스 이후에 상기 반응 공간을 퍼지함에 의해 얻어질 수 있다. 퍼지 가스는 또한 반응물 가스의 각각의 펄스 이전에, 동안에 및 이후에 연속적으로 흘려질 수 있다. 예를 들어, 일부 실시예들에서, 상기 퍼지 가스는 하나 또는 그 이상의 반응물들을 위한 캐리어 가스로 또한 작용할 수 있다. 일부 다른 실시예들에서, 교대의 반응물 노출들은, 교대의 노출들을 달성하도록 퇴적 챔버 내로의 전구체들의 흐름을 정지하고 시작함이 없이, 상기 기판 및/또는 반응기 부분들의 이동에 의해 달성될 수 있다. 특정한 반응물에 대한 노출은 또한 "펄스"로 지칭될 수 있고, "반응물들"은 또한 "전구체들"로 지칭될 수 있음이 이해될 것이다. 퇴적을 위한 각각의 반응물을 포함하는 시퀀스로의 기판의 노출은 퇴적 사이클을 구성하고; 여기서 예를 들어 두 개의 반응물들이 사용되어 상기 기판을 제1 반응물에, 그 이후 제2 반응물에 노출하는 단계가 하나의 퇴적 사이클을 구성할 수 있다.
[27] ALD 내에서 각각의 반응물 펄스는 바람직하게는 자기-제한적이다. 침투 가능한 구조 표면들을 포화시키도록 여분의 반응물이 상기 펄스 동안에 공급된다. 이론에 있어서, 표면 포화는 반응물이 모든 가능한 반응성 사이트들(예를 들어 물리적 사이즈 또는 "입체 장해" 제약들이 가해지는)을 차지하는 것을 보장하며, 따라서 훌륭한 스텝 커버리지를 보장한다. 일부 배열들에서, 자기-제한적 거동의 정도는 예를 들어 퇴적 속도를 콘포말리티에 대하여 상쇄시키도록 반응물 펄스들의 일부 중첩을 허용함에 의해(일부 CVD-타입의 반응들을 허용함에 의해) 조절될 수 있다. 반응물들이 시간과 공간적으로 잘 분리된 이상적인 ALD 조건들은 자기-제한적 거동을 제공하고, 이에 따라 최대 콘포말리티를 제공한다. 일부 실시예들에서, 예를 들어 입체 장해에 기인하여 하나 또는 그 이상의 사이클들 내에서 완전한 모노레이어보다 작게 퇴적된다. 일부 실시예들에서, 하나 이상의 모노레이어가 예를 들어 CVD 또는 CVD 유사 공정들 내에서 일어날 것과 같이, 일부 분해 반응을 달성하도록 퇴적 조건들을 조절함에 의해 퇴적될 수 있다. 자기-제한적 ALD 반응들과 혼합된 제한된 CVD 반응들은 퇴적 속도를 상승시킬 수 있다. 퇴적 사이클들은 요구되는 두께의 층을 형성하도록 요구되는 횟수만큼 반복된다.
[28] 퇴적 온도들은 바람직하게는 전구체의 열적 분해 온도 이하에서, 및 기판 내의 물질들을 손상시킬 수 있는 온도들 이하에서, 그러나 반응물들의 응축을 방지하고 요구되는 표면 반응들을 위한 활성화 에너지를 제공하도록 충분히 높은 레벨에서 유지된다. 임의의 주어진 ALD 반응을 위한 적합한 온도 윈도우는 표면 종결 및 연관되는 반응물 종들에 의존할 것이다. 여기서 다양한 원자층 퇴적을 위한 반응 온도는 약 20℃ 내지 약 500℃, 약 100℃ 내지 약 400℃, 약 150℃ 내지 약 350℃, 및 일부 실시예들에서는 약 150℃ 내지 약 200℃를 포함하여, 약 실온 내지 약 500℃의 범위에 있을 수 있다.
[29] 반응 압력은 약 0.1 Torr 내지 약 760 Torr의 범위일 수 있다. 일부 실시예들에서, 반응 압력은 약 0.5 Torr 내지 약 10 Torr의 범위일 수 있다.
[30] 일부 실시예들에서, ALD에 의한 TaO 식각 정지층들의 퇴적들은 일반적인 ALD 공정들에 대한 이점들을 제공한다. 일반적으로, ALD 공정들은 배양 시간(incubation time)이 가해지고, 이는 초기 퇴적 사이클들 동안에 거의 조금의 두께만이 퇴적되거나 막이 퇴적되지 않을 것이라는 점을 의미한다. 다수의 퇴적 사이클들 이후에, 사이클당 퇴적된 두께는 일정해지고, 막 두께는 사이클들의 횟수에 따라 선형으로 증가한다.
[31] 유리하게는, 도 1에 도시된 것과 같이, 일부 실시예들에서는 TaO ALD 공정은 배양 시간을 갖지 않고 막 두께는 가장 최초의 퇴적 사이클이 개시될 때부터 사이클들의 횟수에 따라 선형으로 증가한다. 이러한 배양 시간의 부재는 제조 스루풋의 이점들을 제공할 수 있고, 또한 얇은 층들의 퇴적을 용이하게 할 수 있다는 것이 이해될 것이다. 도 1은 다양한 기판들 상에 수행되는 원자층 퇴적 사이클들의 횟수의 함수로서 TaO 막의 두께를 나타낸다. 사이클당 퇴적된 두께는, 그 상부에 TaO 막이 퇴적되는 기판 물질에 의존하는 약한 변동을 가지며, 약 0.05 nm였다. 퇴적들은 노출된 알루미늄 산화물(Al2O3) 층을 구비하는 기판들 및 노출된 알루미늄 질화물(AlN) 층을 구비하는 기판들 상에서 수행되었다. 퇴적을 위하여 사용된 Ta 전구체는 TBTDET(터셔리부틸이미도,트리스(디에틸아미노)탄탈륨, TertiaryButylimido,Tris(DiEthylamino)Tantalum)였고, 이는 화학식 Ta[N(C2H5)2]3[=NC(CH3)3]을 갖는다. TBTDET가 TaN의 퇴적을 위한 전구체로서 일반적으로 사용되지만, 이는 또한 TaO의 퇴적을 위한 우수한 전구체인 것으로 밝혀졌다. 다른 공정 조건들은,
- 산화제: H2O (또는 O3)
- 퇴적 온도, Tdep = 150-200℃
- 반응물 펄스 및 퍼지 기간들, H2O(O3)/퍼지/TBTDET/퍼지 (초): 15초/30초/15초/8초
- H2O 유속: 50 sccm; (O3 유속: 3 slm)
- TBTDET 도즈: 0.15 g/분
- TBTDET 증발기 및 공급 라인의 온도: 160℃
- TBTDET 용기의 온도: 실온
[32] TBTDET를 위한 더 높은 도즈, 예를 들어 0.5 내지 1.0 g/분이 사용될 수 있다.
[33] 일부 실시예들에서, 유사한 결과들, 특히 상기 막의 급격한 폐쇄가 하나 또는 그 이상의 아미노 및/또는 이미도기들을 함유하는 Ta 전구체들과 같은 금속유기 전구체들, 또는 PEOTA(펜타-에틸-오쏘-탄탈륨, penta-ethyl-orto-tantalum)과 같은 알콕시 탄탈륨 전구체들 및 이들의 유도체들을 포함하는 다른 Ta 전구체들을 사용하여 얻어진다. 또한 탄탈륨-펜타-클로라이드와 같은 할라이드 소스들이 일부 실시예들에서 사용된다.
[34] 식각 정지층들로서 사용되는 박막들을 위하여, 상기 막의 완전한 폐쇄를 달성하도록 요구되는 막의 두께는 중요하다. HF 저항성에 대한 TaO 두께의 영향이 다양한 두께들의 TaO 식각 정지층들, 0.5 nm 내지 3 nm 두께의 TaO 층들을 사용하여 조사되었다. 도 2는 TaO 층으로 캡핑된 Al2O3 층에 의해 형성된 물질 스택으로부터, 상기 스택을 플루오르화수소에 노출한 후 제거된 물질 두께의 플롯을 나타낸다. 제거된 물질의 양은 TaO 층 두께의 함수로서 도시된다.
[35] 도 2를 계속 참조하면, 다양한 두께의 TaO 층들이 Al2O3 층 상에 퇴적되었고, TaO/Al2O3 스택은 1 분 동안 0.5% HF의 수용액에 노출되었고, 식각된 상기 스택의 두께가 측정값들로 취해졌다. 0.5 nm 두께의 막은 0.5% HF 수용액 내에서의 1 분 습식 식각을 견디기에 충분했던 것으로 보인다. 막 두께의 증가는 HF 용액에 대한 노출에 의해 제거된 물질의 양을 변화시키지 않은 것으로 밝혀졌다.
[36] TaO/Al2O3 스택 내의 TaO 캡층의 식각 저항성에 대한 식각 시간들의 영향이 또한 조사되었다. 상기 스택들은 0.5, 1.0, 및 1.5 nm 두께의 TaO 캡층들을 포함하였다. 상기 스택들은 1 내지 7분까지 달라지는 기간 동안 0.5% HF 식각 용액에 노출되었다. 결과들이 도 3에 도시되고, 이는 HF 식각 용액 노출 기간의 함수로서 각각의 스택 내에 잔류하는 물질의 양을 나타낸다. 0.5 nm TaO 층은 0.5% HF 내에서 1 분간 식각을 견딜수 있고, 1 nm TaO 층은 5 분간 유사한 식각을 견딜 수 있고, 1.5 nm TaO 층은 7 분 이상 유사한 식각을 견딜 수 있음이 확인될 수 있다. TaO 층이 HF 용액에 대한 노출을 견딜 수 있는 기간은 HF 저항 시간으로 지칭될 수 있다. 일단 저항 시간이 경과하고, 식각이 보호 TaO 캡층을 뚫기 시작하면, 전체 스택이 식각 제거될 때까지 총 층 두께는 급격하게 감소되었다. 이러한 거동은 TaO에 비하여 Al2O3의 현저히 높은 식각율에 기인한 것으로 이해될 것이다. TaO 막은 0.5% HF에 대한 노출 동안 0.2 내지 0.25 nm/min의 속도로 식각되는 반면, Al2O3는 약 10 nm/min의 50배 더 높은 속도로 식각된다. TaO 층의 식각 속도는 두께에 의존하여 달라질 수 있다는 점에 주목하여야 한다. 두꺼운 TaO 층들에 대하여(>10 nm), TaO의 추출된 식각 속도는 0.12 nm/min였다. 도 3의 결과들은 도 4에 요약되고, 이는 TaO 층 두께의 함수로서 TaO 층의 HF 저항 시간의 플롯을 나타낸다. 바람직하게는, 0.5% HF 내의 HF 저항 시간은 0.5 분 이상이며, 또는 바람직하게는 1 분 이상이다. 상기 식각 정지를 퇴적하는 데 사용되는 방법과 조건들은 산화물의 특성들 및 HF 내에서의 식각 속도에 영향을 가짐이 이해될 것이다. 바람직하게는, TaO 층, 또는 여기 개시된 다른 식각 정지층들의 퇴적은 여기 개시된 HF 저항성을 달성하도록 선택된다.
[37] 산소 플라즈마에 대한 노출 동안에 애싱에 대한 TaO 층의 저항성이 실험적으로 테스트되었고, 식각 정지층들로서 일반적으로 사용되는 다른 층들과 비교되었다. 이러한 목적을 위하여, 3-4 nm 두께의 보론 카바이드(BC), 보론 및 카본을 함유하는 실리콘 질화물(SiNBC), 보론 카바이드로 캡핑된 알루미늄 질화물(AlN+BC), 및 1 nm의 TaO로 캡핑된 알루미늄 질화물(AlN + TaO) 층들이 300 mm 실리콘 기판들 상에 퇴적되었다. 기판들은 이후 500 W의 RF 전력을 사용하여, 300℃에서 5 분의 기간 동안 산소 플라즈마에 노출되었다. 산소 플라즈마 노출 이전 및 이후에, 굴절율이 측정되었다. 결과들은 도 5에 도시된다. BC, SiNBC, 및 AlN+BC에 대하여, 산소 플라즈마 노출의 결과로 굴절율이 감소함이 확인될 수 있다. 이러한 감소는 플라즈마 노출의 결과로 상기 막들 내부로의 산소 병합을 가리킨다. 이러한 막들이 1 분 동안 0.5 % HF에 후속적으로 노출되었을 때, 상기 막들은 완전히 식각 제거되었다. 여기서 주목하는 바와 같이, 산화물들은 HF 용액들에 대한 노출에 의해 식각에 대한 열악한 저항성을 가질 수 있다. 유리하게는, 일부 실시예들에서의 AlN + TaO 스택은 다른 거동을 나타내며: 산소 플라즈마 노출의 결과로 굴절율이 감소하지 않고 오히려 미미하게 증가하는 것으로 보이며, 상기 막은 후속의 HF 식각에 대하여 저항성이 있었다.
[38] 여기서 실시예들에 따른 식각 정지층들은 집적 회로들을 제조하기 위하여 다양한 공정 흐름들 내에서 사용될 수 있음이 이해될 것이다. 공정 흐름의 일 예시는 도 6에 도시된다. a)에서, 기판(1)이 식각 정지층(2)에 의해 커버되고, 식각 정지층(2)은 여기의 실시예들에 따라 형성될 수 있다. 일부 실시예들에서, 상기 기판은 실리콘 기판이며, 상기 식각 정지층(2)은 TaO 층이다. 타겟층(3)은 식각 정지층(2) 상에 퇴적되고, 식각 마스크층(5)은 타겟층(3) 상에 형성된다. 일부 실시예들에서, 타겟층(3)은 카본, 예를 들어 비정질 카본으로 형성되고, 식각 마스크층(4)은 카본-도핑된 실리콘 산화물로 형성된다. 도시된 것과 같이, 식각 마스크층(4)이 예를 들어 이미 제거된 상부층(도시 생략)으로부터의 패턴 전사에 의해 패터닝되었다.
[39] 식각 마스크층(4) 내의 패턴은 이후 산소 플라즈마에 대한 노출을 포함하는 애싱 공정에 의해 타겟층(3)으로 전사된다. 식각 마스크층(4)은 노출된 템플릿(5)을 남기도록 제거되고, 이는 b)에 도시된 것과 같이 식각 마스크층(4)으로부터의 패턴 전사에 의해 타겟층(3) 내에 형성되었던 것이다. 타겟층(3)을 통해 완전히 연장되는 하나 또는 그 이상의 개구부들(3a)을 형성하도록 애싱 공정은 타겟층(3)의 노출된 영역들을 완전히 제거한다. 도시된 것과 같이, 템플릿(5)의 분리된 피쳐들은 이러한 분리된 피쳐들에 의해 형성되는 패턴을 정의하고, 이러한 피쳐들 사이에 개구부들(3a) 또는 열린 부피들을 가질 수 있다. 그 결과, 개구부들(3a)에 의해 노출되는 식각 정지층(2)의 일부분들이 또한 상기 애싱 공정 동안 산소 플라즈마에 의해 노출되었다. 유리하게는, 식각 정지층(2)은 상기 산소 플라즈마에 저항성이 있고, 하부 기판(1)을 보호한다.
[40] c)에 도시된 것과 같이, 블랭킷 SiN 스페이서층이 이후 템플릿(5) 상에, 및 상기 템플릿 피쳐들 사이의 필드 영역들 상에 콘포말하게 퇴적된다. 후속적으로, 상기 SiN 층의 수평 부분들이 방향성, 예를 들어 비이방성 식각되고, 템플릿(5)의 잔류 부분들이 이후 예를 들어 건식 또는 습식 식각 공정에 의해 제거된다. 이후, 임의의 잔류하는 잔여물이 HF 식각 공정에 의해 제거되고, 스페이서들(7)의 최종 템플릿이 형성된다. 식각 정지층(2)의 특성들에 기인하여, 애싱, 건식 식각 공정, 및 액상 HF 식각의 조합은 식각 정지층(2)을 현저히 식각하지 않으며, 식각 정지층(2)을 0.3 nm보다 작게, 바람직하게는 0.25 nm보다 작게 제거한다. 그 결과, 스페이서들(7)의 언더컷이 발생하지 않는다. 일부 실시예들에서, 스페이서들(7)은 이후 기판(1) 내에 피쳐들을 정의하도록 식각 마스크로서 사용될 수 있다. 일부 다른 실시예들에서, 스페이서들(7)은 최종 반도체 소자의 일부분을 형성하도록 유지될 수 있다.
[41] 당업자들에 의해 위에서 설명한 공정들 및 구조들에 대한 다양한 생략들, 추가들 및 개조들이 본 발명의 범위를 벗어나지 않으며 만들어질 수 있음이 이해될 것이다. 상기 실시예들의 특정한 특징들 및 태양들의 다양한 조합들 또는 서브-조합들이 만들어질 수 있고, 이는 여전히 본 명세서의 범위 내에 속함이 고려되어야 한다. 개시된 실시예들의 다양한 특징들 또는 태양들은 순서대로 하나씩 조합되거나 대체될 수 있다. 이러한 모든 개조들 및 변경들은 첨부된 청구항들에 의해 한정되는 본 발명의 범위 내에 속함이 의도된다.

Claims (24)

  1. 기판을 제공하는 단계;
    상기 기판 상에 탄탈륨 산화물을 포함하는 식각 정지층을 제공하는 단계로서, 상기 식각 정지층을 제공하는 단계는 금속유기 전구체를 사용하여 탄탈륨 산화물을 퇴적하는 단계를 포함하는, 상기 식각 정지층을 제공하는 단계;
    상기 식각 정지층 상에 타겟층을 제공하고, 상기 타겟층 상에 식각 마스크를 제공하는 단계; 및
    상기 식각 마스크를 통해 상기 타겟층을 식각하도록 상기 식각 정지층을 산소 플라즈마에 노출하는 단계를 포함하는 반도체 소자의 제조 방법.
  2. 청구항 1에 있어서,
    상기 식각 정지층을 상기 산소 플라즈마에 노출하는 단계 이후에, 상기 식각 정지층을 플루오르-함유 식각에 노출하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  3. 청구항 2에 있어서,
    상기 식각 정지층을 상기 플루오르-함유 식각에 노출하는 단계는, 상기 식각 정지층을 HF 수용액에 노출하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  4. 청구항 3에 있어서,
    상기 식각 정지층을 상기 HF 수용액에 노출하는 단계는, 1 분 이상 동안 수행되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  5. 기판을 제공하는 단계;
    상기 기판 상에 탄탈륨 산화물을 포함하는 식각 정지층을 제공하는 단계로서, 상기 식각 정지층은 상기 탄탈륨 산화물의 캡층을 포함하고, 탄탈륨 산화물의 상기 캡층은 0.5 내지 2 nm의 두께를 갖는, 상기 식각 정지층을 제공하는 단계;
    상기 식각 정지층 상에 타겟층을 제공하고, 상기 타겟층 상에 식각 마스크를 제공하는 단계; 및
    상기 식각 마스크를 통해 상기 타겟층을 식각하도록 상기 식각 정지층을 산소 플라즈마에 노출하는 단계를 포함하는 반도체 소자의 제조 방법.
  6. 기판을 제공하는 단계;
    상기 기판 상에 탄탈륨 산화물을 포함하는 식각 정지층을 제공하는 단계;
    탄탈륨 산화물을 포함하는 상기 식각 정지층 아래에 놓이는(underlying) 알루미늄 질화물 또는 알루미늄 산화물 층을 제공하는 단계;
    상기 식각 정지층 상에 타겟층을 제공하고, 상기 타겟층 상에 식각 마스크를 제공하는 단계; 및
    상기 식각 마스크를 통해 상기 타겟층을 식각하도록 상기 식각 정지층을 산소 플라즈마에 노출하는 단계를 포함하는 반도체 소자의 제조 방법.
  7. 청구항 1에 있어서,
    상기 금속유기 전구체는 터셔리부틸이미도,트리스(디에틸아미노)탄탈륨(tertiarybutylimido,tris(diethylamino)tantalum)인 것을 특징으로 하는 반도체 소자의 제조 방법.
  8. 기판을 제공하는 단계;
    상기 기판 상에 탄탈륨 산화물을 포함하고 0.5 내지 2.0 nm의 두께를 갖는 식각 정지층을 제공하는 단계;
    상기 식각 정지층 상에 타겟층을 제공하는 단계; 및
    상기 타겟층 내에 패턴을 형성하는 단계를 포함하고,
    상기 패턴을 형성하는 단계는, 상기 타겟층을 통해 연장되는 개구부들을 형성하도록 상기 타겟층을 산소-함유 플라즈마에 노출하는 단계를 포함하고, 상기 식각 정지층이 상기 개구부들 내에서 상기 산소-함유 플라즈마에 노출되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  9. 청구항 8에 있어서,
    상기 식각 정지층을 상기 산소-함유 플라즈마에 노출하는 단계 이후에, 상기 식각 정지층이 플루오르-함유 식각에 노출되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  10. 청구항 9에 있어서,
    상기 식각 정지층을 상기 플루오르-함유 식각에 노출하는 단계는 상기 식각 정지층을 HF 수용액에 노출하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  11. 청구항 9에 있어서,
    상기 타겟층을 산소-함유 플라즈마에 노출하는 단계와 상기 식각 정지층을 상기 플루오르-함유 식각에 노출하는 단계에 의해 상기 식각 정지층의 0.3 nm 이하가 제거되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  12. 기판을 제공하는 단계;
    상기 기판 상에 0.5 내지 2.0 nm의 두께를 갖는 식각 정지층을 제공하는 단계;
    상기 식각 정지층을 산소-플라즈마에 노출하는 단계; 및
    상기 식각 정지층을 플루오르화수소에 노출하는 단계를 포함하고,
    상기 식각 정지층을 산소-함유 플라즈마에 노출하는 단계와 상기 식각 정지층을 플루오르화수소에 노출하는 단계에 의해 상기 식각 정지층의 0.3 nm 이하의 두께가 제거되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  13. 청구항 12에 있어서,
    상기 식각 정지층 상에 타겟층을 제공하는 단계;
    상기 타겟층 상에 패턴을 갖는 식각 마스크를 제공하는 단계; 및
    상기 타겟층을 상기 산소-함유 플라즈마로 식각함에 의해 패터닝된 타겟층을 형성하도록 상기 식각 마스크 내의 상기 패턴을 상기 타겟층으로 전사하는(transferring) 단계;를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  14. 청구항 13에 있어서,
    상기 식각 정지층을 상기 플루오르화수소에 노출하는 단계는 상기 타겟층을 상기 산소-함유 플라즈마로 식각하는 단계 이후에 수행되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  15. 청구항 14에 있어서,
    상기 패턴을 전사하는 단계 이후에 상기 식각 마스크를 제거하는 단계;
    상기 패터닝된 타겟층 상에 스페이서층을 후속적으로 퇴적하는 단계; 및
    상기 패터닝된 타겟층의 측벽들을 따라 스페이서들을 정의하도록 상기 스페이서층을 방향성 식각하는 단계;를 더 포함하고,
    상기 식각 정지층을 상기 플루오르화수소에 노출하는 단계는 상기 스페이서층을 방향성 식각하는 단계 이후에 수행되고, 상기 식각 정지층을 상기 플루오르화수소에 노출하는 단계는 복수의 독립된(stand alone) 스페이서들을 남기도록 상기 패터닝된 타겟층을 제거하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  16. 청구항 12에 있어서,
    상기 식각 정지층을 플루오르화수소에 노출하는 단계는 1분 이상 동안 수행되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  17. 청구항 12에 있어서,
    상기 식각 정지층은 주기율표의 4, 5, 및 6 족들의 원소들로부터 선택되는 금속을 포함하는 금속 산화물을 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
KR1020170011794A 2016-01-26 2017-01-25 식각 정지층을 사용한 반도체 소자 제조 KR101998844B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662287359P 2016-01-26 2016-01-26
US62/287,359 2016-01-26

Publications (2)

Publication Number Publication Date
KR20170089420A KR20170089420A (ko) 2017-08-03
KR101998844B1 true KR101998844B1 (ko) 2019-07-10

Family

ID=59359893

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170011794A KR101998844B1 (ko) 2016-01-26 2017-01-25 식각 정지층을 사용한 반도체 소자 제조

Country Status (3)

Country Link
US (1) US10199223B2 (ko)
KR (1) KR101998844B1 (ko)
TW (1) TWI662593B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018089579A2 (en) * 2016-11-10 2018-05-17 The Goverment of the United States of America, as represented by the Secretary of the Navy Scandium-containing iii-n etch-stop layers for selective etching of iii-nitrides and related materials
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
KR102329022B1 (ko) * 2018-08-15 2021-11-22 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에칭 정지 층으로서의 금속 산화물 복합체
CN110998848A (zh) * 2019-11-26 2020-04-10 重庆康佳光电技术研究院有限公司 一种光阻剥离液的隔离结构、tft阵列及其制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100539446B1 (ko) * 2003-07-11 2005-12-27 매그나칩 반도체 유한회사 반도체 소자의 듀얼 다마신 패턴 형성방법
US20090194845A1 (en) * 2008-01-31 2009-08-06 Thomas Werner Semiconductor device comprising a capacitor in the metallization system and a method of forming the capacitor

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194323B1 (en) 1998-12-16 2001-02-27 Lucent Technologies Inc. Deep sub-micron metal etch with in-situ hard mask etch
KR100311050B1 (ko) 1999-12-14 2001-11-05 윤종용 커패시터의 전극 제조 방법
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
US6867145B2 (en) * 2001-12-17 2005-03-15 Hynix Semiconductor Inc. Method for fabricating semiconductor device using photoresist pattern formed with argon fluoride laser
US6986971B2 (en) 2002-11-08 2006-01-17 Freescale Semiconductor, Inc. Reflective mask useful for transferring a pattern using extreme ultraviolet (EUV) radiation and method of making the same
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
KR100714477B1 (ko) * 2005-12-07 2007-05-07 삼성전자주식회사 반도체 집적 회로 장치의 제조 방법
US8673757B2 (en) 2010-10-28 2014-03-18 International Business Machines Corporation Structure and method for using high-k material as an etch stop layer in dual stress layer process

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100539446B1 (ko) * 2003-07-11 2005-12-27 매그나칩 반도체 유한회사 반도체 소자의 듀얼 다마신 패턴 형성방법
US20090194845A1 (en) * 2008-01-31 2009-08-06 Thomas Werner Semiconductor device comprising a capacitor in the metallization system and a method of forming the capacitor

Also Published As

Publication number Publication date
TWI662593B (zh) 2019-06-11
US10199223B2 (en) 2019-02-05
KR20170089420A (ko) 2017-08-03
US20170213732A1 (en) 2017-07-27
TW201737313A (zh) 2017-10-16

Similar Documents

Publication Publication Date Title
KR102403102B1 (ko) 반도체 처리 장치
KR102377746B1 (ko) 소수성 전구체들을 사용한 선택적 퇴적
TWI685584B (zh) 用於積體電路製造的方法
US20200111669A1 (en) Method for depositing oxide film by peald using nitrogen
CN108122739B (zh) 拓扑限制的等离子体增强循环沉积的方法
KR101998844B1 (ko) 식각 정지층을 사용한 반도체 소자 제조
KR20230051653A (ko) 증착 및 제거를 이용한 선택적 층 형성
JP2018006742A5 (ko)
WO2018109554A1 (en) Method of forming a structure on a substrate
TW201323647A (zh) 利用包含鉿或鋯之前驅物之膜的原子層沉積
TWI803636B (zh) 用於蝕刻期間之低介電常數溝槽保護的原子層沉積
CN116829761A (zh) 利用核生长阻滞的区域选择性薄膜形成方法
KR101900181B1 (ko) 이종원소 합금화를 통한 고품질 사중패터닝 물질의 제조방법
KR20210117344A (ko) 희생 마스크 (sacrificial mask) 를 사용하는 선택적인 에칭
TWI831337B (zh) 含釕層之形成方法及積層體
JP7357794B2 (ja) 高品質Si含有膜を形成するための超低温ALD
US20220068647A1 (en) Method and system for forming patterned features on a surface of a substrate
US20230054940A1 (en) Method of forming patterned features
KR20230139306A (ko) 기판 처리 방법 및 이를 사용한 선택적 증착 방법
KR20220027772A (ko) 다중 패터닝 공정을 사용하여 패터닝된 구조체를 형성하기 위한 방법 및 시스템
CN115198246A (zh) 用于形成包括氮化硅的图案化结构的方法和系统

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant