KR101907860B1 - 수성 연마 조성물 및 패턴화 또는 비패턴화 저-k 유전층을 갖는 기판의 화학적 기계적 연마 방법 - Google Patents

수성 연마 조성물 및 패턴화 또는 비패턴화 저-k 유전층을 갖는 기판의 화학적 기계적 연마 방법 Download PDF

Info

Publication number
KR101907860B1
KR101907860B1 KR1020137011460A KR20137011460A KR101907860B1 KR 101907860 B1 KR101907860 B1 KR 101907860B1 KR 1020137011460 A KR1020137011460 A KR 1020137011460A KR 20137011460 A KR20137011460 A KR 20137011460A KR 101907860 B1 KR101907860 B1 KR 101907860B1
Authority
KR
South Korea
Prior art keywords
group
alkyl
cycloalkyl
aryl
low
Prior art date
Application number
KR1020137011460A
Other languages
English (en)
Other versions
KR20130117780A (ko
Inventor
비자이 임마누엘 라만
프랑크 리티크
유주오 리
웨이 란 윌리엄 츄
Original Assignee
바스프 에스이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 바스프 에스이 filed Critical 바스프 에스이
Publication of KR20130117780A publication Critical patent/KR20130117780A/ko
Application granted granted Critical
Publication of KR101907860B1 publication Critical patent/KR101907860B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • GPHYSICS
    • G09EDUCATION; CRYPTOGRAPHY; DISPLAY; ADVERTISING; SEALS
    • G09GARRANGEMENTS OR CIRCUITS FOR CONTROL OF INDICATING DEVICES USING STATIC MEANS TO PRESENT VARIABLE INFORMATION
    • G09G1/00Control arrangements or circuits, of interest only in connection with cathode-ray tube indicators; General aspects or details, e.g. selection emphasis on particular characters, dashed line or dotted line generation; Preprocessing of data
    • G09G1/02Storage circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Radar, Positioning & Navigation (AREA)
  • Remote Sensing (AREA)
  • Theoretical Computer Science (AREA)
  • Dispersion Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

하기 (A) 및 (B) 를 포함하는 수성 연마 조성물:
(A) 연마 입자 및
(B) 하기 (b1) 및 (b2) 를 가지는 수용성 또는 수분산성 계면활성제로 이루어진 군에서 선택되는 양친매성 비이온성 계면활성제:
(b1) 탄소수 10 내지 18 의 분지형 알킬기로 이루어진 군에서 선택되는 소수성기; 및
(b2) 하기 (b21) 및 (b22) 를 포함하는 폴리옥시알킬렌기로 이루어진 군에서 선택되는 친수성기:
(b21) 옥시에틸렌 단량체 단위 및
(b22) 치환기가 알킬, 시클로알킬, 또는 아릴, 알킬-시클로알킬, 알킬-아릴, 시클로알킬-아릴 및 알킬-시클로알킬-아릴기로 이루어진 군에서 선택되는 치환 옥시알킬렌 단량체 단위,
상기 폴리옥시알킬렌기는 단량체 단위 (b21) 및 (b22) 를 랜덤, 교호, 구배 및/또는 블록형 분포로 함유한다;
상기 수성 연마 조성물을 이용한 패턴화 또는 비패턴화 저-k 또는 초저-k 유전층을 갖는 기판의 CMP 방법; 및 전기, 기계 및 광학 소자를 제조하기 위한 상기 수성 연마 조성물의 용도.

Description

수성 연마 조성물 및 패턴화 또는 비패턴화 저-k 유전층을 갖는 기판의 화학적 기계적 연마 방법 {AQUEOUS POLISHING COMPOSITION AND PROCESS FOR CHEMICALLY MECHANICALLY POLISHING SUBSTRATES HAVING PATTERNED OR UNPATTERNED LOW-K DIELECTRIC LAYERS}
본 발명은 패턴화 또는 비패턴화 저-k 또는 초저-k 유전층을 갖는 기판을 연마하는데 특히 적합한 신규 수성 연마 조성물에 관한 것이다.
또한, 본 발명은 패턴화 또는 비패턴화 저-k 또는 초저-k 유전층을 갖는 기판의 신규 화학적 기계적 연마 방법에 관한 것이다.
선행기술문헌
본원에서 인용되는 문헌은 전부가 참고로 포함된다.
화학적 기계적 평탄화 또는 연마 (CMP) 는 집적 회로 (IC) 소자의 국소적 및 전체적인 평면성을 수득하기 위한 1 차 공정이다. 이 기술은 전형적으로 작용 하중하에서 회전 기판 표면과 연마 패드 사이에 활성 화학물질로서 연마제 및 기타 첨가제를 함유하는 CMP 조성물 또는 슬러리를 적용한다. 따라서, CMP 공정은 연마와 같은 물리적 공정과 산화 또는 킬레이트화와 같은 화학적 공정을 병행한다. 기판 재료의 제거 또는 연마는 순수하게 물리적인 작용 또는 순수하게 화학적인 작용으로 구성되기 보다는, 빠른 균일한 제거를 달성하기 위해서 이 두가지의 상승적인 조합으로 구성되는 것이 바람직하다.
이에 의해, 원하는 평면성이 수득되거나, 내부 장벽층 또는 저지층이 노출될 때까지 기판 재료를 제거한다. 결국, 평면의, 결함이 없는 표면이 수득되며, 이는 이후의 포토리소그래피, 패턴화, 에칭 및 박막 공정에 의해 적당한 다층 IC 소자 제작을 가능하게 한다.
고밀도 집적 회로 (LSI) 또는 초고밀도 집적 회로 (VLSI) 를 갖는 집적 회로 (IC) 소자에서의 회로 성분의 최소 배선폭의 점진적인 감소는 IC 를 구성하는 여러가지 박막층의 CMP 에 의한 전체적인 표면 평탄화에 대한 요구를 매우 증가시켰다. 전형적으로, CMP 는 하기와 같은 재료의 박막의 제거를 포함한다:
- 도전성 배선에 사용되는 구리,
- 구리의 유전체 재료에의 확산을 방지하기 위한 확산 장벽으로서 사용되는 질화탄탈륨, 탄탈륨/질화탄탈륨 또는 티타늄, 및
- 도전성 배선 사이에 절연성 유전체 재료로서 사용되는 이산화규소.
그러므로, 예를 들어 미국 특허 출원 US 2005/0076578 A1 (US 7,153,335 B2) 및 US 2009/0311864 A1 에 기재된, 결함이 없는 원하는 표면을 수득하기 위해서는, 상이한 층을 원하는 속도로 연마할 수 있는 것이 필요하다. 그러므로, 장벽 CMP 에 사용되는 전형적인 CMP 슬러리는 상이한 성분이 제거율 (MRR) 을 증가 및 억제하여 원하는 선택성 요건을 달성하는 것을 수반한다.
따라서, 질화탄탈륨의 MRR 은 산화탄탈륨 형성을 저지하는 막 형성제인 과산화수소와 같은 산화제 및 말론산과 같은 질화탄탈륨 강화제로 조정할 수 있다.
이산화규소, 특히 TEOS 의 MRR 은 히드록실기 풍부 표면상에 선택적으로 흡수되는 폴리올로 억제할 수 있다.
구리의 MRR 은 L-히스티딘과 같은 강화제 및 벤젠트리아졸 (BTA) 과 같은 부동화제의 병용으로 조정할 수 있다.
규소계 금속간 유전층용 CMP 슬러리는 반도체 산업에서 특히 잘 발달되어 있으며, 규소계 유전체의 연마 및 마모의 화학적 및 기계적 성질은 매우 잘 이해된다. 그러나, 규소계 유전체 재료의 한가지 문제점은 이의 유전 상수가 잔류 수분 함량과 같은 인자에 따라서 대략 3.9 이상으로 비교적 높다는 점이다. 결과적으로, 도전성 층 사이의 정전용량도 비교적 높으며, 이는 IC 를 조작할 수 있는 속도 또는 주파수를 제한한다. 정전용량을 감소시키기 위해서 개발된 방법은 (1) 낮은 저항값을 갖는 금속 (예, 구리) 을 혼입시키고, (2) 이산화규소, 즉, 저-k 및 초저-k 유전체 재료보다 낮은 유전 상수를 갖는 절연 재료를 이용하여 전기적 분리를 제공하는 것을 포함한다.
이러한 저-k 및 초저-k 유전체 재료는, 예를 들어 탄소-도핑된 이산화규소 재료로서, 다공성 또는 비다공성일 수 있는 유기 중합체성 재료, 무기 및 유기 다공성 유전체 재료, 및 혼합 또는 복합 유기 및 무기 재료를 포함한다. 반도체 웨이퍼 가공 동안에 유전체 재료의 연마 표면에 통상적인 CMP 슬러리를 여전히 사용할 수 있도록 하면서, 이러한 저-k 및 초저-k 유전체 재료를 IC 구조내에 혼입시키는 것이 매우 바람직할 것이다. 특히, 탄소-도핑된 이산화규소 재료와 같은 저-k 및 초저-k 재료에 대해서 이산화규소, 특히 TEOS 의 높은 선택성을 수득하는 것이 매우 바람직할 것이다. 이러한 높은 선택성은 특히 45 ㎚ 노드 이하 및 새로운 상보형 금속-산화물 반도체 (CMOS) 생산에 대해 초저-k 온전성을 유지시키는데 매우 중요하다.
US 특허 출원 US 2003/0228762 A1 에는 저-k 유전층을 함유하는 기판 연마용CMP 슬러리가 개시되어 있으며, 상기 CMP 슬러리는
- 알루미나, 실리카, 티타니아, 세리아, 지르코니아, 게르마니아, 마그네시아, 및 이들의 공-형성물로 이루어진 군에서 선택되는 연마 입자; 및
- 하나 이상의 소수성 헤드기 및 하나 이상의 친수성 테일기를 갖는 양친매성 비이온성 계면활성제
를 함유한다.
US 2003/0228762 A1 에 의하면, 적당한 헤드기는 폴리실록산, 테트라-C1-4-알킬데신, 포화 또는 부분 불포화 C6-30 알킬기, 폴리옥시프로필렌기, C6-12 알킬 페닐 또는 알킬 시클로헥실기, 및 폴리에틸렌기를 포함한다. 적당한 테일기는 폴리옥시에틸렌기를 포함한다. 따라서, 양친매성 음이온성 계면활성제는 폴리옥시에틸렌 알킬 에테르 또는 에스테르로 이루어진 군에서 선택될 수 있다. 그러나, US 2003/0228762 A1 의 실시예에 의하면, 상기 개시된 양친매성 비이온성 계면활성제에 의한 저-k 유전체 MRR 의 하락은 75 % 를 초과하지 않으며, 저-k 유전체 선택성에 대한 질화탄탈륨 및 저-k 유전체 선택성에 대한 PETEOS 는 3 을 초과하지 않는다.
유럽 특허 출원 EP 1 150 341 A1 에는 비이온성 폴리옥시에틸렌-폴리옥시프로필렌 알킬 에테르 계면활성제를 함유하는 금속층 연마용 CMP 슬러리가 개시되어 있다. 그러나, 알킬기의 탄소 원자수 및 옥시에틸렌 및 옥시프로필렌 단량체 단위의 분포는 정확히 기재하고 있지 않다. 또한, 상기 유럽 특허 출원은 이러한 계면활성제를 함유하는 CMP 슬러리를 이용한 저-k 및 초저-k 재료의 CMP 에 대해서는 언급하고 있지 않다.
미국 특허 출원 US 2008/0124913 A1 에는 폴리실리콘 억제제로서 하기 일반식의 비이온성 폴리옥시에틸렌-폴리옥시프로필렌 알킬 에테르 계면활성제를 함유하는 CMP 슬러리가 개시되어 있다:
CH3-(CH2)n-(CH(CH3)CH2O)y-(CH2CH2O)x-
(식 중, 지수는 하기의 의미를 가진다: n = 3-22, y = 1-30, 및 x = 1-30, 단, x+y 는 바람직하게는 5 이상).
미국 특허 US 6,645,051 B2 에는 폴리옥시에틸렌-폴리옥시프로필렌 알킬 에테르 계면활성제를 포함하는 메모리 하드 디스크 기판 연마용 CMP 슬러리가 개시되어 있다. 그러나, 알킬기의 탄소 원자수 및 옥시에틸렌 및 옥시프로필렌 단량체 단위의 분포는 정확히 기재하고 있지 않다. 또한, 상기 미국 특허는 이러한 계면활성제를 함유하는 CMP 슬러리를 이용한 저-k 및 초저-k 재료의 CMP 에 대해서는 언급하고 있지 않다.
본 발명의 목적은 유전 상수 3.5 이하의 패턴화 또는 비패턴화 저-k 또는 초저-k 유전층을 갖는 기판, 특히 반도체 웨이퍼의 화학적 기계적 연마에 탁월하게 적합한 신규 수성 연마 조성물, 특히 CMP 슬러리를 제공하는 것이다.
가장 특히, 상기 신규 수성 연마 조성물은, 예를 들어 금속층, 장벽층 및 이산화규소층으로서, 존재하는 저-k 및 초저-k 유전층 이외의 재료를 갖는 기판 재료의 장벽 CMP 에 탁월하게 적합해야 한다.
신규 수성 연마 조성물, 특히 신규 CMP 슬러리는 바람직하게는 이산화규소층을 제거하고, 저-k 및 초저-k 재료의 온전성을 유지해야 한다, 즉, MRR 에 관해서 저-k 및 초저-k 재료에 대한 이산화규소의 특히 높은 선택성을 가져야 한다. 바람직하게는, 신규 CMP 슬러리는 존재하는 경우의 금속층 및 장벽층의 MRR 에 영향을 미치지 않아야 한다. 특히, 연마할 기판에 금속층, 장벽층 및 이산화규소층이 존재하는 한, 신규 수성 연마 조성물은 하기 특성의 조합을 가능한 많이 나타내야 한다: (a) 금속층의 높은 MRR, (b) 장벽층의 높은 MRR, (c) 이산화규소의 높은 MRR, (d) MRR 에 관해서 저-k 및 초저-k 재료에 대한 이산화규소의 높은 선택성, (e) MRR 에 관해서 저-k 및 초저-k 재료에 대한 금속층의 높은 선택성, 및 (f) MRR 에 관해서 저-k 및 초저-k 재료에 대한 장벽층의 높은 선택성. 가장 특히, 연마할 기판에 구리층, 질화탄탈륨층 및 이산화규소층이 존재하는 한, 신규 수성 연마 조성물은 하기 특성의 조합을 가능한 많이 나타내야 한다: (a') 구리의 높은 MRR, (b') 질화탄탈륨의 높은 MRR, (c') 이산화규소의 높은 MRR, (d') MRR 에 관해서 저-k 및 초저-k 재료에 대한 이산화규소의 높은 선택성, (e') MRR 에 관해서 저-k 및 초저-k 재료에 대한 구리의 높은 선택성, 및 (f') MRR 에 관해서 저-k 및 초저-k 재료에 대한 질화탄탈륨의 높은 선택성.
또한, 본 발명의 목적은 유전 상수 3.5 이하의 패턴화 및 비패턴화 저-k 또는 초저-k 유전층을 갖는 기판, 특히 반도체 웨이퍼의 신규 화학적 기계적 연마 방법을 제공하는 것이다.
가장 특히, 신규 방법은, 예를 들어 금속층, 장벽층 및 이산화규소층으로서, 존재하는 저-k 및 초저-k 유전층 이외의 재료를 갖는 기판 재료의 장벽 CMP 에 탁월하게 적합해야 한다.
신규 방법은 바람직하게는 이산화규소층을 제거하고, 저-k 및 초저-k 재료의 온전성을 유지해야 한다, 즉, MRR 에 관해서 저-k 및 초저-k 재료에 대한 이산화규소의 특히 높은 선택성을 가져야 한다. 바람직하게는, 신규 방법은 존재하는 경우의 금속층 및 장벽층의 MRR 에 영향을 미치지 않아야 한다.
따라서, 하기 (A) 및 (B) 를 포함하는 신규 수성 연마 조성물을 발견하였다:
(A) 1 종 이상의 연마 입자 및
(B) 하기 (b1) 및 (b2) 를 가지는 수용성 또는 수분산성 계면활성제로 이루어진 군에서 선택되는 하나 이상의 양친매성 비이온성 계면활성제:
(b1) 탄소수 5 내지 20 의 분지형 알킬기로 이루어진 군에서 선택되는 하나 이상의 소수성기; 및
(b2) 하기 (b21) 및 (b22) 를 포함하는 폴리옥시알킬렌기로 이루어진 군에서 선택되는 하나 이상의 친수성기:
(b21) 옥시에틸렌 단량체 단위 및
(b22) 치환기가 알킬, 시클로알킬, 또는 아릴, 알킬-시클로알킬, 알킬-아릴, 시클로알킬-아릴 및 알킬-시클로알킬-아릴기로 이루어진 군에서 선택되는 1 종 이상의 치환 옥시알킬렌 단량체 단위;
상기 폴리옥시알킬렌기는 단량체 단위 (b21) 및 (b22) 를 랜덤, 교호, 구배 및/또는 블록형 분포로 함유한다.
이하에서, 상기 신규 수성 연마 조성물을 "본 발명의 조성물" 이라 한다.
또한, 하기 (1) 내지 (3) 의 단계를 포함하는, 유전 상수 3.5 이하의 패턴화 또는 비패턴화 저-k 또는 초저-k 유전층을 갖는 기판의 신규 화학적 기계적 연마 방법을 발견하였다:
(1) 기판 재료와 하기 (A) 및 (Ba) 를 포함하는 수성 연마 조성물을 1 회 이상 접촉시키는 단계:
(A) 1 종 이상의 연마 입자 및
(Ba) 하기 (b1a) 및 (b2) 를 가지는 수용성 또는 수분산성 계면활성제로 이루어진 군에서 선택되는 하나 이상의 양친매성 비이온성 계면활성제:
(b1a) 탄소수 5 내지 20 의 선형 알킬기 및 분지형 알킬기 (b1) 로 이루어진 군에서 선택되는 하나 이상의 소수성기; 및
(b2) 하기 (b21) 및 (b22) 를 포함하는 폴리옥시알킬렌기로 이루어진 군에서 선택되는 하나 이상의 친수성기:
(b21) 옥시에틸렌 단량체 단위 및
(b22) 치환기가 알킬, 시클로알킬, 또는 아릴, 알킬-시클로알킬, 알킬-아릴, 시클로알킬-아릴 및 알킬-시클로알킬-아릴기로 이루어진 군에서 선택되는 1 종 이상의 치환 옥시알킬렌 단량체 단위;
상기 폴리옥시알킬렌기는 단량체 단위 (b21) 및 (b22) 를 랜덤, 교호, 구배 및/또는 블록형 분포로 함유한다;
(2) 기판을 원하는 전체적인 평면성을 수득할 때까지 소정의 온도에서 소정의 시간 동안 화학적 기계적으로 연마하는 단계; 및
(3) 기판을 본 발명의 수성 연마 조성물과의 접촉으로부터 제거하는 단계.
이하에서, 유전 상수 3.5 이하의 패턴화 및 비패턴화 저-k 및 초저-k 유전층을 갖는 기판의 신규 화학적 및 기계적 연마 방법을 "본 발명의 방법" 이라 한다.
마지막으로 중요하게는, 전기, 기계 및 광학 소자의 제조를 위한 본 발명의 조성물의 신규 용도를 발견하였으며, 이하에서, 이 용도를 "본 발명의 용도" 라 한다.
종래 기술의 관점에서, 본 발명의 조성물, 방법 및 용도에 의해서 본 발명의 목적을 해결할 수 있다는 것은 당업자에게는 놀라웠고, 예상할 수 없었다.
특히, 본 발명의 조성물이 유전 상수 3.5 이하의 패턴화 또는 비패턴화 저-k 또는 초저-k 유전층을 갖는 기판, 특히 반도체 웨이퍼의 화학적 기계적 연마에 탁월하게 적합하였다는 것은 놀라웠다.
가장 특히, 본 발명의 조성물이, 예를 들어 금속층, 장벽층 및 이산화규소층으로서, 존재하는 저-k 및 초저-k 유전층 이외의 재료를 갖는 기판 재료의 장벽 CMP 에 탁월하게 적합하였다는 것은 놀라웠다.
대단히 놀랍게도, 본 발명의 조성물은 또한 본 발명의 용도, 즉, 고정밀 연마 공정이 요구되는 전기, 기계 및 광학 소자의 제조에 탁월하게 적합하였다.
본 발명의 방법에 있어서, 본 발명의 조성물은 바람직하게는 이산화규소층을 제거하고, 저-k 및 초저-k 층의 온전성을 유지하였다, 즉, MRR 에 관해서 저-k 및 초저-k 재료에 대한 이산화규소의 특히 높은 선택성을 가졌다. 바람직하게는, 본 발명의 조성물은 존재하는 경우의 금속층 및 장벽층의 MRR 에 영향을 미치지 않았다.
또한, 본 발명의 방법은 유전 상수 3.5 이하의 패턴화 또는 비패턴화 저-k 또는 초저-k 유전층을 갖는 기판, 특히 반도체 웨이퍼의 화학적 기계적 연마에 가장 탁월하게 적합하였다.
가장 특히, 본 발명의 방법은, 예를 들어 금속층, 장벽층 및 이산화규소층으로서, 존재하는 저-k 및 초저-k 유전층 이외의 재료를 갖는 기판의 장벽 CMP 에 가장 탁월하게 적합하였다.
본 발명의 방법은 바람직하게는 이산화규소층을 제거하고, 저-k 및 초저-k 재료의 온전성을 유지하였다, 즉, MRR 에 관해서 저-k 및 초저-k 재료에 대한 이산화규소의 특히 높은 선택성을 가졌다. 바람직하게는, 본 발명의 조성물은 존재하는 경우의 금속층 및 장벽층의 MRR 에 영향을 미치지 않았다.
본 발명의 조성물은 수성 조성물이다. 이것은 주 용매 및 분산제로서 물, 특히 초순수를 함유하는 것을 의미한다. 그럼에도 불구하고, 본 발명의 조성물은 하나 이상의 수혼화성 유기 용매를 함유할 수 있으며, 다만, 본 발명의 조성물의 수성 성질을 변화시키지 않도록 미량으로만 함유할 수 있다.
바람직하게는, 본 발명의 조성물은 물을 60 내지 99.95 중량%, 보다 바람직하게는 70 내지 99.9 중량%, 더욱 바람직하게는 80 내지 99.9 중량%, 가장 바람직하게는 90 내지 99.9 중량% 의 양으로 함유한다 (상기 중량% 는 본 발명의 조성물의 총중량에 대한 것이다).
"수용성" 은 본 발명의 조성물의 관련 성분 또는 요소가 수성상에 분자 수준으로 용해될 수 있다는 것을 의미한다.
"수분산성" 은 본 발명의 조성물의 관련 성분 또는 요소가 수성상에 분산될 수 있으며, 안정한 유화액 또는 현탁액을 형성한다는 것을 의미한다.
"올리고머" 또는 "올리고머성" 은 본 발명의 조성물의 관련 성분 및 계면활성제 (B) 의 알킬렌 옥사이드기가 3 내지 10 개의 연결 단량체성 구조 단위로 이루어지는 것을 의미한다.
"중합체" 또는 "중합체성" 은 본 발명의 조성물의 관련 성분 및 계면활성제 (B) 의 알킬렌 옥사이드기가 10 개 초과의 연결 단량체성 구조 단위로 이루어지는 것을 의미한다.
본 발명의 조성물의 제 1 필수 요소는 1 종 이상, 바람직하게는 1 종의 연마 입자 (A) 이다.
연마 입자 (A) 의 평균 입자 크기는 다양한 범위일 수 있으며, 따라서 가장 유리하게는 본 발명의 소정의 조성물 및 방법의 특정한 요건에 맞출 수 있다. 바람직하게는, 동적 레이저 광 산란에 의해서 측정되는 평균 입자 크기는 1 내지 2000 ㎚, 바람직하게는 1 내지 1000 ㎚, 보다 바람직하게는 1 내지 750 ㎚, 가장 바람직하게는 1 내지 500 ㎚ 의 범위이다. 1 차 입자는 또한 응집되어 2 차 응집체를 형성할 수 있다.
연마 입자 (A) 의 입자 크기 분포는 단봉형, 이봉형 또는 다봉형일 수 있다. 바람직하게는, 입자 크기 분포는 본 발명의 방법 동안에 연마 입자 (A) 의 용이하게 재현 가능한 특성 프로파일 및 용이하게 재현 가능한 조건을 갖추기 위해서 단봉형이다.
또한, 연마 입자 (A) 의 입자 크기 분포는 폭이 좁거나 넓을 수 있다. 바람직하게는, 입자 크기 분포는 본 발명의 방법 동안에 연마 입자 (A) 의 용이하게 재현 가능한 특성 프로파일 및 용이하게 재현 가능한 조건을 갖추기 위해서, 단지 소량의 작은 입자 및 큰 입자에 의한 좁은 폭을 가진다.
연마 입자 (A) 는 여러가지 형상을 가질 수 있다. 따라서, 이들은 하나의 또는 본질적으로 한가지 유형의 형상일 수 있다. 그러나, 연마 입자 (A) 는 상이한 형상을 가지는 것도 가능하다. 특히, 본 발명의 소정의 조성물에는 2 가지 유형의 상이한 형상의 연마 입자 (A) 가 존재할 수 있다. 형상 자체에 관해서, 이들은 정6면체, 모깍은 면이 있는 정6면체, 8면체, 20면체, 단괴, 및 볼록부 또는 오목부가 있거나 없는 구체일 수 있다. 가장 바람직하게는, 상기 형상은 볼록부 또는 오목부가 없거나 극히 적은 구형일 수 있다. 일반적으로, 이 형상이, 통상적으로 연마 입자 (A) 가 CMP 공정 동안에 노출되는 기계력에 대한 내성을 향상시키기 때문에 바람직하다.
원칙적으로, 상기 기술한 특성 프로파일을 소유하기만 하면, 모든 유형의 연마 입자 (A) 를 본 발명의 조성물에 사용할 수 있다. 따라서, 연마 입자 (A) 는 유기 또는 무기 입자 또는 유기-무기 혼성 입자일 수 있다. 바람직하게는, 연마 입자 (A) 는 무기 입자이다.
보다 바람직하게는, 무기 연마 입자 (A) 는 알루미나, 실리카, 티타니아, 세리아, 지르코니아, 게르마니아, 마그네시아, 이들의 공-형성물, 및 이들의 혼합물로 이루어진 군에서 선택된다. 가장 바람직하게는, 연마 입자 (A) 로서는 실리카가 사용된다.
본 발명의 조성물에서의 연마 입자 (A) 의 사용량은 다양한 범위일 수 있으며, 따라서 가장 유리하게는 본 발명의 소정의 조성물 및 방법의 특정한 요건에 맞출 수 있다. 바람직하게는, 본 발명의 조성물은 0.005 내지 10 중량%, 보다 바람직하게는 0.01 내지 8 중량%, 가장 바람직하게는 0.01 내지 6 중량% 의 연마 입자 (A) 를 함유한다 (상기 중량% 는 본 발명의 조성물의 총중량에 대한 것이다).
본 발명의 조성물의 제 2 필수 요소는 하나 이상, 바람직하게는 하나의 수용성 또는 수분산성, 바람직하게는 수용성, 양친매성 비이온성 계면활성제 (B) 이다.
양친매성 비이온성 계면활성제 (B) 는 하나 이상의 소수성기 (b1) 을 포함한다. 이것은 양친매성 비이온성 계면활성제 (B) 가 후술하는 하나 이상의 친수성기 (b2) 에 의해 서로 분리되는 1 개 초과의 소수성기 (b1), 예를 들어 2, 3 또는 그 이상의 기 (b1) 을 가질 수 있다는 것을 의미한다.
소수성기 (b1) 은 탄소수 5 내지 20, 바람직하게는 7 내지 16, 가장 바람직하게는 8 내지 15 의 분지형 알킬기로 이루어진 군에서 선택된다.
바람직하게는, 분지형 알킬기 (b1) 은 평균적으로 1 내지 5, 바람직하게는 1 내지 4, 가장 바람직하게는 1 내지 3 의 분지화도를 가진다.
적당한 분지형 알킬기 (b1) 은 이소펜탄, 네오펜탄 및 분지형 헥산, 헵탄, 옥탄, 노난, 데칸, 운데칸, 도데칸, 트리데칸, 테트라데칸, 펜타데칸, 헥사데칸, 헵타데칸, 노나데칸 및 에이코산 이성질체에서 유도된다.
양친매성 비이온성 계면활성제 (B) 는 하나 이상의 친수성기 (b2) 를 포함한다. 이것은 양친매성 비이온성 계면활성제 (B) 가 소수성기 (b1) 에 의해 서로 분리되는 1 개 초과의 기 (b2), 예를 들어 2, 3 또는 그 이상의 기 (b2) 를 함유하는 것을 의미한다.
그러므로, 양친매성 비이온성 계면활성제 (B) 는 상이한 블록형 일반 구조를 가질 수 있다. 이러한 일반적인 블록형 구조의 예는 다음과 같다:
- b1-b2,
- b1-b2-b1,
- b2-b1-b2,
- b2-b1-b2-b1,
- b1-b2-b1-b2-b1, 및
- b2-b1-b2-b1-b2.
친수성기 (b2) 는 올리고머성 또는 중합체성일 수 있는 폴리옥시알킬렌기로 이루어진 군에서 선택된다.
친수성기 (b2) 는 옥시에틸렌 단량체 단위 (b21) 을 포함한다.
또한, 친수성기 (b2) 는 치환기가 알킬, 시클로알킬 및 아릴기로 이루어진 군에서 선택되는 1 종 이상의 치환 옥시알킬렌 단량체 단위 (b22) 를 포함한다.
바람직하게는, 옥시알킬렌 단량체 단위 (b22) 는 치환기가 알킬기, 시클로알킬기 및 아릴기로 이루어진 군에서 선택되는 치환 옥시란에서 유도된다.
치환기 자체는 또한 불활성 치환기, 즉, 옥시란의 공중합 및 양친매성 비이온성 계면활성제 (B) 의 표면 활성에 악영향을 미치지 않는 치환기를 보유할 수 있다. 이러한 불활성 치환기의 예는 불소 및 염소 원자, 니트로기 및 니트릴기이다. 치환시에는, 계면활성제 (유형 B) 의 친수성-소수성 평형에 악영향을 미치지 않을 정도의 양으로 사용된다. 바람직하게는, 상기 치환기는 이러한 불활성 치환기를 보유하지 않는다.
옥시란의 치환기는 바람직하게는 탄소수 1 내지 10 의 알킬기, 스피로시클릭, 엑소시클릭 및/또는 어닐드 배치의 탄소수 5 내지 10 의 시클로알킬기, 탄소수 6 내지 10 의 아릴기, 탄소수 6 내지 20 의 알킬-시클로알킬기, 탄소수 7 내지 20 의 알킬-아릴기, 탄소수 11 내지 20 의 시클로알킬-아릴기, 및 탄소수 12 내지 30 의 알킬-시클로알킬-아릴기로 이루어진 군에서 선택된다.
적당한 알킬기의 예는 메틸, 에틸, 프로필, 이소프로필, n-부틸, sec-부틸, tert-부틸, n-펜틸, 2- 및 3-메틸펜틸, 2,2-디메틸프로필, n-헥실, 2-, 3- 및 4-메틸펜틸, 2,2- 및 3,3-디메틸부틸, n-헵틸, 2,3-디메틸펜틸, 2,3,3-트리메틸부틸, n-옥틸, 이소-옥틸, 2-에틸헥실, n-노닐, 2-에틸-3,4-디메틸펜틸, 및 n-데실; 바람직하게는, 메틸, 에틸, 프로필, 이소프로필, n-부틸, n-펜틸, 및 n-헥실이다.
적당한 시클로알킬기의 예는 시클로펜틸, 시클로헥실, 시클로펜탄-1,1-디일, 시클로펜탄-1,2-디일, 시클로헥산-1,1-디일, 및 시클로헥산-1,2-디일이다.
적당한 아릴기의 예는 페닐 및 1- 및 2-나프틸이다.
적당한 알킬-시클로알킬기의 예는 시클로펜틸- 및 시클로헥실메틸 2-시클로펜틸- 및 2-시클로헥실에티-1-일, 3-시클로펜틸- 및 3-시클로헥실프로프-1-일, 및 4-시클로펜틸- 및 4-시클로헥실-n-부트-1-일이다.
적당한 알킬-아릴기의 예는 페닐메틸, 2-페닐에트-1-일, 3-페닐프로프-1-일, 및 4-페닐-n-부트-1-일이다.
적당한 시클로알킬-아릴기의 예는 4-페닐-시클로헥스-1-일, 4-시클로헥실-펜-1-일, 및 2,3-디히드로인덴-1,2-디일이다.
적당한 알킬-시클로알킬-아릴기의 예는 시클로헥실-페닐-메틸 및 2-시클로헥실-2-페닐-에트-1-일이다.
특히 바람직한 치환 옥시란의 예는 메틸, 에틸, 2,2- 및 2,3-디메틸, 2,2,3-트리메틸, 2,2,3,3-테트라메틸, 2-메틸-3-에틸, 2,2 및 2,3-디에틸, n-프로필, 2-메틸-3-n-프로필, n-부틸, n-펜틸, n-헥실, n-헵틸, n-옥틸, n-노닐, n-데실, 시클로펜틸, 시클로헥실, 페닐, 및 나프틸 옥시란; 1,2-에폭시-시클로헥산 및 -시클로펜탄; 1-옥사-3-스피로[3.4]-헵탄, 1-옥사-3-스피로[3.5]-옥탄; 및 1,2-에폭시-2,3-디히드로인덴이다.
메틸 옥시란 (프로필렌 옥사이드) 및 에틸 옥시란 (부틸렌 옥사이드) 이 가장 특히 바람직하게 사용된다.
바람직하게는, 친수성기 (b2) 는 단량체 단위 (b21) 및 (b22) 로 이루어진다.
폴리옥시알킬렌기는 단량체 단위 (b21) 및 (b22) 를 랜덤, 교호, 구배 및/또는 블록형 분포로 함유한다. 이것은 하나의 친수성기 (b2) 가 단지 한가지 유형의 분포, 즉,
- 랜덤: …-b21-b21-b22-b21-b22-b22-b22-b21-b22-…;
- 교호: …-b21-b22-b21-b22-b21-…;
- 구배: …b21-b21-b21-b22-b21-b21-b22-b22-b21-b22-b22-b22-…; 또는
- 블록형: …-b21-b21-b21-b21-b22-b22-b22-b22-…
를 가질 수 있다는 것을 의미한다.
또는 친수성기 (b2) 는 2 가지 이상의 유형의 분포, 예를 들어 랜덤 분포를 갖는 올리고머성 또는 중합체성 부분 및 교호 분포를 갖는 올리고머성 또는 중합체성 부분을 함유할 수 있다.
바람직하게는, 친수성기 (b2) 는 단지 한가지 유형의 분포를 가진다. 가장 바람직하게는, 상기 분포는 랜덤 또는 블록형이다.
옥시에틸렌 단량체 단위 (b21) 과 옥시알킬렌 단량체 단위 (b22) 의 몰비는 다양한 범위일 수 있으며, 따라서 가장 유리하게는 본 발명의 조성물, 방법 및 용도의 특정한 요건에 맞출 수 있다. 바람직하게는 몰비 (b21):(b22) 는 100:1 내지 1:1, 보다 바람직하게는 60:1 내지 1.5:1, 가장 바람직하게는 50:1 내지 1.5:1 이다.
또한, 올리고머성 및 중합체성 폴리옥시알킬렌기 (b2) 의 중합도는 다양한 범위일 수 있으며, 따라서 가장 유리하게는 본 발명의 조성물, 방법 및 용도의 특정한 요건에 맞출 수 있다. 바람직하게는, 중합도는 5 내지 100, 바람직하게는 5 내지 90, 가장 바람직하게는 5 내지 80 의 범위이다.
양친매성 비이온성 계면활성제 (B) 는 통상적이고 공지된 재료이며, BASF SE 에서 상표명 Plurafac™ 으로 시판된다.
본 발명의 조성물에서의 양친매성 비이온성 계면활성제 (B) 의 농도는 다양한 범위일 수 있으며, 따라서 가장 유리하게는 본 발명의 조성물, 방법 및 용도의 특정한 요건에 맞출 수 있다. 바람직하게는, 농도는 1 중량ppm 내지 0.1 중량%, 보다 바람직하게는 10 중량ppm 내지 0.09 중량%, 더욱 바람직하게는 100 중량ppm 내지 0.08 중량%, 가장 바람직하게는 200 중량ppm 내지 0.08 중량% 의 범위이다 (상기 중량은 본 발명의 조성물의 총중량에 대한 것이다).
본 발명의 조성물은 또한 성분 (A) 및 (B) 와는 상이한 하나 이상의 기능성 성분 (C) 를 함유할 수 있다. 바람직하게는, 2 개 이상의 기능성 성분 (C) 가 사용된다.
보다 바람직하게는, 기능성 성분 (C) 는 계면활성제 (B) 이외의 양친매성 비이온성 계면활성제, 2 개 이상의 히드록시기를 갖는 다가 알코올, 낮은 임계 용해 온도 LCST 또는 높은 임계 용해 온도 UCST 를 갖는 물질, 산화제, 부동화제, 전하 반전제, 착화 또는 킬레이트화제, 마찰제, 안정제, pH-조절제, 질화탄탈륨 강화제, 완충제, 레올로지제, 계면활성제, 금속 양이온 및 유기 용매로 이루어진 군에서 선택된다.
적당한 양친매성 비이온성 계면활성제 (C) 의 예는, 예를 들어 유럽 특허 EP 1 534 795 B1, 3 페이지, 단락 [0013] 내지 4 페이지, 단락 [0023] 에 기재되어 있다.
적당한 다가 알코올 (C) 는 에틸렌 글리콜 및 프로필렌 글리콜과 같은 디올, 글리세롤과 같은 트리올, 펜타에리트리톨, 알디톨, 시클리톨, 및 글리세롤, 트리메틸올프로판, 펜타에리트리톨, 알디톨 및 시클리톨의 이량체 및 올리고머이다.
적당한 산화제 (C) 및 이의 유효량은, 예를 들어 유럽 특허 출원 EP 1 036 836 A1, 8 페이지, 단락 [0074] 및 [0075] 또는 미국 특허 US 6,068,787, 4 란, 40 행 내지 7 란, 45 행 또는 US 7,300,601 B2, 4 란, 18 행 내지 34 행에서 공지되어 있다. 바람직하게는, 유기 및 무기 과산화물, 보다 바람직하게는 무기 과산화물이 사용된다. 특히, 과산화수소가 사용된다.
부식 억제제로도 언급되는 적당한 부동화제 (C) 및 이의 유효량은, 예를 들어 미국 특허 US 7,300,601 B2, 3 란, 59 행 내지 4 란, 9 행, 미국 특허 출원 US 2008/0254628 A1, 4 및 5 페이지, 단락 [0058] 또는 유럽 특허 EP 1 534 795 B1, 5 페이지, 단락 [0031] 에서 공지되어 있다.
때때로 마찰제 (미국 특허 출원 US 2008/0254628 A1, 5 페이지, 단락 [0061] 참조) 또는 에칭제 또는 에천트 (미국 특허 출원 US 2008/0254628 A1, 4 페이지, 단락 [0054] 참조) 로도 불리는 적당한 착화제 또는 킬레이트화제 (C) 및 이들의 유효량은, 예를 들어 미국 특허 US 7,300,601 B2, 4 란, 35 행 내지 48 행 또는 유럽 특허 EP 1 534 795 B1, 5 페이지, 단락 [0029] 에서 공지되어 있다. 아미노산, 특히 글리신 및 L-히스티딘, 및 말론산과 같은 카르복실산이 가장 특히 바람직하게 사용된다.
적당한 안정제 (C) 및 이의 유효량은, 예를 들어 미국 특허 US 6,068,787, 8 란, 4 행 내지 56 행에서 공지되어 있다.
적당한 pH-조절제 및 완충제 (C) 및 이들의 유효량은, 예를 들어 유럽 특허 출원 EP 1 036 836 A1, 8 페이지, 단락 [0080], [0085] 및 [0086], 국제 특허 출원 WO 2005/014753 A1, 12 페이지, 19 행 내지 24 행, 미국 특허 출원 US 2008/0254628 A1, 6 페이지, 단락 [0073] 또는 미국 특허 US 7,300,601 B2, 5 란, 33 행 내지 63 행에서 공지되어 있다.
적당한 질화탄탈륨 강화제 (C) 는 아세트산, 옥살산, 및 말론산과 같은 저분자 카르복실산, 특히 말론산이다.
적당한 레올로지제 (C) 및 이의 유효량은, 예를 들어 미국 특허 출원 US 2008/0254628 A1, 5 페이지, 단락 [0065] 내지 6 페이지, 단락 [0069] 에서 공지되어 있다.
적당한 계면활성제 (C) 및 이의 유효량은, 예를 들어 국제 특허 출원 WO 2005/014753 A1, 8 페이지, 23 행 내지 10 페이지, 17 행 또는 미국 특허 US 7,300,601 B2, 5 란, 4 행 내지 6 란, 8 행에서 공지되어 있다.
적당한 다원자가 금속 이온 (C) 및 이의 유효량은, 예를 들어 유럽 특허 출원 EP 1 036 836 A1, 8 페이지, 단락 [0076] 내지 9 페이지, 단락 [0078] 에서 공지되어 있다.
적당한 유기 용매 (C) 및 이의 유효량은, 예를 들어 미국 특허 US 7,361,603 B2, 7 란, 32 행 내지 48 행 또는 미국 특허 출원 US 2008/0254628 A1, 5 페이지, 단락 [0059] 에서 공지되어 있다.
낮은 임계 용해 온도 LCST 또는 높은 임계 용해 온도 UCST 를 나타내는 적당한 물질 (C) 는, 예를 들어 H. Mori, H. Iwaya, A. Nagai 및 T. Endo 의 문헌 Controlled synthesis of thermoresponsive polymers derived from L-proline via RAFT polymerization, in Chemical Communication, 2005, 4872-4874; 또는 D. Schmaljohann 의 문헌 Thermo- and pH-responsive polymers and drug delivery, Advanced Drug Delivery Reviews, volume 58 (2006), 1655-1670 또는 미국 특허 출원 US 2002/0198328 A1, US 2004/0209095 A1, US 2004/0217009 A1, US 2006/0141254 A1, US 2007/0029198 A1, US 2007/0289875 A1, US 2008/0249210 A1, US 2008/0050435 A1 또는 US 2009/0013609 A1, 미국 특허 US 5,057,560, US 5,788,82 및 US 6,682,642 B2, 국제 특허 출원 WO 01/60926 A1, WO 2004/029160 A1, WO 2004/0521946 A1, WO 2006/093242 A2 또는 WO 2007/012763 A1, 유럽 특허 출원 EP 0 583 814 A1, EP 1 197 587 B1 및 EP 1 942 179 A1, 또는 독일 특허 출원 DE 26 10 705 에 기재되어 있다.
원칙적으로, CMP 분야에서 통상적으로 사용되는 임의의 공지의 전하 반전제 (C) 를 사용할 수 있다. 바람직하게는, 전하 반전제 (C) 는 카르복실레이트, 술포네이트, 술페이트 및 포스포네이트기로 이루어진 군에서 선택되는 하나 이상의 음이온성기를 함유하는 단량체성, 올리고머성 및 중합체성 화합물로 이루어진 군에서 선택된다.
바람직하게는, 본 발명의 조성물의 pH 는 바람직하게는 상기 pH-조절제 (C) 를 사용하여 8 내지 12 로 설정한다.
본 발명의 조성물의 제조는 임의의 독특성을 나타내지는 않지만, 상기 요소 (A) 및 (B) 및 임의로 (C) 를 수성 매질, 특히 탈이온수에 용해 또는 분산시킴으로써 수행할 수 있다. 이를 위해서, 통상적이고 표준적인 혼합 공정 및 교반기, 인-라인 용해기, 고전단 임펠러, 초음파 믹서, 균질기 노즐 또는 역류 믹서와 같은 혼합 장치를 사용할 수 있다. 바람직하게는, 이렇게 수득되는 본 발명의 조성물은 적절한 메시 구경의 여과기를 통해 여과하여, 고체, 즉, 미세하게 분산된 연마 입자 (A) 의 덩어리 또는 응집물과 같은 결이 거친 입자를 제거할 수 있다.
본 발명의 용도에 의하면, 본 발명의 조성물은 제조 방법에서 고정밀 연마 공정이 요구되는 전기, 기계 및 광학 소자의 제조에 탁월하게 적합하다.
예를 들어, 전기 소자는 IC 소자, 액정 패널, 유기 전계발광 패널, 인쇄 회로 기판, 마이크로 머신, DNA 칩, 마이크로 플랜트 및 자기 헤드이고; 기계 소자는 고정밀 기계 소자이며; 광학 소자는 포토-마스크, 렌즈 및 프리즘과 같은 광학 안경, 산화 인듐 주석 (ITO) 과 같은 무기 도전성 막, 광 집적 회로, 광 스위칭 소자, 광 도파관, 광섬유 및 신틸레이터의 말단 면과 같은 광 단결정, 고체 레이저 단결정, 블루 레이저 LED 용 사파이어 기판, 반도체 단결정, 및 자기 디스크용 유리 기판이다.
바람직하게는, IC 소자, 특히 LSI 및 VLSI IC 소자는 치수가 50 ㎚ 미만인 구조를 함유한다.
본 발명의 조성물은 본 발명의 방법에 가장 탁월하게 적합하다.
본 발명의 방법에서는, 유전 상수 3.5 이하의 패턴화 및 비패턴화 저-k 유전층을 갖는 기판, 특히 반도체 웨이퍼, 가장 특히 규소 게르마늄 웨이퍼와 같은 규소 또는 규소 합금 반도체 웨이퍼를 하기 (A) 및 (Ba) 를 포함하는 수성 연마 조성물과 1 회 이상 접촉시킨다:
(A) 상기 기술한 1 종 이상의 연마 입자 및
(Ba) 하기 (b1a) 및 (b2) 를 갖는 수용성 또는 수분산성 계면활성제로 이루어진 군에서 선택되는 하나 이상의 양친매성 비이온성 계면활성제:
(b1a) 탄소수 5 내지 20 의 선형 알킬기 및 상기 기술한 분지형 알킬기 (b1) 로 이루어진 군에서 선택되는 하나 이상의 소수성기; 및
(b2) 랜덤, 교호, 구배 및/또는 블록형 분포의 옥시에틸렌 단량체 단위 (b21) 및 1 종 이상의 치환 옥시알킬렌 단량체 단위 (b22) 를 포함하는 상기 기술한 폴리옥시알킬렌기로 이루어진 군에서 선택되는 하나 이상의 친수성기.
상기 본 발명의 방법에 사용되는 패턴화 및 비패턴화 저-k 유전층을 갖는 기판에 관해서, 저-k 유전층은 3.5 이하, 바람직하게는 3.3 이하, 보다 바람직하게는 3.1 이하, 가장 바람직하게는 2.8 이하, 예를 들어 2.4 이하의 유전 상수를 가진다.
상기 본 발명의 방법에 사용되는 패턴화 및 비패턴화 저-k 유전층을 갖는 기판에 관해서, 저-k 유전층은 바람직하게는 0.01 이상, 보다 바람직하게는 0.1 이상, 가장 바람직하게는 0.3 이상, 예를 들어 2.0 이상의 유전 상수를 가진다.
적당한 탄소수 5 내지 20 의 선형 알킬기 (b1a) 는 펜탄, 헥산, 헵탄, 옥탄, 노난, 데칸, 운데칸, 도데칸, 트리데칸, 테트라데칸, 펜타데칸, 헥사데칸, 헵타데칸, 노나데칸 및 에이코산에서 유도된다.
그 후에, 기판을 원하는 전체적 및 국소적인 평면성을 수득하는데 충분한 온도에서 충분한 시간 동안 화학적 기계적으로 연마하고, 이어서 기판을 수성 연마 조성물과의 접촉으로부터 제거한다.
본 발명의 방법은 분리층으로서 저-k 또는 초저-k 산화규소 유전체로 이루어진 패턴화층, 이산화규소 하드 마스크층, 저지 또는 장벽층으로서 질화탄탈륨 및 도전성 구리 배선을 갖는 규소 반도체 웨이퍼의 CMP 에서 특별한 잇점을 발휘한다.
적당한 저-k 또는 초저-k 유전체 재료 및 적당한 절연성 유전층의 제조 방법은, 예를 들어 미국 특허 출원 US 2005/0176259 A1, 2 페이지, 단락 [0025] 내지 [0027], US 2005/0014667 A1, 1 페이지, 단락 [0003], US 2005/0266683 A1, 1 페이지, 단락 [0003] 및 2 페이지, 단락 [0024] 또는 US 2008/0280452 A1, 단락 [0024] 내지 [0026], 미국 특허 US 7,250,391 B2, 1 란, 49 행 내지 54 행, 유럽 특허 출원 EP 1 306 415 A2, 4 페이지, 단락 [0031] 및 유럽 특허 EP 1 534 795 B1, 5 페이지, 단락 [0026] 에 기재되어 있다.
가장 바람직하게는, 저-k 또는 초저-k 유전체 재료로서는 탄소-도핑된 이산화규소 (CDO) 가 사용된다. 예를 들어, 저-k 또는 초저-k 유전체 재료로서는 BlackDiamondTM (Applied Materials Inc. 제) 가 사용된다. BlackDiamondTM (Applied Materials Inc. 제) 는 약 3.0 의 유전 상수를 가진다.
본 발명의 방법은 패턴화 반도체 웨이퍼 상의 저-k 또는 초저-k 유전층의 온전성에 영향을 미치지 않으면서, 이산화규소의 선택적 제거를 필요로 하는 장벽 CMP 공정에 특히 적합하다. 그러므로, 본 발명의 방법에서는, MRR 에 관해서 저-k 또는 초저-k 유전체 재료에 대한 이산화규소의 높은 선택성이 요구된다. 바람직하게는, 존재하는 경우의 질화탄탈륨 또는 탄탈륨/질화탄탈륨층 및 구리층의 MRR 은 영향을 받지 않는다.
본 발명의 방법의 특별한 잇점은, MRR 에 관해서 저-k 또는 초저-k 유전체 재료에 대해 >3, 바람직하게는 >5 의 이산화규소의 선택성을 발휘한다는 것이다.
본 발명의 방법은 독특성을 발휘하지는 않지만, IC 를 갖는 반도체 웨이퍼의 제작에서의 CMP 에 통상적으로 사용되는 방법 및 장비를 이용하여 수행할 수 있다.
종래 기술에서 알려진 바와 같이, 전형적인 CMP 용 장비는 연마 패드로 덮힌 회전 플래튼으로 이루어진다. 웨이퍼는 이의 상부측이 연마 패드의 아래와 면하도록 캐리어 또는 척 상에 설치된다. 캐리어는 웨이퍼를 수평 위치로 고정시킨다. 이러한 연마 및 유지 장치의 독특한 배열은 또한 하드-플래튼 디자인으로서 알려져 있다. 캐리어는 캐리어의 보유 표면과 연마되지 않는 웨이퍼의 표면 사이에 놓이는 캐리어 패드를 보유할 수 있다. 이 패드는 웨이퍼용 완충물로서 작용할 수 있다.
캐리어 아래에, 큰 직경의 플래튼이 또한 일반적으로 수평으로 위치하여, 연마되는 웨이퍼의 표면과 평행한 표면을 제공한다. 이의 연마 패드는 평탄화 공정 동안에 웨이퍼 표면과 접촉한다. 본 발명의 CMP 공정 동안에, 본 발명의 조성물을 연속 스트림으로서 또는 적하 방식으로 연마 패드 상에 적용한다.
캐리어 및 플래튼 모두는 캐리어 및 플래튼으로부터 수직으로 뻗쳐있는 이들의 각각의 샤프트 둘레를 회전시킨다. 회전 캐리어 샤프트는 회전 플래튼에 대해 제 위치에 고정되어 있을 수 있거나, 또는 플래튼에 대해 수평으로 진동할 수 있다. 캐리어의 회전 방향은 전형적으로, 반드시는 아니지만, 플래튼의 회전 방향과 동일하다. 캐리어 및 플래튼의 회전 속도는 일반적으로, 반드시는 아니지만, 상이한 값으로 설정된다.
통상적으로, 플래튼의 온도는 10 내지 70 ℃ 의 온도로 설정된다.
보다 상세한 내용은 국제 특허 출원 WO 2004/063301 A1, 도 1 과 함께, 특히 16 페이지, 단락 [0036] 내지 18 페이지, 단락 [0040] 을 참조한다.
본 발명의 방법에 의해서, 패턴화 저-k 및 초저-k 재료층, 특히 탄소-도핑된 이산화규소층을 포함하는 IC 를 갖는, 우수한 평면성을 가지는 반도체 웨이퍼를 수득할 수 있다. 그러므로, 또한 우수한 평면성, 및 완성된 IC 에서 우수한 전기적 기능을 가지는 구리 다마신 패턴을 수득할 수 있다.
실시예 및 비교 실험
실시예 1 내지 2 및 비교 실험 C1 내지 C2
CMP 슬러리 1 내지 2 (실시예 1 내지 2) 및 C1 내지 C2 (비교 실험 C1 및 C2) 의 제조 및 이들의 연마 특성
CMP 슬러리 1, 2, C1 및 C2 는 이들의 성분을 초순수에 용해 및 분산시켜 제조하였다. CMP 슬러리의 조성을 표 1 에 나타낸다.
CMP 슬러리 1 내지 2 (실시예 1 내지 2) 및 C1 내지 C2 (비교 실험 C1 및 C2) 의 조성
실시예 또는 비교 실험 번호 실리카
연마제a)
(중량%)
히스티딘
(중량%)
BTAb)
(중량%)
말론산
(중량%)
프로필렌 글리콜
(중량%)
양친매성 비이온성 계면활성제
(중량%)
KOH
(중량%)
C1 4 0.0375 0.0289 0.648 0.642 - 1.925
C2 4 0.0375 0.0289 0.648 0.642 Triton™ DF16c)
0.06
1.925
1 4 0.0375 0.0289 0.648 0.642 Ad)
0.06
1.925
2 4 0.0375 0.0289 0.648 0.642 Be)
0.06
1.925
a) 평균 1 차 입자 크기 d1: 35 ㎚; 평균 2 차 입자 크기 d2: 70 ㎚; 응집비 d2/d1: 2; (FUSO™ PL-3, Fuso Chemical Co. 제);
b) 벤조트리아졸;
c) 평균적으로 탄소수 8, 9 또는 10 의 알킬기를 1 개 함유하는 분자의 혼합물이며, 1H-NMR 스펙트럼으로 확인되는 선형 알킬 폴리옥시에틸렌-폴리옥시프로필렌 중합체인 비이온성 폴리옥시에틸렌-폴리옥시프로필렌 알킬 에테르 계면활성제 (DOW 제);
d) A = 평균적으로 탄소수 9, 10 또는 11 의 분지형 알킬기 1 개 및 블록형 분포의 옥시에틸렌 단량체 단위 7 개와 옥시부틸렌 단량체 단위 1.5 개를 함유하는 분자의 혼합물인 비이온성 폴리옥시에틸렌-폴리옥시부틸렌 알킬 에테르 계면활성제;
e) B = 평균적으로 탄소수 13, 14 또는 15 의 분지형 알킬기 1 개 및 랜덤 분포의 옥시에틸렌 단량체 단위 16 개와 옥시프로필렌 단량체 단위 4 개를 함유하는 분자의 혼합물인 비이온성 폴리옥시에틸렌-폴리옥시프로필렌 알킬 에테르 계면활성제.
31 중량% 과산화수소 4 ㎏ 을 연마전에 각각의 CMP 슬러리 100 ㎏ 에 첨가하였다.
15,000 Å (1500 ㎚) 초기 두께의 TEOS 층, 15,000 Å (1500 ㎚) 초기 두께의 구리층, 또는 2000 Å (200 ㎚) 초기 두께의 질화탄탈륨층 또는 10,000 Å (1000 ㎚) 초기 두께의 초저-k 탄소-도핑된 이산화규소층 (Applied Materials Inc. 제의 BlackDiamond™ BD1) 을 갖는 200 ㎜ 블랭킷 규소 웨이퍼를 하기 조건하에서 CMP 슬러리 1, 2, C1 및 C2 로 화학적 기계적으로 연마하였다:
- 연마 장치: AMAT Mirra (회전식);
- 플래튼 속도: 130 rpm;
- 캐리어 속도: 83 rpm;
- 연마 패드: Fujibo;
- 패드 조건화: 원 위치
- 슬러리 유속: 200 ㎖/min;
- 조건화 다운포스: 5 lbf (22.24 N);
- 더미 웨이퍼 수: 4;
- 연마 다운포스: 3 psi (205 mbar);
- 리테이닝 링 압력: 3 psi (205 mbar);
- 내측 튜브 압력: 2.5 psi (171 mbar)
- 연마 시간: 60 초.
연마 전후의 웨이퍼를 칭량하여 MRR 을 측정하였다. 연마 결과를 표 2 에 나타낸다.
CMP 슬러리 1, 2, C1 및 C2 로 수득한 TEOS, 질화탄탈륨, 구리 및 BlackDiamond™ MRR
CMP
슬러리
번호
TEOS
MRR
(Å/min)
질화
탄탈륨
MRR
(Å/min)
구리
MRR
(Å/min)
Black
Diamond™
MRR
(Å/min)
Black
Diamond™ 에 대한 TEOS 선택성
Black
Diamond™ 에 대한
질화탄탈륨
선택성
Black
Diamond™ 에 대한
구리
선택성
C1 541 1100 436 586 0.92 1.87 0.747
C2 543 1058 451 199 2.73 5.31 2.26
1 502 998 466 130 3.86 7.67 3.58
2 532 1067 377 94 5.66 11.35 4
표 2 의 결과는 초저-k 유전체 재료에 대한 이산화규소, 질화탄탈륨 및 구리의 선택성이 이산화규소, 질화탄탈륨 및 구리의 MRR 에 악영향을 미치지 않으면서, 유의하게 증가할 수 있다는 것을 분명하게 한다. 이것은 또한 초저-k 유전체 재료 MRR 의 586 (비교 실험 C1) 에서 94 (실시예 2) 로의 유의한 하락, 즉, 약 84 % 의 하락에 의해서도 확증된다. 그러므로, 실시예 1 내지 2 의 CMP 슬러리 1 내지 2 는 장벽 CMP 공정에 탁월하게 적합하였다.

Claims (16)

  1. 하기 (A) 및 (B) 를 포함하는 수성 연마 조성물:
    (A) 실리카; 및
    (B) 하기 (b1) 및 (b2) 를 가지는 수용성 또는 수분산성 계면활성제로 이루어진 군에서 선택되는 하나 이상의 양친매성 비이온성 계면활성제:
    (b1) 탄소수 5 내지 20 의 분지형 알킬기로 이루어진 군에서 선택되는 하나 이상의 소수성기; 및
    (b2) 하기 (b21) 및 (b22) 를 포함하는 폴리옥시알킬렌기로 이루어진 군에서 선택되는 하나 이상의 친수성기:
    (b21) 옥시에틸렌 단량체 단위 및
    (b22) 치환기가 알킬, 시클로알킬, 아릴, 알킬-시클로알킬, 알킬-아릴, 시클로알킬-아릴 및 알킬-시클로알킬-아릴기로 이루어진 군에서 선택되는 1 종 이상의 치환 옥시알킬렌 단량체 단위;
    상기 폴리옥시알킬렌기는 단량체 단위 (b21) 및 (b22) 를 랜덤, 교호, 구배 및/또는 블록형 분포로 함유하고,
    상기 조성물의 pH가 8 내지 12임.
  2. 제 1 항에 있어서, 소수성기 (b1) 이 탄소수 8 내지 15 의 분지형 알킬기로 이루어진 군에서 선택되는 수성 연마 조성물.
  3. 제 1 항에 있어서, 옥시알킬렌 단량체 단위 (b22) 가, 치환기가 알킬, 시클로알킬, 아릴, 알킬-시클로알킬, 알킬-아릴, 시클로알킬-아릴 및 알킬-시클로알킬-아릴기로 이루어진 군에서 선택되는 치환 옥시란에서 유도되는 것을 특징으로 하는 수성 연마 조성물.
  4. 제 3 항에 있어서, 치환기가 탄소수 1 내지 10 의 알킬기, 스피로시클릭, 엑소시클릭 및/또는 어닐드 배치의 탄소수 5 내지 10 의 시클로알킬기, 탄소수 6 내지 10 의 아릴기, 탄소수 6 내지 20 의 알킬-시클로알킬기, 탄소수 7 내지 20 의 알킬-아릴기, 탄소수 11 내지 20 의 시클로알킬-아릴기, 및 탄소수 12 내지 30 의 알킬-시클로알킬-아릴기로 이루어진 군에서 선택되는 것을 특징으로 하는 수성 연마 조성물.
  5. 제 4 항에 있어서, 단량체 단위 (b21) 과 단량체 단위 (b22) 의 몰비가 100:1 내지 1:1 인 것을 특징으로 하는 수성 연마 조성물.
  6. 제 1 항에 있어서, 폴리옥시알킬렌기가 5 내지 100 의 중합도를 가지는 것을 특징으로 하는 수성 연마 조성물.
  7. 제 1 항에 있어서, 계면활성제 (B) 의 농도가 조성물의 총중량에 대해서 1 중량ppm 내지 0.1 중량% 인 것을 특징으로 하는 수성 연마 조성물.
  8. 삭제
  9. 제 1 항에 있어서, 성분 (A) 및 (B) 와는 상이한 하나 이상의 추가의 기능성 성분 (C) 를 함유하는 것을 특징으로 하는 수성 연마 조성물.
  10. 하기 (1) 내지 (3) 의 단계를 포함하는, 유전 상수 3.5 이하의 패턴화 또는 비패턴화 저-k 또는 초저-k 유전층을 갖는 기판의 화학적 기계적 연마 방법:
    (1) 기판 재료와 하기 (A) 및 (Ba) 를 포함하는 수성 연마 조성물을 1 회 이상 접촉시키는 단계:
    (A) 실리카; 및
    (Ba) 하기 (b1a) 및 (b2) 를 가지는 수용성 또는 수분산성 계면활성제로 이루어진 군에서 선택되는 하나 이상의 양친매성 비이온성 계면활성제:
    (b1a) 탄소수 5 내지 20 의 선형 알킬기 및 분지형 알킬기 (b1) 로 이루어진 군에서 선택되는 하나 이상의 소수성기; 및
    (b2) 하기 (b21) 및 (b22) 를 포함하는 폴리옥시알킬렌기로 이루어진 군에서 선택되는 하나 이상의 친수성기:
    (b21) 옥시에틸렌 단량체 단위 및
    (b22) 치환기가 알킬, 시클로알킬, 아릴, 알킬-시클로알킬, 알킬-아릴, 시클로알킬-아릴 및 알킬-시클로알킬-아릴기로 이루어진 군에서 선택되는 1 종 이상의 치환 옥시알킬렌 단량체 단위;
    상기 폴리옥시알킬렌기는 단량체 단위 (b21) 및 (b22) 를 랜덤, 교호, 구배 및/또는 블록형 분포로 함유하고,
    상기 수성 연마 조성물의 pH가 8 내지 12임;
    (2) 기판을 원하는 전체적인 평면성을 수득하는데 충분한 온도에서 충분한 시간 동안 화학적 기계적으로 연마하는 단계; 및
    (3) 기판을 수성 연마 조성물과의 접촉으로부터 제거하는 단계.
  11. 제 10 항에 있어서, 저-k 및 초저-k 유전층 재료가 다공성 및 비다공성 유기 변성 규소 유리 및 유기 중합체로 이루어진 군에서 선택되는 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서, 유기 변성 규소 유리가 탄소-도핑된 이산화규소 (CDO) 인 것을 특징으로 하는 방법.
  13. 제 11 항에 있어서, 기판이 또한 저-k 및 초저-k 유전층 이외의 유전층, 장벽층 및 금속층으로 이루어진 군에서 선택되는 하나 이상의 층을 함유하는 것을 특징으로 하는 방법.
  14. 제 13 항에 있어서, 유전층이 이산화규소층인 것을 특징으로 하는 방법.
  15. 제 14 항에 있어서, 재료 제거율 (MRR) 에 관해서 3 초과의 저-k 및 초저-k 유전체에 대한 이산화규소의 선택성을 가지는 것을 특징으로 하는 방법.
  16. 삭제
KR1020137011460A 2010-10-07 2011-10-04 수성 연마 조성물 및 패턴화 또는 비패턴화 저-k 유전층을 갖는 기판의 화학적 기계적 연마 방법 KR101907860B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US39063910P 2010-10-07 2010-10-07
US61/390,639 2010-10-07
EP10186886.7 2010-10-07
EP10186886 2010-10-07
PCT/IB2011/054344 WO2012046179A1 (en) 2010-10-07 2011-10-04 Aqueous polishing composition and process for chemically mechanically polishing substrates having patterned or unpatterned low-k dielectric layers

Publications (2)

Publication Number Publication Date
KR20130117780A KR20130117780A (ko) 2013-10-28
KR101907860B1 true KR101907860B1 (ko) 2018-10-15

Family

ID=43651487

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137011460A KR101907860B1 (ko) 2010-10-07 2011-10-04 수성 연마 조성물 및 패턴화 또는 비패턴화 저-k 유전층을 갖는 기판의 화학적 기계적 연마 방법

Country Status (9)

Country Link
US (1) US9070632B2 (ko)
EP (1) EP2625236B1 (ko)
KR (1) KR101907860B1 (ko)
CN (1) CN103249789B (ko)
IL (1) IL225744B (ko)
MY (1) MY158489A (ko)
RU (1) RU2589482C2 (ko)
SG (1) SG189327A1 (ko)
WO (1) WO2012046179A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012077063A1 (en) 2010-12-10 2012-06-14 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
CN102956450B (zh) * 2011-08-16 2015-03-11 中芯国际集成电路制造(北京)有限公司 一种制作半导体器件的方法
BR112014011321A8 (pt) 2011-11-10 2018-12-18 Basf Se aditivo de agente de deslizamento de revestimento de papel, processo para a preparação de um agente de deslizamento de papel, composição de revestimento de papel, e, papel ou papelão
SG11201403354RA (en) 2011-12-21 2014-09-26 Basf Se Chemical mechanical polishing composition comprising polyvinyl phosphonic acid and its derivatives
US9416298B2 (en) 2012-05-23 2016-08-16 Basf Se Process for the manufacture of semiconductor devices comprising the chemical mechanical polishing (CMP) of III-V material in the presence of a CMP composition comprising a specific non-ionic surfactant
EP2682440A1 (en) * 2012-07-06 2014-01-08 Basf Se A chemical mechanical polishing (cmp) composition comprising a non-ionic surfactant and a carbonate salt
TWI625372B (zh) * 2016-01-06 2018-06-01 卡博特微電子公司 低介電基板之研磨方法
CN113122143B (zh) * 2019-12-31 2024-03-08 安集微电子(上海)有限公司 一种化学机械抛光液及其在铜抛光中的应用

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100029181A1 (en) * 2008-07-30 2010-02-04 Francesco De Rege Thesauro Methods and compositions for polishing silicon-containing substrates

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2610705C3 (de) 1976-03-13 1978-10-19 Henkel Kgaa, 4000 Duesseldorf Saure galvanische Kupferbäder
US5057560A (en) 1987-10-05 1991-10-15 Ciba-Geigy Corporation Thermotropic copolymer hydrogels from N,N-dimethylacrylamide and methoxy-ethyl (meth) acrylate
FR2694939B1 (fr) 1992-08-20 1994-12-23 Schlumberger Cie Dowell Polymères thermoviscosifiants, leur synthèse et leurs applications notamment dans l'industrie pétrolière.
US6068787A (en) 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US5770528A (en) 1996-12-31 1998-06-23 Kimberly-Clark Worldwide, Inc. Methylated hydroxypropylcellulose and temperature responsive products made therefrom
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
CN1243071C (zh) 1998-12-28 2006-02-22 日立化成工业株式会社 金属研磨液材料、金属研磨液、其制造方法及使用它的研磨方法
TWI267549B (en) 1999-03-18 2006-12-01 Toshiba Corp Aqueous dispersion, aqueous dispersion for chemical mechanical polishing used for manufacture of semiconductor devices, method for manufacture of semiconductor devices, and method for formation of embedded wiring
US7425581B2 (en) 1999-07-30 2008-09-16 Universiteit Utrecht Temperature sensitive polymers
DE10006538C2 (de) 2000-02-15 2002-11-28 Forsch Pigmente Und Lacke E V Verfahren zur Beschichtung von Partikeln mit LCST-Polymeren
KR100852636B1 (ko) 2000-10-13 2008-08-18 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 시드 보충 및 전기도금조
JP4009986B2 (ja) 2000-11-29 2007-11-21 株式会社フジミインコーポレーテッド 研磨用組成物、およびそれを用いてメモリーハードディスクを研磨する研磨方法
DE10060343A1 (de) * 2000-12-04 2002-06-06 Bayer Ag Polierslurry für das chemisch-mechanische Polieren von Metall- und Dielektrikastrukturen
FR2824832B1 (fr) 2001-05-16 2005-05-27 Oreal Polymeres hydrosolubles a squelette hydrosoluble et a unites laterales a lcst, leur procede de preparation, compositions aqueuses les contenant, et leur utilisation dans le domaine cosmetique
US6705926B2 (en) * 2001-10-24 2004-03-16 Cabot Microelectronics Corporation Boron-containing polishing system and method
DE10152993A1 (de) 2001-10-26 2003-05-08 Bayer Ag Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen mit hoher Selektivität
US6749653B2 (en) * 2002-02-21 2004-06-15 3M Innovative Properties Company Abrasive particles containing sintered, polycrystalline zirconia
WO2003078947A2 (en) 2002-03-15 2003-09-25 The Penn State Research Foundation Method for control of temperature-sensitivity of polymers in solution
JP3516446B2 (ja) 2002-04-26 2004-04-05 東京応化工業株式会社 ホトレジスト剥離方法
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
US7021993B2 (en) * 2002-07-19 2006-04-04 Cabot Microelectronics Corporation Method of polishing a substrate with a polishing system containing conducting polymer
JP4083502B2 (ja) * 2002-08-19 2008-04-30 株式会社フジミインコーポレーテッド 研磨方法及びそれに用いられる研磨用組成物
DE10243438A1 (de) 2002-09-18 2004-03-25 Merck Patent Gmbh Oberflächenmodifizierte Effektpigmente
DE10254432A1 (de) 2002-11-21 2004-06-03 Süd-Chemie AG LCST-Polymere
DE10254430A1 (de) 2002-11-21 2004-06-03 Süd-Chemie AG LCST-Polymere
EP1422320A1 (en) 2002-11-21 2004-05-26 Shipley Company, L.L.C. Copper electroplating bath
US7300601B2 (en) 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US20040175942A1 (en) 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
TW200505975A (en) 2003-04-18 2005-02-16 Ekc Technology Inc Aqueous fluoride compositions for cleaning semiconductor devices
KR101123210B1 (ko) 2003-07-09 2012-03-19 다이니아 케미컬스 오이 화학적 기계적 평탄화용 비-중합성 유기 입자
US7153335B2 (en) 2003-10-10 2006-12-26 Dupont Air Products Nanomaterials Llc Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
DE10358092A1 (de) 2003-12-10 2005-07-14 Merck Patent Gmbh Oberflächenmodifizierte Partikel
KR100640600B1 (ko) 2003-12-12 2006-11-01 삼성전자주식회사 슬러리 조성물 및 이를 이용한 화학기계적연마공정를포함하는 반도체 소자의 제조방법
US7026441B2 (en) 2004-08-12 2006-04-11 Intel Corporation Thermoresponsive sensor comprising a polymer solution
TW200632147A (ko) 2004-11-12 2006-09-16
JP2006160964A (ja) * 2004-12-10 2006-06-22 Asahi Kasei Chemicals Corp 研磨剤含有洗浄剤
JP4131270B2 (ja) 2005-03-01 2008-08-13 トヨタ自動車株式会社 車輌の制駆動力制御装置
CN1900146B (zh) * 2005-07-21 2012-02-29 安集微电子(上海)有限公司 化学机械抛光液
FR2889194A1 (fr) 2005-07-27 2007-02-02 Rhodia Chimie Sa Copolymere a blocs comprenant un bloc lcst presentant une temperature inferieur critique de solubilite, formulations comprenant le copolymere et utilisation pour vectoriser un ingredient actif
JP2009503910A (ja) 2005-08-05 2009-01-29 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 金属フィルム平坦化用高スループット化学機械研磨組成物
KR101325856B1 (ko) 2005-09-30 2013-11-05 닛산 가가쿠 고교 가부시키 가이샤 감열 응답성 고분자를 이용한 구멍 패턴 첨부막을 가지는칩 및 그 제조 방법
US20070077865A1 (en) * 2005-10-04 2007-04-05 Cabot Microelectronics Corporation Method for controlling polysilicon removal
WO2008052216A2 (en) 2006-10-27 2008-05-02 University Of South Florida Polymeric microgels for chemical mechanical planarization (cmp) processing
US20080105652A1 (en) * 2006-11-02 2008-05-08 Cabot Microelectronics Corporation CMP of copper/ruthenium/tantalum substrates
US7456107B2 (en) * 2006-11-09 2008-11-25 Cabot Microelectronics Corporation Compositions and methods for CMP of low-k-dielectric materials
US20080149884A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
US20080148649A1 (en) * 2006-12-21 2008-06-26 Zhendong Liu Ruthenium-barrier polishing slurry
WO2009056491A1 (en) * 2007-10-29 2009-05-07 Basf Se Cmp slurry composition and process for planarizing copper containing surfaces provided with a diffusion barrier layer
JP2009164186A (ja) * 2007-12-28 2009-07-23 Fujimi Inc 研磨用組成物
JP5314329B2 (ja) 2008-06-12 2013-10-16 富士フイルム株式会社 研磨液
TW201031743A (en) 2008-12-18 2010-09-01 Basf Se Surfactant mixture comprising branched short-chain and branched long-chain components
WO2011003904A1 (de) 2009-07-10 2011-01-13 Basf Se Tensidgemisch mit kurz- und langkettigen komponenten
RU2579597C2 (ru) 2009-11-13 2016-04-10 Басф Се Композиция для химико-механической полировки (хмп ), содержащая неорганические частицы и полимерные частицы
EP2539411B1 (en) 2010-02-22 2020-08-05 Basf Se Chemical-mechanical planarization of substrates containing copper, ruthenium, and tantalum layers
KR101848519B1 (ko) 2010-02-24 2018-04-12 바스프 에스이 수성 연마제 및 그라프트 공중합체 및 패턴화되고 비구조화된 금속 표면의 연마 방법에서 이들의 용도
SG188206A1 (en) 2010-09-08 2013-04-30 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates for electrical, mechanical and optical devices
JP6196155B2 (ja) 2010-09-08 2017-09-13 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 水性研磨剤組成物、並びに電気、機械及び光学デバイス用の基板材料を研磨する方法
JP5965906B2 (ja) 2010-09-08 2016-08-10 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 水性研磨組成物、及び酸化ケイ素誘電体膜とポリシリコン膜を含む基板の化学機械的な研磨方法
TWI538970B (zh) 2010-09-08 2016-06-21 巴斯夫歐洲公司 化學機械研磨含有氧化矽介電質薄膜及多晶矽及/或氮化矽薄膜之基材的方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100029181A1 (en) * 2008-07-30 2010-02-04 Francesco De Rege Thesauro Methods and compositions for polishing silicon-containing substrates

Also Published As

Publication number Publication date
CN103249789A (zh) 2013-08-14
EP2625236B1 (en) 2017-12-13
CN103249789B (zh) 2016-01-13
WO2012046179A1 (en) 2012-04-12
RU2013120380A (ru) 2014-11-20
US9070632B2 (en) 2015-06-30
IL225744B (en) 2018-01-31
IL225744A0 (en) 2013-06-27
MY158489A (en) 2016-10-14
EP2625236A4 (en) 2014-05-14
KR20130117780A (ko) 2013-10-28
EP2625236A1 (en) 2013-08-14
US20130273739A1 (en) 2013-10-17
SG189327A1 (en) 2013-05-31
RU2589482C2 (ru) 2016-07-10

Similar Documents

Publication Publication Date Title
KR101907860B1 (ko) 수성 연마 조성물 및 패턴화 또는 비패턴화 저-k 유전층을 갖는 기판의 화학적 기계적 연마 방법
US9524874B2 (en) Aqueous polishing composition and process for chemically mechanically polishing substrates containing silicon oxide dielectric and polysilicon films
EP2614121B1 (en) Aqueous polishing composition and process for chemically mechanically polishing substrates for electrical, mechanical and optical devices
KR101967134B1 (ko) N-치환 디아제늄 디옥시드 및/또는 n´-히드록시-디아제늄 옥시드 염을 함유하는 수성 폴리싱 조성물
KR101907863B1 (ko) 수성 폴리싱 조성물, 및 전기적, 기계적 및 광학적 장치용 기판 재료의 화학적 기계적 폴리싱 방법
JP5568641B2 (ja) Cmp用スラリー組成物及び研磨方法
JP6125507B2 (ja) グリコシドを含む化学機械研磨(cmp)組成物
US9496146B2 (en) Method for forming through-base wafer vias
TWI565770B (zh) 水性研磨組成物及用來化學機械研磨具有經圖案化或未經圖案化低k介電層之基板之方法
TWI583755B (zh) 用於將含氧化矽介電質及多晶矽薄膜之基板化學機械拋光的水性拋光組成物及方法
TW202231805A (zh) 用於高拓樸選擇性的自停止性拋光組合物與方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant