KR101763760B1 - 리소그래피 장치를 위한 개선된 편광 설계들 - Google Patents

리소그래피 장치를 위한 개선된 편광 설계들 Download PDF

Info

Publication number
KR101763760B1
KR101763760B1 KR1020100127916A KR20100127916A KR101763760B1 KR 101763760 B1 KR101763760 B1 KR 101763760B1 KR 1020100127916 A KR1020100127916 A KR 1020100127916A KR 20100127916 A KR20100127916 A KR 20100127916A KR 101763760 B1 KR101763760 B1 KR 101763760B1
Authority
KR
South Korea
Prior art keywords
polarization
polarized light
pixels
conditions
predefined
Prior art date
Application number
KR1020100127916A
Other languages
English (en)
Other versions
KR20110068918A (ko
Inventor
스티븐 조지 한센
Original Assignee
에이에스엠엘 홀딩 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 홀딩 엔.브이. filed Critical 에이에스엠엘 홀딩 엔.브이.
Publication of KR20110068918A publication Critical patent/KR20110068918A/ko
Application granted granted Critical
Publication of KR101763760B1 publication Critical patent/KR101763760B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • G03F7/2006Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light using coherent light; using polarised light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70566Polarisation control

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

조명 편광 조건을 최적화하거나 개선함으로써 개선된 낮은 k1 리소그래피 이미징이 개시된다. 편광 조건은 사전정의된 공간 변동적인 편광일 수 있거나, 원하는 리소그래피 반응 값의 추적에 기초하여 밝은 조명 영역들의 공간적으로 개조된 국부적인 편광일 수 있다. 몇몇 비-전통적인 편광 조건들, 예를 들어 (중심 TM 영역을 갖거나 갖지 않는) TM/TE 편광, 대각선 편광, 및 (통상적으로 어두운 필드 조명에 대한) Y+X 편광이 개시되며, 이는 특히 낮은 k1 값들에서 특정한 리소그래피 문제들에 대해 실질적인 이미징 장점들을 제공한다. 초기 편광 정의는 고정된 특정 편광 각도들로 제한될 수 있다. 상기 각도들은 하드웨어 구현에 의해 지정된다.

Description

리소그래피 장치를 위한 개선된 편광 설계들{Improved Polarization Designs for Lithographic Apparatus}
본 출원은 2009년 12월 15일에 출원된 미국 가출원 61/286,716호로부터 우선권을 주장하며, 이는 전문이 본 명세서에서 인용 참조된다.
본 발명은 디바이스 제조 공정에서 더 양호한 이미징을 얻기 위해 리소그래피 장치 내의 개선된 편광 조명에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조시에 사용될 수 있다. 이러한 경우에, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 (예를 들어, 한 개 또는 수 개의 다이의 부분을 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 한번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 스테퍼들, 및 방사선 빔을 통해 주어진 방향("스캐닝"- 방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향(같은 방향으로 평행한 방향) 또는 역-평행 방향(반대 방향으로 평행한 방향)으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는 스캐너들을 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 디바이스로부터 기판으로 패턴을 전사할 수도 있다.
리소그래피 장치를 이용하는 디바이스 제조 공정들의 목적들 중 하나는 패터닝 디바이스를 이용하여 기판 상에 원하는 디바이스 패턴을 충실히 재현하는 것이다. 이를 행하기 위해서는, 심지어 분해능 한계에서도 또는 이 부근에서도 더 큰 이미지 콘트라스트를 생성하도록 조명이 최적화되어야 한다. 편광된 조명은, 특히 낮은 k1 값을 갖는 리소그래피 공정에 대해 더 양호한 이미징을 달성하도록 하는 툴이며, 이때 k1은 방정식 (1)의 레일리 기준(Rayleigh criterion)에 의해 주어진 바와 같이 달성가능한 분해능(R)에 관련된 공정 의존적 조정 인자이다:
R=k1*(λ/NA) (1)
이때, λ는 사용되는 방사선의 파장이고, NA는 사용되는 투영 시스템의 개구수(numerical aperture)이다.
선형 X-편광, 선형 Y-편광, X+Y 편광, TE(방위각) 편광, TM(방사) 편광 등과 같은 표준 편광이 리소그래피 공정들에서 사용될 수 있다. 특정 이미징 문제에 대해 이러한 편광 조건들 중 최적을 선택함으로써, 리소그래피업자들은 정규화된 이미지 로그 슬로프(Normalized Image Log Slope: NILS) 값들에 의해 주어진 이미지 콘트라스트를 개선하거나 최대화할 수 있다. 또한, 이러한 편광 조건들은 더 높은 도즈 관용도(dose latitude), 더 낮은 마스크 오차 향상 인자(Mask Error Enhancement Factor: MEEF), 및/또는 더 낮은 라인 에지 거칠기(line edge roughness: LER)와 같은 1 이상의 다른 바람직한 장점들을 제공할 수도 있으며, 따라서 더 낮은 k1 값들에서 성공적인 이미징을 가능하게 한다. 예를 들어, TM 편광은 상대적으로 낮은 k1 값들을 갖는 홀들의 조밀한 정사각형 그리드 어레이에서 유익할 수 있다. 자세한 사항은, 예를 들어 미국 특허 US 7,090,964호, US 7,352,443호 및 US 7,359,033호를 참조한다.
최적의 또는 유익한 편광 선택은 다양한 이미징 문제들에 대해 불분명하다. 예를 들어, 편광 선택은 최근 IC 또는 디바이스들에서 나타날 수 있는 다양하고 복잡한 2-차원 패턴들에 대해 불분명하다. 이러한 패턴들은 복잡한 회절 패턴들을 생성할 수 있다. 예를 들어, 낮은 k1 2-D 패턴들의 표준 편광(예컨대, X+Y 편광)은 최적의 이미징을 제공하지 않을 수 있다. 따라서, 알맞은(right) 편광 조건을 미리 예측하는 것이 어려울 때에는, 다른 제어가능한 리소그래피 파라미터들과 함께 개선된 조명 편광을 선택하도록 보편적인 기술을 제공하는 것이 유익할 것이다.
본 발명의 실시예들은 최적화된 또는 유익한 조명 편광 조건을 결정함으로써 현재의 리소그래피 기술들의 앞서 언급된 한계들에 대한 해결책들을 제공한다. 이러한 편광 조건의 결정은 사전정의된 공간 가변적인(spatially varying) 최적값 또는 유익한 편광을 선택함으로써, 또는 원하는 리소그래피 반응들의 추적(tracking) 최적 값들에 기초하여 밝은 조명 지점들의 국부적인 편광을 공간적으로 개조(customize)함으로써 달성될 수 있다. 편광 최적화는 편광 제어의 형태로 소스 측면 상에 추가 자유도를 도입함으로써 종래의 소스 마스크 최적화(source mask optimization: SMO)의 유효성을 향상시킨다. 따라서, 이러한 공정은 소스 마스크 편광 최적화, 축약하여 SMPO라고 칭해질 수 있다.
본 발명의 일 실시형태에 따르면, 리소그래피 장치를 이용하여 기판 상으로 패터닝 디바이스 레이아웃의 이미지 전사를 개선하는 방법이 개시된다. 상기 방법은: 복수의 사전정의된 편광 조건들 각각에 대응하는 제 1 공정을 수행하여, 임계 피처의 상대적으로 더 양호한 재현성과 연계된 리소그래피 반응 값을 유도하는 사전정의된 편광 조건을 선택하는 단계; 및 제 2 공정을 수행하여, 상기 리소그래피 반응의 원하는 값을 유도하는 원하는 공간 가변적인 자유형태(freeform) 편광 조건에 반복적으로 도달하는 단계를 포함하고, 상기 제 2 공정은 상기 제 1 공정에서 사용된 사전정의된 편광 조건들 중 1 이상을 사용한다.
본 발명의 다른 실시형태들에 따르면, 수 개의 비-전통적인(non-traditional) 편광 조건들, 예를 들어 (TM, X, Y 또는 Y+X 편광과 같은 상이한 편광을 갖는 중심 영역을 갖거나 갖지 않는) TM/TE 편광, 대각선 편광, 및 (어두운 필드 조명을 위한) Y+X 편광이 개시되며, 이는 특히 더 낮은 k1 값들에서 특정한 리소그래피 문제들에 대해 실질적인 이미징 장점들을 제공한다. 대안적인 실시예에서는, X, Y, X+Y, TM, TE 등과 같은 편광 타입이 아니라, (90°, 45°및 22.5°편광과 같이) 편광의 방향성(directionality)이 소스 퓨필 평면에서 국부적으로 변동될 수 있다.
이하, 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 개략적으로 도시한 도면;
도 2a 내지 도 2f는 본 발명의 실시예들에 따른 다양한 사전정의된 편광 조건들을 개략적으로 도시한 도면들;
도 2g는 다양한 사전정의된 편광 조건들에 대한 편광 벡터 방위 차이를 나타낸 도면;
도 2h 내지 도 2k는 본 발명의 실시예들에 따른 다양한 편광 조건들을 이용한 직사각형 홀 이미징의 결과값들을 나타낸 도면들;
도 2l 내지 도 2p는 본 발명의 실시예들에 따른 OPC 및 편광 조건의 상호작용을 나타낸 도면들;
도 3 내지 도 5는 본 발명의 실시예들에 사용된 다양한 테스트 패턴들을 개략적으로 나타낸 도면들;
도 6a 내지 도 6c는 본 발명의 실시예들에 사용된 3 개의 상이한 오프-액시스 조명들의 공간적 맵핑(spatial mapping)을 나타낸 도면들;
도 7은 본 발명의 일 실시예에 따른 편광 조건 결정 공정의 예시적인 공정 흐름을 나타낸 흐름도(700);
도 8 내지 도 12는 본 발명의 실시예들에 의해 얻을 수 있는 메트릭 결과값(metric result)들의 다양한 예시들을 나타낸 도면들;
도 13 내지 도 17은 본 발명의 실시예들에 따른 편광 미세 조정의 예시들을 나타낸 도면들;
도 18a 내지 도 18e는 종래의 소스 마스크 최적화 대 소스 마스크 편광 최적화의 결과값들을 비교한 도면들;
도 19a 내지 도 19e는 어두운 필드 조명 및 Y+X 편광을 이용한 이점들을 예시한 도면들; 및
도 20a 및 도 20b는 최적화된 편광 조건이 패터닝 디바이스 타입에 얼마만큼 의존하는지를 예시한 도면들이다.
리소그래피 공정에서 원하는 분해능을 갖는 임계 디바이스 패턴들을 생성하는데 있어서, 패터닝 디바이스(예를 들어, 마스크) 패턴의 타입 및 패터닝 디바이스 패턴의 조명 조건은 중요한 파라미터들이다. 조명 및 패턴의 조합된 최적화 또는 결정은 일반적으로 소스-마스크 최적화(SMO)로서 알려져 있다. 본 발명의 일 실시예는 전통적인 또는 개조된 SMO 기술들과 편광 미세 조정(polarization fine tuning)을 조합하여, 패턴의 임계 피처들의 이미징을 더욱 향상시킨다.
주어진 리소그래피 툴의 궁극적인 분해 능력(resolving power)에 접근하기 위해, 다양한 분해능 향상 기술(Resolution Enhancement Technique: RET)들이 패터닝 디바이스 내에 또는 패터닝 디바이스 상에 폭넓게 사용된다. 전통적인 바이너리 마스크(binary mask) 이외에도, 감쇠 위상-시프트 마스크(PSM), 교번 PSM이 사용될 수 있다. 또한, 오프-액시스(off-axis) 조명 기술들과 알맞은 패터닝 디바이스의 조합, 및 리소그래피 툴들이 허용하는 NA 및/또는 시그마[시그마는 부분 간섭성(partial coherence) 또는 필 팩터(fill factor)임] 세팅들의 변형은, 주어진 패턴의 프린팅 조건들을 설정할 때 리소그래피업자들에게 광범위한 가능성들을 제공한다.
다수의 리소그래피업자들은 2-차원의 조밀한 패턴들에 대한 이미징을 향상시키기 위해 X+Y 편광을 사용하였다. 하지만, 본 발명의 일 실시예에 따르면, 비-전통적인(사전정의된 또는 개조가능한) 편광 조건을 갖는 편광은 이전의 편광 기술들을 넘어 중요한 이미징 장점을 갖는다는 점을 발견하였다. 본 명세서에 설명된 편광 결정 및/또는 조건들은 소스-마스크 최적화(SMO)의 기존의 또는 개조된 방법들과 연계하여, 및/또는 완전히-발전된(full-blown) 또는 단순화된/변형된(modified) 광학 근접성 보정(OPC) 기술들과 연계하여 행해질 수 있다.
특정한 편광 조건이 이미징 성능을 개선하는지를 확인하기 위해, 사전-명시된 공정 예산(pre-specified process budget) 내에서 변동하거나 고정된 공정 파라미터들을 이용하여 1 이상의 리소그래피 반응 값들이 비교될 수 있다. PROLITHTM, LithoCruiserTM, TachyonTM SMO 등과 같은 컴퓨터 시뮬레이션 툴들은 실제 실험을 하지 않고 다양한 리소그래피 공정 파라미터들을 시뮬레이션하는데 도움을 준다. 패터닝 디바이스 패턴의 실제 레이아웃을 에뮬레이트(emulate)한 다양한 테스트 패턴 피처들, 특히 임계 피처들을 포함하는 레이아웃 내의 영역들이 사용된다. 테스트 패턴 내의 다수의 커트라인(cutline)(시뮬레이션 장소)들 상에서 시뮬레이션이 행해질 수 있다. 커트라인들은 실제 구조체의 다양한 테스트 패턴들 상에, 및/또는 몇몇 키 피치(key pitch)들 또는 코너 영역들 상에 배치될 수 있다. 성능 메트릭(performance metric)을 이용하여 원하는 리소그래피 반응 값들이 추적된다. 가장 바람직한 리소그래피 반응 값을 유도하는 테스트 피처들, 조명 조건들 및 공정 파라미터들의 조합은 통상적으로 실제 디바이스 제조 공정에 대해 선택된다.
리소그래피
본 발명의 실시예들이 구현될 수 있는 전형적인 리소그래피 장치가 설명된다. 도 1은 예시적인 리소그래피 장치를 개략적으로 도시한다. 상기 장치는:
- 방사선 빔(B)(예를 들어, UV 방사선 또는 DUV 방사선)을 컨디셔닝(condition)하도록 구성된 조명 시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 형태의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 형태의 광학 구성요소들을 포함할 수 있다.
지지 구조체는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서, 방사선 빔의 단면에 패턴을 부여하는데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입뿐만 아니라, 다양한 하이브리드(hybrid) 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형으로 구성된다. 대안적으로, 상기 장치는 (예를 들어, 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이를 채택하거나, 반사 마스크를 채택하는) 반사형으로 구성될 수 있다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 기판 테이블들(및/또는 2 이상의 지지 구조체들)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블/지지 구조체가 병행하여 사용될 수 있으며, 또는 1 이상의 테이블/지지 구조체가 노광에 사용되고 있는 동안 1 이상의 다른 테이블/지지 구조체에서는 준비작업 단계가 수행될 수 있다.
도 1을 참조하면, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선 빔을 수용한다. 예를 들어, 상기 소스가 엑시머 레이저(excimer laser)인 경우, 상기 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 상기 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 상기 방사선 빔은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 상기 소스가 수은 램프인 경우, 상기 소스는 리소그래피 장치의 통합부일 수 있다. 상기 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 칭해질 수 있다.
상기 일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(IN) 및 콘덴서(CO)와 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는데 사용될 수 있다.
상기 방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 상기 패터닝 디바이스(MA)를 가로질렀으면, 상기 방사선 빔(B)은 투영 시스템(PS)을 통과하여 기판(W)의 타겟부(C) 상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭계 디바이스, 리니어 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(도 1에 명확히 도시되지 않음)는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 지지 구조체(MT)는 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 패터닝 디바이스(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있다]. 이와 유사하게, 패터닝 디바이스(MA) 상에 1 이상의 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 하나에서 사용될 수 있다:
1. 스텝 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여된 전체 패턴은 한번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 지지 구조체(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 지지 구조체(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 지지 구조체(MT)는 프로그램가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
편광 조건들의 타입
이전에 설명된 바와 같이, 본 발명의 일 실시예는 개선된 이미징을 생성하는 조명 편광 조건들을 결정한다.
도 2a 내지 도 2f는 리소그래피 툴의 퓨필 평면에서 사전정의된 편광 조건들의 예시들을 나타낸다. 도 2a 내지 도 2e는 각각 X+Y, TM, 대각선, TE 및 TM/TE 편광들을 나타낸다. 2-D 디바이스 패턴들의 경우, 리소그래피업자들에 의해 X+Y가 보편적으로 사용된다. 또한, TM, TE, X 및 Y 편광들이 알려져 있다. 예를 들어, X 및 Y 편광들은 흔히 특정한 방위를 갖는 패턴들, 예를 들어 서로에 대해 모두 평행한 라인들에 사용된다.
편광 조건의 활용(utility)은 직사각형 홀 그리드 어레이(hole grid array)들과 같은 전형적인 테스트 패턴들의 회절 패턴들의 분석에 의해 예측될 수 있다. 이러한 테스트 패턴들의 이미지는 흔히 다중 회절 차수들에 의해 형성되며, 고-진폭 회절 차수들의 최적 커플링은 0-차 회절 차수와 상이한 편광 조건을 필요로 할 수 있다. 예를 들어, TM 편광은 공진 4-중극 조명(resonant quadrupole illumination)을 이용하여 정사각형 홀 그리드에 대해 유익한 결과들을 제공한다. 감쇠 PSM을 이용하면, TM 편광은 더 양호한 이미징을 제공하는데, 이는 고-진폭 01 및 10 회절 차수들이 서로 간섭하여, 이미지 콘트라스트를 향상시키기 때문이다.
하지만, 비-전통적인 편광 조건은 패터닝 디바이스 패턴들의 전체 스펙트럼에 대해 이미징 이점을 이용(harness)할 수 있다는 것을 발견하였다. 아래에 설명되는 바와 같이, 본 발명의 실시예들에서 사용되는 비-전통적인 편광 조건들 중 몇몇은 직사각형 홀 어레이들 및 다른 패턴들의 더 양호한 이미징을 제공한다.
대각선 편광: 도 2c는 대각선 편광 조건을 나타내며, 이때 방사선 시스템의 퓨필 평면에서의 각각의 4-분원(quadrant)에서, 편광 벡터의 방향은 각각의 4-분원의 45°대각선에 평행하다. 이 특성은 공진 4-극 조명에 대해 01 및 10 회절 차수들에 대한 편광 벡터들의 정렬을 개선하며, TM 편광보다 직사각형 홀 그리드들에 대해 더 양호한 이미징을 제공한다고 믿는다.
TM / TE 편광: 도 2e는 TM/TE 편광을 나타내며, 이때 방사선 시스템의 퓨필 평면에서 TM 편광이 대각선을 따라 적용되고, 퓨필 평면의 각각의 사분면에서 TM 편광이 데카르트 X 및 Y 축들에서 점차적으로 또한 대칭적으로 TE 편광으로 변환된다. TM/TE 편광이라는 명칭은 표준 용어가 아니며, 도 2e에 나타낸 편광 타입을 언급하기 위해 본 명세서에서 사용됨을 유의한다. 이후에 설명되겠지만, TM/TE 편광은 소정 패턴들, 예를 들어 직사각형 홀 그리드들에 대해 이미징 장점을 제공한다. 이러한 특성은 01 및 10 회절 차수들이 공진 4-극 조명에 대해 서로 평행하게 하고, TM 및 대각선 편광에 비해 직사각형 홀 그리드들의 더 양호한 이미징을 제공한다고 믿는다.
Y+X 편광: 본 발명의 일 실시예에서 사용될 수 있는 비-전통적인 편광 조건의 또 다른 타입은 Y+X 편광이며, 도 2에 구체적으로 나타나 있지 않다. Y+X 편광에서, 편광 벡터들의 방향은 도 2a에 도시된 표준 X+Y 조건에서 편광 벡터들의 방향과 직교한다.
상기의 편광 조건들의 여하한의 조합은 퓨필 평면에서 편광을 개조하는데 사용될 수 있다. 예를 들어, 1과 상이한 필 팩터(fill factor)를 이용하여, 도 2f의 예시에 나타낸 바와 같이 조합 편광 조건이 달성될 수 있으며, 이때 중심 영역(점선의 원 형상으로 나타냄)은 σ<0.3을 갖는 TM 편광을 갖고, 퓨필 평면의 중심 영역의 외부와 주변부 주위에 TM/TE 편광이 적용된다. 일 실시예에서는, 중심 TM 영역과 주변 TM/TE 영역 사이의 전이 구역이 매끈하게 되어 있어(smooth), 본질적으로 경계가 없다(seamless). 하지만, 낮은 k1 이미징에 대한 최적의 또는 개선된 조명 형상들이 "희박(sparse)"하기 때문에, 전이 구역이 여하한의 밝은 세기 지점들을 갖지 않을 수도 있음에 따라, 전이 구역 내의 편광 정의는 중요하지 않을 수도 있다.
도 2g는 다양한 사전정의된 편광 조건들에 대응하는 데카르트 X-Y 축들에 대해 상이한 공간 위치들에서 편광 벡터들의 방향을 나타낸다.
도 2i는 고정된 세기 임계값에서 Z-커트(cut)를 나타내고, 도 2j 및 2k는 각각 X 및 Y-커트를 따른 이미지 세기 그래프들을 나타내어, 비-전통적인 TM/TE 및 대각선 편광들이 도 2h에 나타낸 바와 같은 110 nm x 200 nm 직사각형 그리드 상의 (마스크 어퍼처 252에 의해 둘러싸인) 55 nm x 100 nm 직사각형 홀(250)에 대해 더 양호한 이미징 결과들을 제공한다는 것을 비교적으로 설명한다(이때, k1=0.385 x 0.7). 도 2i 내지 도 2k로부터, TM/TE 편광이 전반적으로 최적의 결과들을 제공하고, 대각선 편광이 전반적으로 두 번째로 최적의 결과들을 갖는다는 것을 알 수 있다. TM 편광은 비편광된 방사선과 전반적으로 거의 동일한 결과들을 갖는다는 것을 알 수 있다.
편광 조건 결정
본 명세서에서 더욱 설명되는 바와 같이, 앞서 설명된 것들과 같은 사전정의된 편광 조건들은 조명 세기 맵의 밝은 조명 지점들의 편광을 국부적으로 미세-조정하는 것을 수반하는 편광 최적화의 시작 지점을 포함할 수 있다. 리소그래피 반응의 소프트웨어 시뮬레이션에서, 비-전통적인 편광들은 편광 최적화를 개척하는 리소그래피업자에게 적용가능한 입력 군(input suite) 내에 포함될 수 있다.
비-전통적인 편광들에 의해 제공된 관련 장점들은 패턴 설계 및 OPC 방법들에도 의존하는데, 이는 편광 조건과 OPC 둘 모두가 결과적인 회절 패턴들에 의존하기 때문이다. 2-차원 패턴들의 경우, 다양한 피처들의 마스크 종횡비(mask aspect ratio)는 회절 패턴에 영향을 미치며, 조명의 편광은 마스크 종횡비에 영향을 준다. 편광 타입을 선택하는데 있어서 유연성을 갖는다면, OPC 공정을 단순화할 수 있거나, 심지어는 OPC가 필요하지 않게 할 수도 있다. TM/TE 편광은 소정 리소그래피 문제들에 관련하여 OPC 공정에 대한 필요성을 크게 감소시킬 수 있다. 도 2p는 비편광된 방사선, TM 편광, 대각선 편광, TM/TE 편광 및 X+Y 편광에 대해 표 260으로 나타낸 것으로서, 마스크 종횡비 및 X 및 Y 방향들로의 NILS 값들을 도표화하고 비교함으로써 편광 최적화 및 OPC의 상호작용을 나타낸다. 이 시뮬레이션에서, 90 nm x 120 nm 직사각형 그리드 내에 배치된 50 nm 정사각형 홀들은 k1 = 0.315 x 0.42, NA = 1.35에서 사용되었다. 도 2l 내지 도 2o는 이러한 조건들을 이용한 TM 편광, TM/TE 편광, 대각선 편광 및 X+Y 편광을 이용하여 시뮬레이션된 Z 커트 이미징 결과들을 각각 나타낸다. 이 특정한 조건에 대해, TM 편광은 적어도 OPC를 필요로 하며, 다시 말해 마스크 종횡비는 타겟 피처 종횡비와 대략적으로(roughly) 일치한다. TM/TE가 표준 X+Y 편광보다 더 양호한 결과들을 제공하지만, 여기서는 TM/TE가 최적의 편광이 아니다. 도 2l 내지 도 2o에서, 255L-O는 콘택 홀들의 이미지들이며, 256L-O는 노광 어퍼처들이다.
공진 각도로부터 조명을 갖고, 홀들이 1:1 듀티 사이클(duty cycle)을 갖는 직사각형 그리드에 대해서는(즉, 120x90 nm 피치 그리드에 대해, 홀 타겟은 60x45 nm일 것임), TM/TE가 OPC를 필요로 하지 않는다는 것이 밝혀졌다. 특별한 경우의 정사각형 그리드는 대각선 상에 공진 조명을 배치하며, 이 경우 TM/TE는 TM과 동일하다. 도 2h에 도시된 예시에서는, 홀 타겟이 1:1 듀티 사이클을 갖지 않으므로, 공진 조명의 효과가 저하된다.
테스트 패턴들 및 패터닝 디바이스들의 타입
편광 조건 결정을 용이하게 하기 위해, 다양한 타입의 테스트 패턴들 및/또는 패터닝 디바이스들이 사용될 수 있다. 예를 들어, 패터닝 디바이스의 전형적인 리소그래피 패턴은 레이아웃에서 다양한 타입의 2-차원 패턴들을 가질 것이다. 마스크 레이아웃의 일부분들은 1 이상의 임계 피처들, 리소그래피 공정의 벤치마크(benchmark)인 고-충실도 재현(high fidelity reproduction)을 포함한다. 실제 패턴을 사용하는 실제 리소그래피 이전에, 1 이상의 임계 피처들을 에뮬레이트한 1 이상의 테스트 패턴들을 이용하여 시뮬레이션이 수행될 수 있다. 이러한 테스트 패턴들은 원하는 편광 조건을 결정하는데 사용될 수 있다.
2-차원 테스트 패턴들의 몇몇 타입들은 이러한 시뮬레이션에서 원하는 편광 조건을 결정하기 위해 사용될 수 있다. 도 3은 테스트 패턴들로도 사용될 수 있는 가변하는 피치를 갖는 콘택 홀들의 예시적인 직사각형 그리드들을 나타낸다. 홀들 각각은 50 nm x 50 nm 정사각형이다. 다른 단면 형상의 콘택 홀들이 가능하며, 콘택 홀들 대신에 금속 콘택 패드들이 사용될 수도 있다. (110 nm x 110 nm의 그리드 레이아웃 및 0.385의 k1 값을 갖는) 패턴 302가 (190 nm x 110 nm의 그리드 레이아웃 및 0.66 x 0.385의 k1 값을 갖는) 패턴 304로 전이되는 것으로 나타낸 바와 같이 하나의 데카르트 축을 따라서만, 또는 패턴 302가 (190 nm x 190 nm의 그리드 레이아웃 및 0.66의 k1 값을 갖는) 패턴 303으로 전이되는 것으로 나타낸 바와 같이 두 개의 데카르트 축들을 따라 피치가 변동될 수 있다. 예를 들어 패턴 302에서 더 낮은 k1 값들에 대해 이미징이 더 어려운 과제임을 유의한다. 바이너리 마스크, PSM(예를 들어, 6% Att-PSM), 또는 여하한의 다른 타입의 패터닝 디바이스가 사용될 수 있다. 110 nm 내지 190 nm 사이에서 20 nm 증분으로 피치가 변동될 수 있다. 따라서, 110 nm 내지 190 nm 피치 사이에서 20 nm 증분으로 모든 x,y 직사각형 어레이 조합들이 검토될 수 있다. 직사각형 그리드는 맨하탄 그리드(Manhattan grid)라고도 칭해진다. 직사각형 그리드의 특별한 경우는 정사각형 그리드이다. 여기에 인용된 모든 k1 값들은 1.35 NA 투영 시스템 및 193 nm 방사선을 이용하여 스캐닝 리소그래피 장치로 패턴이 프린트된다고 가정한 것을 유의한다.
2-D 테스트 패턴에 대한 또 다른 예시는, 도 4에 나타낸 바와 같이 엇갈리게 배열된 방식(staggered fashion)으로 배치된 홀들을 갖는 엇갈리게 배열된 그리드이다. 여기에서도, 1 이상의 데카르트 방향들로 피치가 변동될 수 있다. 도 4의 예시에서, 50 nm x 50 nm 홀들이 가장 왼쪽에서 110 nm x 110 nm 내에 배치되고, 오른쪽으로는 각각의 그리드에 대해 x,y 방향들로 20 nm 증분만큼 피치가 증가되며, 가장 오른쪽 상에는 190 nm x 190 nm 그리드가 배치된다.
테스트 패턴 변동의 다른 가능성들로는 반경 방향으로의 피치 변동, 콘택 홀들/콘택 패드들의 종횡비 변동, 2 개의 층들의 오버레이 변동 등을 포함한다.
추가적으로, 현재의 IC 회로는 흔히 단순한 어레이보다는 더 복잡한(흔히 다소 주기적인) 2-D 패턴들을 갖는다. 이러한 예시는 도 5a 및 도 5b에 도시되어 있다. 패턴(500) 내의 다양한 피처들(502, 503, 504)은 상이한 치수로 되어 있을 수 있으며, 상이한 피치들을 가질 수 있다. 패턴(500)은 현재의 SRAM 회로에서 볼 수 있다. 패턴들은 레지스트 프로세스의 톤(tone)에 따라 밝은 필드에서는 어두운 패치들(도 5a의 마스크 500A)로 나타나거나, 어두운 필드에서는 밝은 패치들(도 5b에서 마스크 500B)로 나타날 수 있다. 이러한 패턴은 흔히 디바이스 제조에 중요한 타겟을 나타내며, 따라서 시뮬레이션하기에 좋은 테스트 경우이다.
유한한 길이의 조밀한 라인 패턴들 및/또는 불규칙한 다각형 형상들의 패턴들은, 실제 회로가 이러한 패턴들을 갖는 경우에, 또한 임계 피처가 이러한 패턴들을 수반하는 경우에 에뮬레이트될 수 있다.
시뮬레이션 동안, 선택된 시뮬레이션 셀 내의 구별되는 시뮬레이션 지점들의 개수를 정의하기 위해 테스트 피처들 상에 또는 이 사이에 1 이상의 커트라인들이 배치된다.
공간 조명 맵핑
편광 조건 결정을 용이하게 하기 위해, 다양한 공간 조명 분포들이 사용될 수 있다. 도 6a 내지 도 6c는 오프-액시스 조명의 예시들을 나타내며, 이러한 예시에서는 조명의 공간 세기 맵들이 구별되고 흔히 고립된 국부화된 높은-세기 영역들을 나타낸다. 도 6a 내지 도 6c는 각각 5 개의 극, 8 개의 극 및 4 개의 극(4-중극)을 갖는 3 개의 상이한 오프-액시스 조명(602, 604 및 606)의 공간 맵핑을 나타낸다. 환형 조명, 2-중극 조명, 씨쿼드(CQuad) 조명 등은 오프-액시스 조명의 또 다른 예시들이다. 오프-액시스 조명을 생성하기 위해 종래의 빔 성형 요소들이 사용될 수 있다. 오프-액시스 조명은 고-분해능 리소그래피에 사용되는 이미징 향상 방식들 중 하나이다.
방사선 시스템으로부터 오는 조명의 공간 세기 맵 내의 밝은 조명 지점들 모두에 대해 균일하게 편광 조건을 적용하는 것이 가능하다. 예를 들어, 도 6a 내지 도 6c에서 공간 세기 맵들 내의 각각의 밝은 조명 지점들은 비편광된 조명, TM-편광된 조명, TE-편광된 조명 등 중 하나를 이용하여 생성될 수 있다. 도 13 내지 도 15에서 더 자세히 알 수 있는 바와 같이, 편광 결정 동안에, 공간 세기 맵은 개별 픽셀들 또는 픽셀 그룹들로 분할된다. 이러한 그룹들은 편광 픽셀 그룹들이라고 칭해질 수 있다. 편광 조건의 미세-조정은 최적의 또는 원하는 리소그래피 반응을 유도하는 자유-형태(free-form) 편광 조건을 찾아내기 위해 편광 픽셀 그룹들 각각의 편광을 컨디셔닝하는 것을 수반한다. 시뮬레이션 동안에, 빔 어퍼처 내의 편광 픽셀 그룹들의 물리적 좌표들이 시뮬레이터에 의해 사용된 입력 파일에 저장된다. 당업자라면, '편광 픽셀 그룹'이라는 용어는 편광 조건들이 사전정의될 수 있는 조명 소스 맵의 일부분들을 나타내도록 시뮬레이션 도메인에서 주로 사용하기 위해 선택된 전문 용어에 지나지 않는다는 것을 이해할 것이다. 리소그래피 장치의 실제 하드웨어와 관련하여, 등가의 용어는 "소스 픽셀 그룹"일 수 있으며, 다시 말해 편광 제어 요소들이 거울 어레이에 커플링되고, 편광 조건이 소스에 커플링된 거울 어레이에 의해 사전정의될 수 있는 소스 퓨필 상의 영역일 수 있다. 편광 제어 요소들 및 물리적 거울들의 위치들을 기계적으로 제어함으로써, 사전정의된 편광 조건을 갖는 소스 픽셀 그룹이 소스 퓨필 평면 상에 실현될 수 있다.
편광 조건 생성
실제 리소그래피 툴에서, 공간 복합성 구조체(spatially composite structure)를 갖는 석영 또는 유리 플레이트와 같은 1 이상의 물리적 광학 요소들이 비-전통적인 편광 조건들을 구현하기 위해 방사선 시스템의 다른 광학 요소들과 연계하여 사용되고 제조될 수 있다. 조명은 특정한 방식의 편광 조건들에서 고유하게(inherently) 편광될 수 있거나, 적용가능하다면, 원하는 특정 편광으로 변환되는 비편광된 방사선일 수 있다. 편광 결정(polarizing crystal)과 같은 1 이상의 광학 요소들은 조명을 선택적으로 편광시키기 위해 사용될 수 있다. 예를 들어, 원하는 편광은 편광 변화 속성들을 갖거나 이와 연계된, 적절히 설계된 회절, 굴절 또는 반사 광학 요소(예를 들어, 투과 편광 플레이트)에 의해 생성될 수 있다. 일 실시예에서, 조명 형상 및 원하는 편광은 동일한 광학 요소에 의해 생성될 수 있다.
또한, 상이한 밝은 조명 지점들에 상이한 편광을 적용하는 것이 가능할 수 있다. 이를 달성하기 위해, 조명의 공간 세기 분포는, (예를 들어, 방사선이 상이한 편광 광학기 또는 편광 광학기의 상이한 부분들을 통과하게 하고, 및/또는 공간 광 변조기 상에 입사하는 방사선을 적절히 편광시킨 다음, 변조된 요소들의 할당을 통해 원하는 편광 및/또는 조명 형상을 생성함으로써) 예를 들어 공간 세기 분포의 상이한 부분들에 상이한 편광들을 선택적으로 적용할 수 있는 공간 광 변조기(예를 들어, 거울 어레이)에 의해 생성되거나 전사될 수 있다. 예를 들어, 도 6a 내지 도 6c의 각각의 극들은 상이한 편광을 가질 수 있거나, 도 6a 내지 도 6c의 극 내에서 편광이 공간적으로 변동될 수 있다. 고정된 편광 필드 또는 설계가 적용될 때, 개별 극들의 편광 각도들은 알려진 방식으로 (각도에 따라) 변동할 수 있다. "Illumination System and Lithographic Apparatus(P-3642,010)"라는 제목으로 2010년 4월 29일에 출원된 동시-계류중인(co-pending) 공동-소유된 미국 가출원 61/329,371호는 물리적 하드웨어의 몇몇 세부사항들을 개시한다.
성능 메트릭( Performance Metric )
편광 조건 결정을 용이하게 하기 위해, 성능 메트릭이 사용될 수 있다. 성능 메트릭은 공정 파라미터들이 공정 예산 내에서 변동될 때 리소그래피 반응에 대해 얻어진 값들의 변동을 추적한다. 성능 메트릭에서 리소그래피 반응은: 임계 선폭 비-균일성, 임계 치수 오차, 종횡비 오차, 피치 오차, 측면 에지 배치 오차, 코너 에지 배치 오차, 마스크 오차 향상 인자(MEEF), 도즈 관용도, 초점심도 및 공정 윈도우, 또는 이의 다양한 조합들로부터 선택된 1 이상을 포함한다. 공정 파라미터들은: 포커스, 노광 도즈, 노광 파장, 패터닝 디바이스 감쇠, 패터닝 디바이스 바이어스, 방사선 시스템의 개구수, 방사선 소스의 형상, 또는 패터닝 디바이스의 필드 타입으로부터 선택된 1 이상을 포함한다. 본 발명의 범위를 제한하지 않으면서, 다른 타입의 리소그래피 반응들 및 공정 파라미터들이 사용될 수도 있다.
모든 공정 파라미터들을 변동시킬 필요는 없다. 예를 들어, 소정 타입의 마스크(예를 들어, 6% Att-PSM)가 소정 테스트 피처들에 대해 최적으로 작용하는 경우, 이 파라미터는 시뮬레이션 동안에 연산 부하(computational load)를 감소시키기 위해 일정하게 유지될 수 있다. 또 다른 유사한 예시에서, 밝은 필드 마스크가 소정 테스트 피처들에 대해 더 양호하게 작용하는 경우, 어두운 필드 마스크를 이용할 필요성이 배제될 수 있다.
성능 메트릭은 의도된(targeted) 리소그래피 반응이 특정 타겟 값으로 수렴함에 따라 시뮬레이션 동안에 업데이트된다. 타겟 값은 최대값(예를 들어 최대 NILS 값) 또는 최소값(예를 들어, 최소 임계 치수 오차 값)일 수 있다. 리소그래피 반응의 현재 값은 각각의 반복을 위해 저장되며, 현재 최적의 리소그래피 반응에 대응하는 공정 파라미터들은 더 양호한 값들이 얻어지는지 알아보기 위해 다음 라운드(next round)의 시뮬레이션에 적용된다. 리소그래피 반응의 양호한 값이 얻어질 때, 시뮬레이션이 종료될 수 있으며, 이는 찾기 힘든 "최적" 또는 "최적의" 값에 못 미칠 수 있다.
반복 시뮬레이션 동안에, 개선된 편광 조건이 사전정의된 특정 메트릭 값과 연계된다. 바람직한 이미징 결과들은 실행적으로 달성가능한 공정 관용도에 따라 조정될 수 있다.
편광 최적화 공정 흐름
도 7의 흐름도(700)에 나타낸 바와 같이, 2 개의 주요 공정들에서 편광 결정이 행해질 수 있다. 공정 705는 고정된 또는 사전정의된 편광 조건들의 세트를 이용하는 변형된 SMO 공정으로서 나타날 수 있다. 이 공정은 만족할만한 리소그래피 반응을 생성하기에 충분할 수 있다. 만약 아니라면, 공정 705의 결과들은 후속하는 자유형태 편광 결정 공정 712를 수행하기 위해 사용된다. 일 실시예에서는, 공정 705 또는 공정 712가 단독으로 수행될 수 있으며, 다시 말해 공정 712는 공정 705 이전 또는 이후에 수행될 필요가 없으며, 공정 705는 공정 712 이전 또는 이후에 수행될 필요가 없다.
공정 705 이전에, (집합적으로 단계 702라고 칭해지는) 몇몇 사전 준비단계들이 시뮬레이션을 설정하기 위해 수행되거나 달성된다. 조명 형상, 도즈, 패터닝 디바이스 타입, 포커스 세팅, 필드 타입 등과 같은 다양한 공정 파라미터들이 선택된다. 또한, 1 이상의 테스트 패턴들이 선택되고, 테스트 패턴 내의 1 이상의 임계 피처들이 식별되며, 시뮬레이션 지점들 또는 커트라인들이 테스트 패턴 내에 정의된다. 공정 파라미터들 중 1 이상이 일정할 수 있고 다른 공정 파라미터들 중 1 이상이 공정 오차 예산 내에서 변동되는 성능 메트릭이 정의된다. 추적될 리소그래피 반응 값은 CD 오차, 에지 배치 오차 또는 이전에 설명된 다른 파라미터일 수 있다.
추가적으로, 사전정의된 편광 조건들의 초기 후보 세트가 선택된다. 사전정의된 편광 조건들은 전체 퓨필 평면에 적용되는 고정된 편광 정의(예를 들어, 비편광된 광, X+Y 편광, TE 편광, TM 편광, 또는 TM/TE 편광)를 포함할 수 있다. 대안적으로, 초기 편광 정의는 고정된 특정 편광 각도들로 제한될 수 있다. 상기 각도들은 하드웨어 구현에 의해 지정(dictate)된다. 예를 들어, 고정된 각도 편광 플레이트들은 소스 빔 패터닝을 제어하는 거울 어레이에서 사용될 수 있다(이의 상업적인 예시는 ASML 사의 FlexrayTM임). 리소그래피업자는 사전정의된 편광 조건들의 가장 유효한 초기 세트를 골라내는 통찰력(insight)을 기를 수 있다. 나타낸 비-제한적인 예시에서, 0.5 이상의 σ 값들에 대해 편광 조건들의 초기 세트는 TM, Y+X, Y 및 X 편광 조건들을 포함할 수 있고, 0.5 이하의 σ 값들에 대해 편광 조건들의 초기 세트는 TM/TE, TE 및 X+Y 편광 조건들을 포함할 수 있다. 편광 조건들의 초기 세트의 경험-기반 선택은 시뮬레이션 동안에 연산 부하를 감소시키는데 도움을 준다.
일단 예비 조건들이 설정되면, 일 실시예에서 고정된 또는 사전정의된 편광 조건 결정 공정 705이 수행된다. 이 공정에서, 알려진 최적 공정 파라미터들에 대하여 초기에 정의된 모든 커트라인들에 대해 리소그래피 반응이 계산된다. 리소그래피 반응 값이 실질적으로 원하는 값(예를 들어, 최대 CD 오차 < 0.05 nm)로 수렴할 때, 피처 에지들의 배치를 조정하기 위해 초기 OPC 조정 공정이 수행된다[이는 완전한(full-fledged) OPC이거나 단순화된 OPC일 수 있음]. 그 후, 공정 파라미터들의 예산 오차에 대해 리소그래피 반응 값이 계산된다. 예를 들어, CD 오차는 포커스 범위, 도즈 범위, 패터닝 디바이스 감쇠 범위 등에 대해 추적될 수 있다. 패터닝 디바이스 바이어스는 모든 테스트 피처들에 동시에 적용될 수 있다. CD 균일성(CDU) 값을 찾기 위해 개별 CD 오차 값들이 그룹화될 수 있으며, CD 오차 값이 아니라 가장 양호한 CDU 값의 위치를 알아내도록 메트릭이 설정될 수 있다. 성능 메트릭에 의해 추적될 궁극적인 리소그래피 반응으로서 선택될 수 있는 다수의 가능성들이 존재함은 물론이다. 시뮬레이션의 연속적인 반복 동안에, 시뮬레이션의 수렴을 돕기 위해 적절한 OPC 조정이 병행하여 수행될 수 있다.
공정 705(변형된 SMO 공정)가 여기에 설명된 바와 같이 다양한 성능 추적 가능성들을 내포할 수 있더라도, 일 실시예에서는 공정 705가 초기 사전정의된 편광 조건들 각각에 대응하는 편광 조건 결정 공정을 수행한다. 이를 행하기 위해, 편광 조건들 각각에 대한 최적의 또는 개선된 공간 세기 맵에 도달하도록 편광 조건들 각각에 대한 각각의 조명 공간 세기 맵이 결정된다. 변형된 SMO 공정에서는, 공정 파라미터들 및 각각의 초기 사전정의된 편광 조건들을 이용하여, 만약에 있다면, 적절한 OPC 조정을 포함하는 각각의 사전정의된 편광 조건에 대해 원하는 리소그래피 반응의 시뮬레이션이 수행되어, 리소그래피 반응을 결정한다.
달성될 타겟 리소그래피 반응 값에 의존하여, 공정 705는 결정 블록 708에서 결정된 대로 유익한 또는 최적화된 편광 조건을 식별하기에(단계 715) 충분할 수 있다. 예를 들어, σ>1을 갖는 어두운 필드 조명에 대해, 초기 사전정의된 편광 조건 Y+X는 최적의 또는 유익한 리소그래피 반응을 제공한다는 것이 결정될 수 있다. 다시 말해, 사전정의된 편광 조건들의 초기 세트 중 하나는, 특히 상대적으로 더 높은 k1 값들을 갖는 리소그래피 문제들에 대해 몇몇 경우들에서 리소그래피 요건을 만족할 수 있다.
하지만, 원하는 리소그래피 반응이 공정 705에서 달성되지 않는 경우, 공정 705의 가장 유망한 결과들에 기초하여 1 이상의 사전정의된 편광 조건들이 선택될 수 있다. 공정 705의 가장 유망한 결과들은 최적 성능 메트릭과 연계된 편광 조건들일 수 있다. 단계 710에서는, 편광 픽셀 그룹들이 공정 712 이전에 식별된다.
공정 712에서, 자유형태 편광 미세-조정이 수행된다. 도 13 내지 도 17을 참조하여 자세히 설명되는 바와 같이, 자유형태 편광 미세-조정에서는 개별 픽셀들 또는 픽셀 그룹들 각각이 앞서 설명된 사전정의된 편광 조건들의 초기 세트에서의 편광 조건들 중 1 이상(예를 들어, 상기 1 이상의 사전정의된 편광 조건들은 공정 705의 가장 유망한 결과들에 기초하여 선택될 수 있음)과, 가능하게는 다양한 다른 타입의 사전정의된 편광 조건들의 적용을 거치게 된다. 다시 말해, 단계 712는 증가된 편광 선택 입상도(granularity)를 수용할 수 있다. 편광 조건이 변동할 때 리소그래피 성능의 개선을 찾기 위해, 표준 수치 최적화 기술(standard numerical optimization technique)들이 적용된다. 순차적인 픽셀 플립(sequential pixel flip)이 최적화 기술에 대한 제 1 단계일 수 있다. 예를 들어, 도 13 및 도 14를 참조하면, 공정 705 동안에, TM/TE, TM 및 Y-편광이 가장 유망한 편광 조건들이라고 결정된 경우, 이러한 편광 조건들 중 적어도 3 개 모두가 (순차적으로 또는 최적화에 사용되는 여하한의 다른 표준 방법으로) 예시적인 픽셀 그룹들(1310 내지 1313) 각각에 적용된다. 공정 705와 유사하게, 편광 조건이 픽셀 그룹에서 또는 개별 픽셀 레벨에서 국부적으로 섭동(perturb)될 때에 리소그래피 반응이 추적된다. 이 방법은 "픽셀 플립"이라고도 칭해진다. 단일 픽셀 플립 또는 그룹 내에서 쌍을 이루는(pair-wise) 픽셀 플립이 시도될 수 있다. 픽셀 플립의 각 단계를 이용하여, 초기 조건이 현재의 최적 결과 조건으로 재정의된다. 최종 리소그래피 반응을 개선하는 유익한 변화들을 서열화(rank)하기 위해 선형 민감도 분석 방법이 사용될 수 있다. 또한, 상대적으로 더 큰 퍼센트의 픽셀들(예를 들어, 10%의 픽셀들)을 "무작위하게" 플립핑(flipping)함으로써 초기 조건을 급격히 변화시키고, 반복 최적화 공정을 새로이 시작하는 것이 가능하다. 표준 수치 기술들은 최적화에 사용될 수 있다. 또한, 최적의 조합된 결과를 얻기 위해 이와 병행하여 적절한 OPC 및 공정 파라미터 조정이 적용될 수 있다. 공정 712는 조합된 패터닝 디바이스-편광 최적화 공정이라고 칭해질 수 있다.
편광 최적화의 예시 결과들
도 8 내지 도 12는 개선된 편광 조건들을 얻도록 본 발명에 설명된 기술들이 적용된 특정 예시들을 나타낸다. 더 상세하게는, 도 8 내지 도 12는 도 7에 설명된 공정 705의 결과들을 나타낸다.
도 8은 도 6에 도시된 오프-액시스 조명 조건들(602, 604 및 606)을 이용하고, 가변 피치를 갖는(최소 k1 = 0.385, 최소 피치 110 nm에 대응함) 도 3의 맨하탄 정사각형 그리드 예시에 대응하는 몇몇 예시적인 결과들을 나타낸다. NA=1.35인 6% Att-PSM 마스크가 사용되었다. 50 nm 홀들의 그리드의 피치는 110 nm x 110 nm 피치를 갖는 그리드 302로부터 190 nm x 190 nm 피치를 갖는 그리드 303로 20 nm만큼 증분하여 동일하게 변동되었다. 추가적으로, 몇몇 경우들에서 고정된 포커스 램프(focus ramp: 802)가 사용되었다(예를 들어, 고정된 포커스 램프를 갖고 또한 갖지 않고 5-극 조명 602이 사용됨). 나타낸 4 개의 경우들[포커스 램프 없는 퀘이사(Quasar), 8-극, 5-극 및 5-극] 각각에 대해, 파라메트릭 SMO가 다중 편광 상태들로 수행되었다. 성능 메트릭에서 추적된 리소그래피 반응은 나노미터 단위의 사전정의된 CD 오차 메트릭이다. 도 8에 나타낸 바와 같이, 비편광된 조명을 이용한 최적의 결과는 고정된 포커스 램프를 갖는 5-극 조명에 대해 얻어지며, TM-편광된 조명을 이용한 최적의 결과는 고정된 포커스 램프를 갖는 8-극 조명에 대해 얻어진다. 도 8에 나타낸 바와 같이, 비편광된 조명으로부터 TM 편광으로의 변화는 나타낸 모든 비교가능한 극 및 포커스 램프 조합들에 대해 CD 메트릭을 상당히(10 내지 16 %) 개선한다.
도 9는 5-극 경우에 대한 CD 개선 결과들을 나타내어, 최적 편광 선택의 상대적인 이점이 더 명확하게 명시될 수 있다. 비편광된 파라메트릭 SMO(가변하는 극 위치, 세기, 패터닝 디바이스 전체 바이어스) 결과는 포커스 램프를 포함함으로써 8 % 개선되었다. 하지만, TM 방사선 및 고정된 포커스 램프를 가짐으로써 추가 10 % 개선이 달성되었다. 편광 선택은 포커스 램프보다 훨씬 더 유익하다.
도 10은 k1 값이 더 낮을 때 개선된 또는 최적의 편광 조건이 어떻게 상이한지를 나타낸다. 다양한 공정 파라미터들에 대응하는 결과들을 도표화한 예시적인 공정 파라미터 표 1000은, 낮은 k1 값을 갖는 맨하탄 정사각형 그리드의 유사한 테스트 패턴에 대해(최소 k1=0.33, 95 nm 피치에 대응함), 최적의 편광 조건이 TM이 아니라, 표 1000에 도시된 바와 같이 (포커스 램프가 모든 조건들에서 손상되지 않고 유지되면서) 조정된 다른 조명 파라미터들을 갖는 TM/TE임을 나타낸다. NA=1.35인 6% Att-PSM 마스크가 사용되었다. 도 11에 도시된 바와 같은 8-극 조명은 350 nm에서 고정된 포커스 램프와 함께 사용된다. 50 nm 홀들의 그리드의 피치는 95 nm x 95 nm 피치로부터 110 nm x 110 nm 피치로 변동되었고, 이후 110 nm x 110 nm 피치를 갖는 그리드(302)로부터 190 nm x 190 nm 피치를 갖는 그리드 303로 20 nm 만큼 증분하여 동일하게 변동되었다.
도 12는 더 복잡한 2-D 패턴, 예를 들어 전형적으로 SRAM 회로들에서 볼 수 있는 도 5a의 패턴 500A를 고려하고, 상기 패턴을 이용한 편광 조건 결정으로부터의 결과이다. 밝은 필드, 최소 k1=0.384인 6% Att-PSM 마스크에 대해, 최적의 결과는 TE 편광에서 찾을 수 있다. 여기서, CD 메트릭은 CD 균일성 및 최악의 공정 코너 오차의 조합으로서 정의된다. TE 결과는 X+Y보다 약 5 % 더 양호하고, 비편광된 것보다는 약 16 % 더 양호하다. 여기서, 중심 TM 편광 조건을 갖는 TM/TE는 최적의 편광 조건이 아니다. 도 5a의 패턴 500 A에 대해, TE-편광을 갖는 소스-마스크-편광 최적화(SMPO)가 종래에 사용된 X+Y 편광을 갖는 표준 소스-마스크 최적화(SMO)보다 우수한 이미징 성능을 제공한다는 것이 실험적으로 입증되었다. 그러므로, 도 12의 결과들은 실험적 측정값들에 의해 확인된다. 또한, 도 12는 본 출원에 개시된 일반화가능한 편광 최적화 방식이 이전의 경험으로부터 고정된 편광 조건을 단지 수용하는 것과는 대조적으로, 하드웨어의 실제 편광 세팅을 선택하는 것에 관해 리소그래피업자들에게 개선된 유연성을 제공한다는 사실에 중점을 둔다.
도 8 내지 도 12의 다양한 예시들은 개선된 또는 최적의 편광이 다양한 공정 파라미터들 및 k1 값들에 의존함에 따라 개선된 또는 최적의 편광 조건을 예측하기 어렵다는 것을 나타낸다. 본 발명의 실시예들은 원하는 리소그래피 반응의 체계적인 시뮬레이션을 이용하여 효율적인 방식으로 개선된 또는 최적의 편광을 얻는 방법을 제공한다. 도 8 내지 도 12에 도시된 예시들은 미세-조정된 편광 조건이 아니라 퓨필 평면에서 사전정의된 편광 조건을 가정한다. 하지만, 이러한 예시들은 단지 예시적이며, 본 발명의 범위를 제한하지 않는다.
세기 맵 내의 픽셀 레벨에서 편광 미세 조정
도 13 내지 도 16은 도 7에 설명된 공정 712에서 발생한 자유형태 편광 미세-조정의 예시들을 나타낸다.
도 13a 내지 도 14b는 어두운 필드 패턴, 예를 들어 도 5b의 패턴 500B를 갖는 경우를 나타내며, 이때 사전정의된 조명은 (도 2e에 도시된 사전정의된 편광과 유사한) TM/TE이다. 사전정의된 편광을 갖는 조명의 세기 맵은 요소 1300으로서 도 13a에 나타나 있다. 도 13b의 요소 1302는 구별되는 편광 픽셀들로 표시된 밝은 지점들을 갖는 1300의 공간 세기 맵이다. 인접한 편광 픽셀들은 다양한 방식들로 함께 그룹화될 수 있다. 예를 들어, 1302에서 픽셀 그룹들 1310, 1311, 1312 및 1313은 4 개의 모든 사분면들에서 대칭적으로 반복된다. 사전정의된 TM/TE 편광 조건은 초기에 모든 픽셀들에 적용된다. 이 상태는 6.1 nm의 CD 메트릭을 생성하는 공정 705의 결과일 수 있다. 도 14a 및 도 14b는 편광 미세-조정의 중간 및 최종 단계를 나타낸다. 모든 픽셀 그룹들을 가로지른 순수 TM/TE로부터 중심 픽셀 그룹들에서의 TM으로 중심에서 픽셀들의 편광을 변화시키면, 중간 맵 1402A(도 14a)에 나타낸 바와 같이 CD 메트릭을 4.7 nm로 상당히 개선한다. 다시 말해, 편광은 중심에서 σ<0.3에서 TM을 갖는 TM/TE로 변화된다(도 2f에 나타낸 사전설정된 편광과 유사함). 중심 픽셀들을 X-편광으로 변화시킴으로써 추가적인 편광 미세-조정은 4.6 nm의 더욱 개선된 CD 메트릭 값에 대응하는 최종 맵 1402B(도 14b)를 생성한다.
도 15a 내지 도 15c에 도시된 또 다른 유사한 예시에서, 조명 공간 세기 맵 1500(도 15a)은 편광 픽셀 그룹들로 분할된다. 초기에, 모든 픽셀들은 변형된 맵 1502A(도 15b)에 나타낸 바와 같이 5.3 nm의 CD 메트릭 값에 대응하는 비편광된 조명을 갖는다. 미세-조정 후, CD 메트릭은 중심에서 X-편광을 갖고 극들에서 TM/TE 편광을 갖는 변형된 맵 1502B(도 15c)에 나타낸 바와 같이 픽셀 그룹들의 국부적인 편광을 변화시키면 4.65 nm의 값으로 개선된다.
도 16은 도 16a의 세기 맵 1600에 나타낸 바와 같이 0.88/0.72인 σouterinner를 갖는 환형 조명을 이용하여 편광 조건 선택의 또 다른 예시들을 나타낸다. 복잡하고 주기적인 2-D 패턴 1601(도 16b)을 갖는 어두운 바이너리 필드 마스크가 사용된다. 공정 705를 이용하면, 최적의 결과는 맵 1602A(도 16c)에 나타낸 바와 같이 모두 가로질러 적용된 사전정의된 TE 편광을 이용하여 얻어졌다. 이 결과로, 9.28 nm의 CD 오차 메트릭이 얻어졌다. 자유형태 편광 조정을 위해, 개별 픽셀들이 편광 최적화에 대해 고려된다. 개별 픽셀들 대신에, 다수의 픽셀 그룹들이 바로 인접한 픽셀들과 함께 고려될 수도 있다. 도 16d의 맵 1602B는 CD 오차 메트릭 개선을 제공한 미세-조정된 편광 조건을 나타낸다. 상기 변형된 맵에서는, 특정한 조명 픽셀들 또는 픽셀 그룹들의 일부가 TM/TE, X+Y 및 비편광으로 변화되었으며, 이 결과로 8.93 nm의 CD 메트릭이 얻어졌다.
도 17c 및 도 17d는 편광 타입이 아니라 편광 방향 각도를 강조하는 좌표 공간 내에 최적의 편광 좌표를 표현하는 대안적인 방식을 나타낸다. 4-폴비트(polbit) 구성은 편광들에 대해 X(0°), Y(90°), 및 ±45°방향들을 지원할 수 있고, 8-폴비트(polbit) 구성은 도 2g에 나타낸 것과 유사하게 공간의 제 1 사분면에서 편광들의 X, Y, ±45°, ±22.5°및 ±67.5°방향들을 지원할 수 있다. 도 17a는 전체 소스 퓨필 평면에서 X-Y 편광을 갖는 균일하게 편광된 소스의 공간 세기 맵 1700을 나타낸다. 도 17c 및 도 17d는 공간 세기 맵 1700이 각각 4-폴비트(polbit) 및 8-폴비트(polbit) 구성들에 대해 퓨필 평면에서 픽셀 그룹들로 어떻게 분리(discretize)되는지를 나타낸다. 화살표들은 픽셀 그룹에 대한 편광 방향을 나타내고 있다. 도 17c에서는, 최상부 및 저부 픽셀 그룹들만이 -45°편광(즉, 제 1 사분면에서 -45°, 그리고 대칭성을 유지하는 다른 사분면들에서 적절한 각도들)에 있다. 다른 픽셀 그룹들에서 픽셀들의 나머지는 Y-편광에 있다. 도 17d에서, 픽셀들은 (다른 사분면들에서 적절한 대칭성을 가지고) -67.5°편광되거나, 또는 Y-편광된다. 8-폴비트(polbit) 구성을 이용하면, 각 픽셀에서 편광 최적화의 입상도가 증가되며, 이 결과로 더 양호한 리소그래피 반응이 얻어진다. 예를 들어, 고정된 X+Y 편광된 광을 이용하면, 도 17b에 도시된 패턴에 대하여 종래의 SMO로부터 얻어진 CD 변동 메트릭은 8.92 %이다. 동일한 소스 및 동일한 패턴을 이용하나, 도 17c에 도시된 바와 같이 편광 최적화를 추가하면[4-폴비트(polbit)], CD 변동 메트릭은 8.61 %로 증가된다. 동일한 소스 및 동일한 패턴을 사용하지만, 도 17d에 도시된 바와 같이 8-폴비트(polbit) 편광 최적화를 이용하면, 8.09 %로의 CD 변동 메트릭의 추가 개선이 얻어진다.
도 18a 내지 도 18d는 상이한 편광 조건들에 대한 SMO(또는 SMPO)의 결과로서 동일한 소스의 분리된 세기 맵을 비교한다. 이들은 도 5b에 나타낸 바와 같은 패턴에 대한 다양한 편광 조건 가정들에 대해 최적화된 소스들이다. 도 18a는 종래의 SMO를 이용하여 최적화된 TE 편광 소스를 나타낸다. 도 18b는 종래의 SMO를 이용하여 최적화된 X+Y 편광 소스를 나타낸다. 도 18c는 종래의 SMO를 이용하여 최적화된 비편광 소스를 나타낸다. 도 18d는 본 출원에 의해 제공된 향상들을 이용하여 최적화된, 즉 SMPO를 이용하여 최적화된 동일한 소스를 나타낸다. 도 18e는 TE 편광 소스(도 18a), X+Y 편광 소스(도 18b) 및 비편광 소스(도 18c)에 비해, 오버랩된 공정 윈도우가 SMPO(도 18d의 경우)에 대해 최적임(즉, 최대 도즈 진폭 및 최도 초점심도가 허용됨)을 나타낸다.
편광 및 어두운-필드 방사선
앞서 설명된 편광 최적화 기술들의 장점들을 더욱 입증하기 위해, 어두운 필드 조명에 대해서도 SMPO가 적용되었다. 어두운 필드 이미징은 σ>1인 조명을 포함한다. 예를 들어, 통상적인 범위는 1.4≥σ≥1.0이다. 어두운-필드 광의 추가는 MEEF 감소를 통해 리소그래피 이미징을 개선하기 위한 잠재력을 갖는다.
앞서 설명된 SMPO 기술들은 도즈/바이어스 최적화에 대해 다수의 시작 지점들 및 종료 지점들을 갖는 고정된 도즈/바이어스를 사용한다. 어두운-필드를 포함하면, 도즈/바이어스 관계들에 영향을 주게 된다(어두운 필드 솔루션들은 통상적으로 더 높은 도즈를 필요로 한다). 그러므로, 최적화 절차는 최적화 동안에 도즈를 급격히 조정함으로써 마스크 바이어스[후(post)-OPC]를 고정하도록 변형되어야 한다. 어두운 필드 조건에 대하여는 조명 세기 맵의 극들이 투영 시스템 어퍼처 외부에 존재할 수 있기 때문에, 추가 픽셀들 또는 픽셀 그룹들이 1.4≥σ≥1.0의 영역을 포괄하도록 각 사분면마다 추가되었다. 예를 들어, 도 19a는 소스 어퍼처 외부의 추가 픽셀 그룹들 1902A-B(모든 사분면들에서 대칭적으로 반복됨)를 나타낸다. 여기서는 어두운-필드를 갖는 SMPO에 대해 4 개의 편광 조건들(X, Y 및 ±45°)만이 사용된다. (어두운 필드를 갖지 않는) 표준 SMPO는 도 19b에 도시된 소정의 테스트 패턴들에 대해 고정된 X+Y 편광 솔루션보다 19% 더 양호한 CDU(CD 균일성)를 제공한다는 것을 알 수 있었다. 어두운-필드를 갖는 SMPO는 도 19c에 나타낸 바와 같이 CDU 메트릭의 추가 9% 개선을 제공한다. 도 19d는 어두운-필드 광이 사용될 때 SMPO에서 MEEF 감소에 따른 장점이 더욱 향상됨을 나타낸다. 7.9의 평균 MEEF를 갖는 고정된 X+Y 편광 솔루션에 비해, 어두운 필드를 갖지 않는 SMPO는 4.9의 평균 MEEF를 제공하며, 이는 어두운 필드를 갖는 SMPO가 사용될 때 4.2로 더욱 감소된다. 도 19b의 패턴 내의 상이한 위치들에서 개별 수평(H) 및 수직(V) 커트라인들에서의 MEEF 감소들은 도 19d에 나타낸 평균(avg) MEEF 값들을 찾도록 평균화된다. 도 19e는 SMPO가 어두운-필드 조건들 vs. 어두운 필드를 갖는 SMPO 및 X+Y 편광을 갖는 SMO 하에서 수행될 때 오버랩된 공정 윈도우의 비교를 나타낸다. 일반적으로, 표준 어두운-필드 마스크 및 포지티브 톤(positive tone) 현상 공정이 사용될 때에 어두운-필드 SMPO는 MEEF 감소 및 CDU 개선을 제공한다는 것을 알 수 있었다.
패터닝 디바이스 타입의 의존도
도 20은 편광 미세-조정이 사용되는 패터닝 디바이스의 타입에 의존한다는 것을 나타낸다. 4-극 조명에서 114 nm 정사각형 피치 상에 50 nm 홀 그리드를 사용하면(k1=0.4), 마스크 바이어스가 변동되었고 마스크 투과 타입 또한 변동되었다. 이러한 결과들은 도 20b의 표 2002에 나타나 있다. 도 20a는 결과 비교를 그래프로 나타낸다. 특히, 비편광된 조명 대신에 TM 편광이 사용될 때에, 18% Att-PSM이 표준 바이너리 마스크(BIM) 및 6% Att-PSM보다 양호한 결과를 제공한다는 것을 알 수 있다. 다른 편광 조건들에 대해 유사한 비교가 연구될 수 있다.
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재 내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
이상, 광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명은 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피(topography)는 기판 상에 생성된 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트가 경화된 후에 그 안에 패턴을 남기는 레지스트로부터 이동된다.
리소그래피 장치는 투영 시스템의 최종 요소와 기판 사이의 공간을 채우기 위해, 기판의 표면이 상대적으로 높은 굴절률을 갖는 액체, 예컨대 물로 침지되는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 패터닝 디바이스와 투영 시스템의 제 1 요소 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다.
본 명세서에서 사용된 "방사선" 및 "빔"이라는 용어는 (예를 들어, 365, 248, 193, 157 또는 126 nm, 또는 그 정도의 파장을 갖는) 자외(UV) 방사선 및 (예를 들어, 5 내지 20 nm 범위 내의 파장을 갖는) 극자외(EUV) 방사선뿐만 아니라, 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는 모든 형태의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 형태의 광학 구성요소들 중 어느 하나 또는 이의 조합으로 언급될 수 있다.
이상, 본 발명의 특정 실시예가 설명되었지만 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해할 것이다. 예를 들어, 본 발명은 앞서 개시된 바와 같은 방법을 구현하는 기계-판독가능한 명령어의 1 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해할 것이다.

Claims (15)

  1. 리소그래피 장치를 이용하여 기판 상으로 패터닝 디바이스 레이아웃의 이미지 전사를 개선하는 방법에 있어서,
    복수의 사전정의된 편광 조건들 각각에 대응하는 제 1 공정을 수행하여, 임계 피처의 상대적으로 더 양호한 재현성과 연계된 리소그래피 반응 값을 유도하는 사전정의된 편광 조건을 선택하는 단계; 및
    제 2 공정을 수행하여, 상기 리소그래피 반응의 원하는 값을 가져올 때까지, 반복적으로 상기 사전정의된 편광 조건의 편광 조건을 조정하는 단계를 포함하고,
    상기 제 2 공정은 상기 제 1 공정에서 사용된 사전정의된 편광 조건들 중 1 이상을 사용하며,
    상기 제 2 공정은:
    후보(candidate) 조명 공간 세기 맵을 얻는 단계;
    상기 공간 세기 맵 내의 밝은 조명 지점들을 구별되는 픽셀들 또는 픽셀 그룹들로 분할하는 단계; 및
    상기 리소그래피 반응의 원하는 값을 가져올 때까지, 상기 구별되는 픽셀들 또는 픽셀 그룹들 각각에 각각의 사전정의된 편광 조건들의 세트를 적용함으로써, 상기 구별되는 픽셀들 또는 픽셀 그룹들의 국부적인 편광을 조정하는 단계를 포함하는 이미지 전사 개선 방법.
  2. 제 1 항에 있어서,
    상기 임계 피처를 포함하는 상기 패터닝 디바이스 레이아웃의 적어도 일부분을 에뮬레이트(emulate)한 테스트 피처들의 세트를 얻는 단계;
    1 이상의 공정 파라미터들에 걸쳐 상기 임계 피처를 재현하는데 있어서 리소그래피 반응의 변동을 나타낸 성능 메트릭(performance metric)을 정의하는 단계; 및
    상기 복수의 사전정의된 편광 조건들을 선택하는 단계를 포함하는 이미지 전사 개선 방법.
  3. 제 1 항에 있어서,
    상기 제 1 공정으로부터 얻어진 상기 리소그래피 반응 값들로 성능 메트릭을 업데이트하는 단계를 포함하고,
    상기 제 2 공정은 상기 리소그래피 반응의 원하는 값이 얻어질 때까지 상기 성능 메트릭을 반복적으로 더 업데이트하는 것을 수반하는 이미지 전사 개선 방법.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 복수의 사전정의된 편광 조건들은: 비 편광, TE 편광, TM 편광, TM 편광 및 TE 편광, 대각선 편광, X-편광, Y-편광, X+Y 편광, Y+X 편광, 또는 이들의 조합을 포함하는 그룹으로부터 선택된 2 이상의 편광 조건들을 포함하는 이미지 전사 개선 방법.
  6. 제 1 항에 있어서,
    상기 복수의 사전정의된 편광 조건들은 TM 편광 및 TE 편광을 포함하는 이미지 전사 개선 방법.
  7. 제 1 항에 있어서,
    상기 리소그래피 반응은: 임계 선폭 비-균일성, 임계 치수 오차, 종횡비 오차(aspect ratio error), 피치 오차(pitch error), 측면 에지 배치 오차, 코너 에지 배치 오차, 패터닝 디바이스 오차 향상 인자(MEEF), 도즈 관용도, 초점심도, 또는 이들의 조합으로부터 선택된 1 이상을 포함하는 이미지 전사 개선 방법.
  8. 제 1 항에 있어서,
    상기 제 1 공정은 상기 복수의 편광 조건들 각각에 대해 각각의 조명 공간 세기 맵을 얻는 단계를 포함하는 이미지 전사 개선 방법.
  9. 제 1 항에 있어서,
    상기 제 1 공정은 상기 복수의 편광 조건들 각각에 대해 정규화된 이미지 로그 슬로프(normalized image log slope: NILS) 값을 결정하는 단계, 및 최대 NILS 값들에 대응하는 상기 1 이상의 편광 조건들을 선택하여 선택된 편광 조건들의 감소된 세트를 형성하는 단계를 포함하는 이미지 전사 개선 방법.
  10. 제 1 항에 있어서,
    상기 복수의 사전정의된 편광 조건들은 TM 편광 및 TE 편광을 포함하고, 이때 방사선 시스템의 퓨필 평면에서 대각선들을 따라 TM 편광이 적용되며, 상기 퓨필 평면의 각각의 사분면에서, TM 편광은 데카르트 축들에서 점차적으로 또한 대칭적으로 TE 편광으로 변환되는 이미지 전사 개선 방법.
  11. 제 1 항에 있어서,
    상기 복수의 사전정의된 편광 조건들은 고정된 각도 편광들을 포함하는 이미지 전사 개선 방법.
  12. 제 1 항에 있어서,
    상기 방법은 상기 패터닝 디바이스 레이아웃을 조명하기 위해 σ>1인 어두운 필드 조명을 포함하는 이미지 전사 개선 방법.
  13. 실행 가능한 명령어를 포함하는 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체에 있어서,
    상기 명령어들은 리소그래피 장치를 이용하여 기판 상으로 패터닝 디바이스 레이아웃의 이미지의 전사를 구성하는 방법을 수행하도록 기계에 의해 실행가능하며, 상기 방법은:
    복수의 사전정의된 편광 조건들 각각에 대응하는 제 1 공정을 수행하여, 임계 피처의 상대적으로 더 양호한 재현성과 연계된 리소그래피 반응 값을 유도하는 사전정의된 편광 조건을 선택하는 단계; 및
    제 2 공정을 수행하여, 상기 리소그래피 반응의 원하는 값을 가져올 때까지, 반복적으로 상기 사전정의된 편광 조건의 편광 조건을 조정하는 단계를 포함하고,
    상기 제 2 공정은 상기 제 1 공정에서 사용된 사전정의된 편광 조건들 중 1 이상을 사용하며
    상기 제 2 공정은:
    후보(candidate) 조명 공간 세기 맵을 얻는 단계;
    상기 공간 세기 맵 내의 밝은 조명 지점들을 구별되는 픽셀들 또는 픽셀 그룹들로 분할하는 단계; 및
    상기 리소그래피 반응의 원하는 값을 가져올 때까지, 상기 구별되는 픽셀들 또는 픽셀 그룹들 각각에 각각의 사전정의된 편광 조건들의 세트를 적용함으로써, 상기 구별되는 픽셀들 또는 픽셀 그룹들의 국부적인 편광을 조정하는 단계를 포함하는 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체.
  14. 디바이스 제조 방법에 있어서,
    리소그래피 장치에서 방사선-감응재 층 상으로 패터닝된 방사선 빔을 투영하는 단계를 포함하고,
    상기 방사선은 사전정의된 편광 조건의, 리소그래피 반응의 원하는 값을 가져올 때까지, 반복적으로 조정된 편광 조건을 가지며,
    상기 편광 조건은
    후보(candidate) 조명 공간 세기 맵을 얻는 단계;
    상기 공간 세기 맵 내의 밝은 조명 지점들을 구별되는 픽셀들 또는 픽셀 그룹들로 분할하는 단계; 및
    상기 리소그래피 반응의 원하는 값을 가져올 때까지, 상기 구별되는 픽셀들 또는 픽셀 그룹들 각각에 각각의 사전정의된 편광 조건들의 세트를 적용함으로써, 상기 구별되는 픽셀들 또는 픽셀 그룹들의 국부적인 편광을 조정하는 단계를 포함하는 이미지 전사 개선 방법에 의해 반복적으로 조정되며,
    상기 방사선의 사전정의된 편광 조건은:
    상기 리소그래피 장치의 퓨필 평면의 대각선들을 따른 TM 편광, 및
    상기 퓨필 평면의 각각의 사분면에서의 TE 편광
    을 포함하는 TM 편광 및 TE 편광 조건이며,
    상기 TM 편광은 데카르트 축들 각각에서 점차적으로 또한 대칭적으로 TE 편광으로 변환되며,
    하나의 데카르트 축에서 하나의 대각선 사이 방사선의 편광 방향은 상기 하나의 데카르트 축에서 상기 하나의 대각선으로 갈수록 45 도보다 작은 양만큼 회전되며,
    다른 하나의 데카르트 축에서 상기 하나의 대각선 사이 방사선의 편광 방향은 상기 다른 하나의 데카르트 축에서 상기 하나의 대각선으로 갈수록 45 도보다 작은 양만큼 회전되는 것을 특징으로 하는 디바이스 제조 방법.
  15. 디바이스 제조 방법에 있어서,
    리소그래피 장치에서 방사선-감응재 층 상으로 패터닝된 방사선 빔을 투영하는 단계를 포함하고,
    상기 방사선은, 투과 편광 플레이트, 회절 광학 요소, 또는 투과 편광 플레이트 및 회절 광학 요소에 의해 사전정의된 편광 조건의, 리소그래피 반응의 원하는 값을 가져올 때까지, 반복적으로 조정된 편광 조건을 가지며,
    상기 편광 조건은
    후보(candidate) 조명 공간 세기 맵을 얻는 단계;
    상기 공간 세기 맵 내의 밝은 조명 지점들을 구별되는 픽셀들 또는 픽셀 그룹들로 분할하는 단계; 및
    상기 리소그래피 반응의 원하는 값을 가져올 때까지, 상기 구별되는 픽셀들 또는 픽셀 그룹들 각각에 각각의 사전정의된 편광 조건들의 세트를 적용함으로써, 상기 구별되는 픽셀들 또는 픽셀 그룹들의 국부적인 편광을 조정하는 단계를 포함하는 이미지 전사 개선 방법에 의해 반복적으로 조정되며,
    상기 디바이스 제조 방법은
    상기 방사선 빔을 패터닝하는 패터닝 디바이스를 조명하도록 상기 방사선 빔 내에 σ>1인 어두운 필드 조명을 포함하는 단계를 포함하는 디바이스 제조 방법.
KR1020100127916A 2009-12-15 2010-12-14 리소그래피 장치를 위한 개선된 편광 설계들 KR101763760B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28671609P 2009-12-15 2009-12-15
US61/286,716 2009-12-15

Publications (2)

Publication Number Publication Date
KR20110068918A KR20110068918A (ko) 2011-06-22
KR101763760B1 true KR101763760B1 (ko) 2017-08-01

Family

ID=44129468

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100127916A KR101763760B1 (ko) 2009-12-15 2010-12-14 리소그래피 장치를 위한 개선된 편광 설계들

Country Status (6)

Country Link
US (1) US8982324B2 (ko)
JP (1) JP5745832B2 (ko)
KR (1) KR101763760B1 (ko)
CN (1) CN102096331B (ko)
NL (1) NL2005738A (ko)
TW (1) TWI545619B (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8191016B2 (en) 2009-02-23 2012-05-29 Cadence Design Systems, Inc. System and method for compressed post-OPC data
NL2007303A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Process tuning with polarization.
NL2007306A (en) * 2010-09-23 2012-03-26 Asml Netherlands Bv Source polarization optimization.
US8806391B2 (en) * 2012-07-31 2014-08-12 United Microelectronics Corp. Method of optical proximity correction according to complexity of mask pattern
US8612904B1 (en) * 2012-11-21 2013-12-17 Global Foundries Inc. Use of polarization and composite illumination source for advanced optical lithography
CN104570260B (zh) * 2013-10-23 2020-01-14 张家港恩达通讯科技有限公司 光学积分棒与聚光镜的安装结构
CN106773546B (zh) * 2017-01-06 2018-04-13 中国科学院上海光学精密机械研究所 极紫外光刻三维接触孔掩模衍射谱快速仿真方法
KR102349124B1 (ko) 2017-06-06 2022-01-10 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 장치
EP3688529B1 (en) 2017-09-27 2023-12-13 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
KR20200043585A (ko) * 2018-10-17 2020-04-28 삼성전자주식회사 반도체 패턴의 광 근접 보정 오차 최소화 방법 및 장치
EP4276537A1 (en) * 2022-05-09 2023-11-15 ASML Netherlands B.V. Illumination mode selector and associated optical metrology tool
WO2023213527A1 (en) * 2022-05-03 2023-11-09 Asml Netherlands B.V. Illumination mode selector and associated optical metrology tool

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050134822A1 (en) 2003-12-19 2005-06-23 Asml Masktools B.V. Optimized polarization illumination
US20060126046A1 (en) * 2003-02-11 2006-06-15 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP2006324664A (ja) * 2005-05-16 2006-11-30 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法
JP2008258637A (ja) * 2003-02-21 2008-10-23 Asml Holding Nv 偏光された光によるリソグラフィ印刷

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3747951B2 (ja) * 1994-11-07 2006-02-22 株式会社ニコン 反射屈折光学系
JPH10233361A (ja) * 1996-12-16 1998-09-02 Toshiba Corp 露光方法と露光用マスク
JP3958163B2 (ja) * 2002-09-19 2007-08-15 キヤノン株式会社 露光方法
US7266480B2 (en) 2002-10-01 2007-09-04 The Regents Of The University Of California Rapid scattering simulation of objects in imaging using edge domain decomposition
CN101201552B (zh) * 2002-12-03 2010-12-08 株式会社尼康 光学照明装置、曝光装置以及曝光方法
US7133119B1 (en) 2002-12-17 2006-11-07 Kla-Tencor Technologies Corp. Systems for simulating high NA and polarization effects in aerial images
DE10344010A1 (de) 2003-09-15 2005-04-07 Carl Zeiss Smt Ag Wabenkondensor und Beleuchtungssystem damit
EP1621930A3 (en) * 2004-07-29 2011-07-06 Carl Zeiss SMT GmbH Illumination system for a microlithographic projection exposure apparatus
JP4528580B2 (ja) 2004-08-24 2010-08-18 株式会社東芝 照明光源の設計方法、マスクパターン設計方法、フォトマスクの製造方法、半導体装置の製造方法、及びプログラム
US20060098182A1 (en) 2004-11-05 2006-05-11 Asml Netherlands B.V. Radially polarized light in lithographic apparatus
JP4612849B2 (ja) 2005-03-01 2011-01-12 キヤノン株式会社 露光方法、露光装置及びデバイス製造方法
JP4820870B2 (ja) * 2005-06-13 2011-11-24 エーエスエムエル ネザーランズ ビー.ブイ. アクティブレチクルツールおよびリソグラフィ装置
JP4920041B2 (ja) 2005-10-04 2012-04-18 カール・ツァイス・エスエムティー・ゲーエムベーハー 光学系とりわけマイクロリソグラフィック投影露光機における偏光分布に影響を与えるための装置及び方法
EP1857879A1 (en) * 2006-05-15 2007-11-21 Advanced Mask Technology Center GmbH & Co. KG An illumination system and a photolithography apparatus
US20080158529A1 (en) 2006-12-28 2008-07-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE102008011134A1 (de) 2007-04-03 2008-10-09 Carl Zeiss Smt Ag Verfahren zum Anpassen der Abbildungseigenschaften von wenigstens zwei mikrolithographischen Projektionsbelichtungsanlagen aneinander
US8194231B2 (en) 2007-10-02 2012-06-05 Asml Netherlands B.V. Lithographic apparatus and method
US20090265148A1 (en) * 2008-04-16 2009-10-22 Synopsys, Inc. Modeling a sector-polarized-illumination source in an optical lithography system
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
DE102009055184B4 (de) * 2009-12-22 2011-11-10 Carl Zeiss Smt Gmbh Optisches System, insbesondere einer mikrolithographischen Projektionsbelichtungsanlage
NL2007306A (en) 2010-09-23 2012-03-26 Asml Netherlands Bv Source polarization optimization.
NL2007303A (en) 2010-09-23 2012-03-26 Asml Netherlands Bv Process tuning with polarization.

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060126046A1 (en) * 2003-02-11 2006-06-15 Asml Netherlands B.V. Lithographic apparatus and method for optimizing illumination using a photolithographic simulation
JP2008258637A (ja) * 2003-02-21 2008-10-23 Asml Holding Nv 偏光された光によるリソグラフィ印刷
US20050134822A1 (en) 2003-12-19 2005-06-23 Asml Masktools B.V. Optimized polarization illumination
JP2006324664A (ja) * 2005-05-16 2006-11-30 Asml Netherlands Bv リソグラフィ装置およびデバイス製造方法

Also Published As

Publication number Publication date
JP2011129907A (ja) 2011-06-30
US8982324B2 (en) 2015-03-17
US20110139027A1 (en) 2011-06-16
JP5745832B2 (ja) 2015-07-08
TW201207893A (en) 2012-02-16
CN102096331B (zh) 2014-10-15
CN102096331A (zh) 2011-06-15
KR20110068918A (ko) 2011-06-22
NL2005738A (en) 2011-06-16
TWI545619B (zh) 2016-08-11

Similar Documents

Publication Publication Date Title
KR101763760B1 (ko) 리소그래피 장치를 위한 개선된 편광 설계들
JP4617272B2 (ja) 二重露光リソグラフィを実行するための方法、プログラム製品及びデバイス製造方法
KR100860328B1 (ko) 4분의 1 파장의 리소그래피에서 초점심도를 향상시키는 모델 기반 스캐터링 바아 배치를 위한 방법, 컴퓨터 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체 및 장치
JP4262175B2 (ja) 適応リソグラフィ短寸法エンハンスメント
KR100700367B1 (ko) 리소그래피 장치 및 디바이스 제조방법
KR20120098754A (ko) 소스 및 마스크 최적화를 위한 패턴 선택 방법
KR20210024621A (ko) 시뮬레이션 시스템을 위한 웨이퍼 층의 에칭 프로파일을 결정하는 방법
US9563135B2 (en) Process tuning with polarization
KR102649174B1 (ko) 협소화 대역폭을 이용한 이미징 방법 및 장치
US7374869B2 (en) Lithographic processing method and device manufactured thereby
CN106255925B (zh) 稠密特征的热点的减少
JP2013540348A (ja) マイクロリソグラフィ投影露光装置の光学系及び像配置誤差を低減する方法
JP5068844B2 (ja) リソグラフィ方法及びリソグラフィ装置
US7316870B2 (en) Device manufacturing method, mask set for use in the method, data set for controlling a programmable patterning device, method of generating a mask pattern and a computer program
KR102063229B1 (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
KR102655261B1 (ko) 소스 및 마스크 최적화로 이상적인 소스 스펙트럼들을 생성하는 방법
TW201632984A (zh) 使用圖案化裝置形貌誘導相位之方法及設備
JP5191464B2 (ja) リソグラフィパターンの分割方法、当該分割方法を含むリソグラフィ処理方法及びデバイス製造方法、並びに前記分割方法で製造されるマスク
US7496882B2 (en) Optimization to avoid sidelobe printing
EP1467256A1 (en) Device manufacturing method and mask set for use in the method
JP2008172086A (ja) 露光装置および露光方法

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant