KR101762528B1 - 플라즈마 도핑을 위한 도펀트의 고체 상태 도입 - Google Patents

플라즈마 도핑을 위한 도펀트의 고체 상태 도입 Download PDF

Info

Publication number
KR101762528B1
KR101762528B1 KR1020157036805A KR20157036805A KR101762528B1 KR 101762528 B1 KR101762528 B1 KR 101762528B1 KR 1020157036805 A KR1020157036805 A KR 1020157036805A KR 20157036805 A KR20157036805 A KR 20157036805A KR 101762528 B1 KR101762528 B1 KR 101762528B1
Authority
KR
South Korea
Prior art keywords
oxygen
doping
substrate
plasma
process chamber
Prior art date
Application number
KR1020157036805A
Other languages
English (en)
Other versions
KR20160015288A (ko
Inventor
페터 엘.지. 벤트젝
유우키 고바야시
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160015288A publication Critical patent/KR20160015288A/ko
Application granted granted Critical
Publication of KR101762528B1 publication Critical patent/KR101762528B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2233Diffusion into or out of AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

비-평탄 표면 또는 불량 뷰 팩터에 영향을 받는 기판의 표면을 도핑하는 방법이 제공된다. 프로세싱 챔버는 산소-함유 재료를 가지는 프로세싱 챔버의 윈도우, 벽 및 바닥부를 포함하고, 프로세스 챔버는 첨가물로서 산소 라디칼을 하나 이상의 도핑 재료에 공급하도록 구성된다. 하나 이상의 석영 피스가 프로세싱 챔버 내에 배치되고, 여기서 프로세싱 챔버에 근접한 자석은 프로세싱 챔버 내에 로컬 마그네트론 플라즈마를 생성하도록 구성된다. 불활성 가스, 승화된 도핑 재료 및 선택적으로 산소 가스를 함유하는 프로세스 가스가 프로세싱 챔버 내로 흐른다.

Description

플라즈마 도핑을 위한 도펀트의 고체 상태 도입{SOLID STATE INTRODUCTION OF DOPANTS FOR PLASMA DOPING}
본 출원은 도켓 번호 TEA-100PROV인 2013년 5월 29일에 출원된 "SOLID STATE SOURCE INTRODUCTION OF DOPANTS AND ADDITIVES FOR A PLASMA DOPING PROCESS"란 명칭의 계류중인 미국 특허출원 일련번호 제 61/828,257 호에 관련되고, 그 전체는 본원에 인용에 의해 포함된다.
본 개시물은 기판을 프로세싱하기 위한 방법 및 시스템에 관한 것으로, 더 구체적으로 도펀트 농도(dopant concentration), 목표 시트 저항(target sheet resistance)을 달성하고, 기판에서의 피처(feature)의 원하지 않는 도펀트 클러스터링(dopant clustering) 및 부식(erosion)을 최소화하기 위해 도핑 프로세스(doping process)의 동작 변수를 제어하기 위한 방법 및 시스템에 관한 것이다.
실리콘 나노와이어(silicon nanowires)와 같은 평탄한 또는 비-평탄한 구조인 실리콘 웨이퍼 상의 전계 효과 트랜지스터(field effect transistors)를 포함하는 반도체 디바이스를 제조하는데 있어서 평탄성의 결여에 의해 방해받지 않는 디바이스를 도핑하거나 활성화하는 방법을 필요로 한다. 플라즈마 도핑(plasma doping)은 원하는 량(dose)을 획득하기 위해 도펀트 원자 수가 웨이퍼 상의 필요한 수에 대강 비례하도록 가스 스트림(gas stream)에 도펀트 전구체(dopant procursors)가 추가되는 것을 의미한다. 공급 가스 스트림(feed gas stream)은 불활성 캐리어 가스(inert carrier gas)를 포함하고 전구체 가스로 매우 얇다. 전구체 가스는 배경 플라즈마에서 자유 도펀트 원자(free dopant atoms)로 분리되고, 불활성 캐리어 가스 이온과 함께 그 자유 원자는 웨이퍼와 충돌하고, 활동성 이온은 도펀트 원자가 표면을 차지하게 허용하면셔 표면을 비정질화한다(amorphize)(제 1 소수 원자 층을 무질서하게(disorder) 한다). 도핑 프로세스에 후속하는 어닐링이 서브-표면(sub-surface)에서의 도펀트를 활성화시킨다.
플라즈마 도핑은 비-평탄 구조 위의 전기적 저항 및 접합 깊이를 제어하여 실리콘 서브-표면내로 도펀트 종을 통합하는 것을 목적으로 한다. 플라즈마 도핑은 최소 손상을 가지고, 능동 디바이스 무결성을 최소로 희생하고 및 후속적인 프로세스 단계와의 호환성을 가지는 실리콘 서브-표면에서 적절한 깊이에 걸쳐 적절한 량이 분배되게 보장한다. 플라즈마 도핑에 대한 핵심 도전과제는 높은 량 조건 하에서 발생하는 클러스터링 현상의 존재시에 양호한 균일도를 가지는 적절한 량을 획득하는 것이다.
낮은 시트 저항(sheet resistance)을 달성하는 일부 일반적인 방법은: a) 도펀트를 포함하는 프로세스 가스의 흐름 속도를 증가시키는 것; 그리고 b) 웨이퍼 스테이지 상의 RF 바이어스 파워를 증가시키는 것을 포함한다. 양쪽의 간단한 솔루션은 상당한 문제점을 발생시킨다. 높은 도펀트 흐름 속도는 실제로 도펀트 손실을 발생시키는 도펀트 클러스터링을 발생시키고; 도입하는 도펀트의 흐름 범위가 또한 상당한 균일도 문제를 생산할 수 있다. 웨이퍼에서의 무선 주파수(radio frequency: RF) 바이어스는 활동성 이온에 의한 부식을 야기하여 접합 깊이(Xj)로 지칭되는 도펀트 깊이의 제어능력을 감소시킨다.
도펀트 농도가 임계값을 초과할 때 비소(arsenic), 인(phosphorus) 및 붕소(boron) 도핑 프로세스에서 도펀트 원자의 클러스터링이 발생한다. 실리콘 서브-표면에서, 도펀트 원자 농도가 이러한 임계적 문턱값(critical threshold value)을 초과한다면, 도펀트 원자는 이웃하는 도펀트 원자 및 공격자점(vacancy)에 결합하고 "클러스터"를 형성한다. 어닐링 프로세스에서, 상승 온도에서 대개 휘발성의 클러스터는 량의 손실과 함께 승화한다(sublime). 임의의 나머지 클러스터는 전기적으로 비활성 상태이고 비-확산 특성을 가진다. 비소 도핑에서, 전형적인 클러스터는 4개의 비소 원자 및 공격자점으로 이루어진다. 많은 서로 다른 종류의 클러스터가 존재한다. 그 종류가 무엇이든, 클러스터는 유효한 캐리어의 수를 감소시키고 도펀트 확산을 방해한다. 마지막으로, 유효 캐리어 밀도의 감소 및 얕은 확산 깊이로 인해 시트 저항이 증가한다. 높은 량 및 낮은 시트 저항을 달성하기 위해, 도펀트 클러스터링을 금지시키고 량 흡수(uptake)를 촉진하는 프로세스가 필요하다.
"산화 증진 확산(oxidation enhanced diffusion)"은 산소 원자가 실리콘 증진 도펀트 확산에 통합되고 도펀트 클러스터링을 방지하는 것을 의미한다. 이러한 현상은 프로세스 및 어닐링 동안 효과적인 것으로 알려진다. 본 출원인은 소량의 산소 원자가 실리콘에서 함께 통합되면서 도펀트 원자가 또한 플라즈마 도핑에서의 도펀트 통합을 촉진시킨다는 것을 재확인하였다. 어닐링 프로세스 동안 실리콘 서브-표면의 산화로, 산소 원자는 실리콘 원자 결합을 방해하고, 비-결합 실리콘 원자와의 포지션(positions)을 스위칭하고, 다른 실리콘 원자와 결합한다. 많은 삽입형 실리콘 원자(interstitial silicon atoms)가 발생된다. 삽입형 실리콘 원자는 공격자점과 결합하고, 공격자점 밀도를 감소시킨다. 그 결과는 (다른 도펀트 종의 예시인) 비소 원자가 클러스터를 형성하기 위한 파트너(parteners)(: 공격자점)를 발견할 수 없는 것이다. 클러스터링이 방지된다.
응력 매개 확산(stress mediated diffusion)이 또한 역할을 한다. 표면 층의 산화는 응력 장(stress field)이 막 내로 전파하게 한다. 이러한 효과는 산화물의 존재가 산화물-실리콘 계면에서의 "새의 부리(bird's beak)" 효과를 발생시키는 플라즈마 에칭과 같은 다른 필드에서도 나타난다. 응력 매개 확산은 도펀트 확산을 촉진시킨다.
현재의 첨가물 추가 방법에는 여러 문제점이 존재한다. 전형적으로, 상당한 문제점을 안고 있는 어닐링 분위기에 산소 가스가 추가되는 어닐링 단계에서 첨가물 추가 방법이 이용된다. 실리콘 표면 또는 다른 챔버 표면 상의 너무 많은 산화는 스루풋(throughput)을 저하시키는 클리닝 프로세스(cleaning process)를 요구하게 할 수 있다.
가스 스트림에서의 첨가물의 도입은 첨가물을 제어하기 위한 유용한 방식이다. 산소 또는 다른 전구체를 가스 스트림 내로 추가하는 것의 문제점은 특별한 솔루션, 예를 들어 고속 가스 스위칭(fast gas switching)이 없이는, 웨이퍼에 대한 첨가물의 유량(flux)을 제어하는 것이 어렵다는 것이다. 가스 스트림에 대한 산소의 추가, RF 바이어스 및 높은 도펀트 흐름 속도와 같은 간단한 솔루션은 웨이퍼에 걸쳐 균일하게 높은 량을 제공하는데 스스로 부적합함에 따라 플라즈마 도핑 프로세스에 산소 또는 다른 도펀트 첨가물을 추가하기 위한 솔루션이 필요하다. 또한 가스 스트림에 산소를 추가하는 것과 관련된 근본적인 결함이 존재한다. 상당한 양으로 산소(분자)를 추가하는 것은 또한 부착 프로세스를 통해 플라즈마의 전기음성도(electronegativity) 정도를 증가시킨다.
불량 뷰 팩터(view factors)에 영향을 받는 기판의 비-평탄 표면 또는 표면을 도핑하는 방법이 제공된다. 프로세스 챔버는 윈도우(window), 벽(walls) 및 산소-함유 재료를 가지는 프로세스 챔버의 바닥부를 포함하고, 프로세스 챔버는 첨가물로서 산소 라디칼(radicals)을 도핑 재료에 공급하도록 구성된다. 하나 이상의 석영 조각(quartz pieces)이 프로세스 챔버 내에 배치되고, 프로세스 챔버에 근접한 자석은 프로세스 챔버 내에 로컬 마그네트론 플라즈마(local magnetron plasma)를 생성하도록 구성된다. 불활성 가스를 함유하는 프로세스 가스는 도핑 재료를 승화시키고 선택적으로 산소는 프로세스 챔버 내로 흐른다; 프로세스 가스에 에너지가 인가되어, 기판 상의 피처의 도펀트 농도, 시트 저항, 도펀트 클러스터링의 정도 및 부식의 목표 균일도를 달성하기 위해 동작 변수를 제어하면서 기판의 표면의 일부분을 노출시키기 위해 사용되는 도핑 플라즈마를 발생시킨다.
본 명세서에 통합되고 일부를 구성하는 첨부 도면은 본 발명의 실시예를 도시하고, 상기에 주어진 본 발명의 개괄적 설명 및 이하에 주어지는 상세한 설명과 함께, 본 발명을 설명하기 위한 것이다.
도 1은 열적 필드 방출 애플리케이션(thermal field emission application)에서의 고체 상태 산소 소스를 사용하는 예시적인 종래 시스템이다;(R. Speidel 및 E.R. Weidlich, "A SOLID STATE OXYGEN SOURCE FOR UHV"의 도 4);
도 2는 어닐링 프로세스(annealing process) 전의 그리고 후의 실리콘 층에서의 종의 도펀트(비소) 농도 대 도펀트의 깊이 프로파일의 예시적인 그래프이다;
도 3은 기판 중심 및 기판 에지에서의 농도 비율을 비교하는, 도핑된 비소의 시트 저항 대 산소량의 예시적인 그래프이다;
도 4는 본 발명의 일 실시예에서의 프로세싱 챔버의 석영 또는 알루미나 윈도우 및 벽의 예시적인 아키텍처 도이다;
도 5는 본 발명의 다른 실시예에서의 프로세싱 챔버의 석영 또는 알루미나 윈도우 및 벽의 예시적인 아키텍처 도이다;
도 6은 본 발명의 다른 실시예에서의 프로세싱 챔버에서 마그네트론 소스의 예시적인 아키텍처 도이다;
도 7은 본 발명의 다른 실시예에서의 프로세싱 챔버에서 라디오 주파수(RF) 바이어스된 마그네트론의 예시적인 아키텍처 도이다;
도 8은 본 발명의 다른 실시예에서의 도핑 프로세스 이전에 프로세싱 챔버에서의 사전-증착(pre-deposition) 전략의 예시적인 아키텍처 도이다;
도 9는 본 발명의 일 실시예에서 플라즈마 도핑 시스템을 사용하는 방법의 예시적인 흐름도이다;
도 10은 본 발명의 일 실시예에서의 플라즈마 도핑 시스템을 제어하기 위한 방법 단계의 예시적인 흐름도이다;
도 11은 본 발명의 일 실시예에서 도펀트 및 첨가물의 고체 상태 소스 도입을 활용하여 플라즈마 도핑 프로세스의 프로세스 시퀀스에서 사용되는 제어 시스템의 예시적인 간략 개략도이다;
도 12a는 도핑 플라즈마 모듈에서의 칠링(chilled) 표면 및 응축된 산소 또는 산소 함유 재료 및 산소 가스의 예시적인 아키텍처 도인 한편 도 12b는 본 발명의 다른 실시예에서 도핑 플라즈마 모듈에서의 사전-분리된 산소 분자의 예시적인 아키텍처 도이다.
플라즈마 도핑 프로세스를 위한 도펀트 및 첨가물의 고체 상태 소스 도입이 다양한 실시예에서 개시된다. 그러나, 당업자는 다양한 실시예가 하나 이상의 특정 상세 없이, 또는 다른 교체 및/또는 추가적인 방법, 재료 또는 컴포넌트로 실시될 수 있음을 깨달을 것이다. 다른 사례에서, 본 발명의 다양한 실시예의 양상을 모호하지 않게 하기 위해 잘-알려진 구조, 재료 또는 동작은 상세하게 도시되거나 설명되지 않는다.
유사하게, 설명의 목적을 위해, 본 발명의 완전한 이해를 제공하기 위해 특정 숫자, 재료 및 구성이 설명된다. 그럼에도 불구하고, 본 발명은 특정 상세 없이 실시될 수 있다. 더욱이, 도면에 도시되는 다양한 실시예는 예시적인 표현이고 실척으로 그려지지 않아도 됨이 이해된다. 도면을 참조하여, 유사한 부호는 전반의 유사한 부분을 지칭한다. 이전에 설명된 일부 디바이스 또는 컴포넌트는 이후의 도면에서 도시될 수 있지만 논의되는 피처에 관한 포커스(focus)를 유지하기 위해 설명되거나 참조되지 않는다.
본 명세서 전반의 "일 실시예" 또는 "실시예" 또는 그 변형에 관한 참조는 그 실시예와 연관하여 설명된 특정 피처, 구조, 재료 또는 특성이 본 발명의 적어도 하나의 실시예에 포함되지만 모든 실시예에 나타남을 표기하는 것이 아님을 의미한다. 따라서, 본 명세서 전반의 다양한 곳에서 "일 실시예에서" 또는 "실시예에서"와 같은 어구의 표현은 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 더욱이, 특정의 피처, 구조, 재료 또는 특성은 하나 이상의 실시예에서 임의의 적합한 방식으로 조합될 수 있다. 다양한 추가적인 층 및/또는 구조가 포함될 수 있고 및/또는 설명된 피처가 다른 실시예에서 생략될 수 있다.
추가로, "a" 또는 "an"은 명시적으로 달리 서술되지 않는 한 "하나 이상"을 의미할 수 있음이 이해될 것이다. 다양한 동작이 본 발명을 이해하는데 가장 도움이 되는 방식으로 차례로 다수의 별개 동작으로서 설명될 것이다. 그러나, 설명의 순서는 이들 동작이 반드시 순서 종속적임을 암시하는 것으로 해석되어서는 안 된다. 특히, 이들 동작은 제시 순서로 수행되지 않아도 된다. 설명된 동작은 설명된 실시예와 다른 순서로 수행될 수 있다. 다양한 추가적인 동작이 수행될 수 있고 및/또는 설명된 동작이 추가적인 실시예에서 생략될 수 있다.
본원에 사용된 바와 같이, 용어 "방사선 감지 재료"는 포토레지스트와 같은 감광성(photosensitive) 재료를 의미하고 포함한다. 본원에 사용된 바와 같이, 용어 "기판" 또는 "웨이퍼"는 베이스 재료(base material) 또는 재료가 형성될 때의 구성을 의미하고 포함한다. 기판은 단일 재료, 복수의 서로 다른 재료 층, 서로 다른 재료 또는 서로 다른 구조의 구역을 가지는 층 또는 층들 등을 포함할 수 있음이 인식될 것이다. 이들 재료는 반도체, 절연체, 전도체(conductors) 또는 그 조합을 포함할 수 있다. 예를 들어, 기판은 반도체 기판, 지지 구조 상의 베이스 반도체 층, 금속 전극 또는 그 위에 형성되는 하나 이상의 층, 구조 또는 구역을 가지는 반도체 기판일 수 있다. 기판은 종래의 실리콘 기판 또는 반도체 재료 층을 포함하는 다른 벌크 기판일 수 있다. 본원에 사용된 바와 같이, 용어 "벌크 기판(bulk substrate)"은 실리콘 웨이퍼뿐 아니라, 실리콘-온-사파이어(silicon-on-sapphire: SOS) 기판 및 실리콘-온-유리(silicon-on-glass: SOG)와 같은 실리콘-온-절연체(SOI) 기판, 베이스 반도체 기반의 실리콘의 에피택셜 층 및 실리콘-게르마늄, 게르마늄, 갈륨 비화물, 갈륨 질화물 및 인듐 인화물과 같은 다른 반도체 또는 광전자 재료를 의미하고 포함한다.
도 1은
Figure 112015127640235-pct00001
Figure 112015127640235-pct00002
Figure 112015127640235-pct00003
에 의해 설명된 바와 같은 열적 필드 방출 애플리케이션에서 고체 상태 산소 소스를 사용하는 예시적인 종래의 시스템(100)이다. 고체 상태 산소 소스 개념은 가열 디바이스(heating device), 예를 들어 가열 코일(heating coils)(120)에 의해 가열되는, 프로세스 챔버(108)에서의 열적으로 분해가능한 금속 산화물(104), 예를 들어 구리 산화물을 가열하는 것에 기초한다. 분해된 재료(112)는 약 3.5·10-6 mbar의 초고진공(ultra high vacuum: UHV)을 사용함으로써 프로세스 챔버에서 더 프로세싱되고 산소 원자(124)로서 노즐(116)을 통해 지시된다. 산소 원자 흐름의 방향 및 속도는 산소 원자의 부분 압력, 분해 재료(112)의 총 압력 및 노즐(116)과 배기 시스템(도시되지 않음)의 설계를 이용하여 제어될 수 있다.
도 2는 어닐링 프로세스 전후의 실리콘 층에서의 종의 도펀트의 도펀트(비소) 농도 대 도펀트의 깊이 프로파일의 예시적인 그래프(200)이다. 제 1 Y-축은 다양한 도펀트 층의 cm-3에서의 농도인 한편 제 2 Y-축은 원자 단위, a.u.에서의 산소/실리콘 강도의 농도이다. X-축은 기판 경우의 도펀트의 깊이인, nm로 표현된다. 어닐링 프로세스 전에, 기판의 층은 비소 산화물(AsO) 층, 비소 실리콘 산화물(AsSiO) 층 및 실리콘 서브-층을 포함한다. AsO 및 AsSiO 층은 저압 어닐링(low pressure anneal: LPA) 프로세스 동안 증발되었다. 사전-어닐링 As 곡선(208)은 약 1023 원자 cm-3의 피크 농도(204)를 가지는 한편 사후-어닐링 As 곡선(220)은 약 1020 원자 cm-3의 피크 농도(236)를 가진다. 사전-어닐링 산소, O 곡선(224)은 약 1019.8 원자 cm-3의 피크 농도(232)를 가지는 한편 사후-어닐링 O 곡선(228)은 약 1019.5 원자 cm-3의 피크 농도(226)를 가진다. 사전-어닐링 실리콘, Si 곡선(212)은 약 1027.5 원자 cm-3의 피크 농도(240)를 가지는 한편 사후-어닐링 Si 곡선(216)은 약 1027.6 원자 cm-3의 피크 농도(242)를 가진다.
도 3은 기판 중심 및 기판 에지에서의 농도 비율을 비교하는, 도핑된 비소의 시트 저항 대 산소량의 예시적인 그래프(300)이다. 도 3은 시트 저항(RS)과, 다이아몬드 데이터 포인트(diamond data points)(308)에 의해 지시되는 웨이퍼 중심 및 정사각형 데이터 포인트(312)에 의해 지시되는 웨이퍼 에지에서의 산소 농도 비율 사이의 상관관계를 도시한다. RS는 Y-축에서의 As[ohm/sq x %]로서 표현된다. Si 표면 상의 산소 농도 비율은 X-축에 도시되고 X-선 광전자 분광법(X-ray photoelectron spectroscopy: XPS)을 이용하여 측정되었다. Si 표면은 실리콘 원자, 산소 원자 및 비소 원자만을 포함한다. 발명자는 실험에서 곡선(304)의 기울기에서 도시된 바와 같이, 산소 농도를 증가시키면서 시트 저항(RS)이 감소됨을 확인하였다. 이러한 현상은 "산화 증진 확산" 또는 OED로 지칭될 수 있고 본 발명에서 사용된 고체 소스 산소 도입을 위한 핵심 발명 개념이다.
도 4는 본 발명의 실시예에서 프로세싱 챔버의 석영 또는 알루미나 윈도우(404) 및 벽(408)을 포함하는 기판 프로세싱 시스템(402)의 예시적인 아키텍처 도(400)이다. 기판 프로세싱 시스템(402)은 웨이퍼 스테이지(412) 상에 존재하는, 웨이퍼(440)에 대한 도펀트 첨가물(452)(벽(456, 428 및 436)으로부터의 산소)의 전달을 제어하기 위해 프로세싱 챔버 벽(408) 및 재료를 포함한다. 기판 프로세싱 시스템(402)은 챔버 공간(460)에 도펀트-함유 프로세스 가스(424)를 도입하도록 구성되고, 배기 시스템(416)을 더 포함한다. 플라즈마 도핑 프로세스를 위한 챔버 구성은 전구체(precursor) 및 불활성 가스 원자를 포함하는 프로세스 가스의 분리를 위해 사용되는 플라즈마 소스의 타입에 의존한다. 일 실시예에서, 기판 프로세싱 시스템(402)은 방사상 라인 슬롯 어레이(RLSA) 플라즈마 소스를 사용한다. 용량성 커플링 플라즈마(capacitive coupled plasmas: CCP) 또는 유도성 커플링 플라즈마(inductive coupled plasma: ICP)와 같은 다른 플라즈마 소스가 또한 사용될 수 있다. 파워 소스(420)는 도파관(waveguide)(도시되지 않음)으로부터 안테나 및 윈도우를 통해 도입되는 마이크로파 또는 라디오 주파수(radio frequency: RF) 파워와 같은 파워를 공급할 수 있다. 다른 타입의 파워 소스가 또한 사용될 수 있다. 일반적으로, 산소-함유 윈도우(404)는 알루미나(Al2O3)이다. 대안적으로, 석영(SiO2) 및 다른 유사한 재료가 또한 사용될 수 있다. 석영이 사용된다면, 플라즈마 위의 석영 윈도우가 활동성 플라즈마 이온(458, 464 및 472)에 의해 에칭되고, 산소 원자는 안쪽 석영 윈도우로부터 챔버 공간(460)으로 나간다. 스퍼터링 속도는 산소 공급량을 제어하기 위해, 파워 소스(420) 및 결과적인 이온 유량(458, 464 및 472)에 의해 주로 제어된다. 산소 함유 벽(408)은 산화이트륨(yttria) 코팅을 가지는 알루미나로 구성될 수 있다. 금속 산화물과 같은 다른 산소 함유 재료가 산소 함유 벽(408)을 위해 사용될 수 있다. 도핑은 산소(448), 도펀트(452) 및 이온(444)의 유량에 의해 영향받는다.
도 5는 본 발명의 다른 실시예에서 프로세싱 챔버(546)의 석영 또는 알루미나 윈도우(504) 및 벽(508)의 예시적인 아키텍처 도이다. 도 5의 프로세싱 시스템(502)은 프로세싱 챔버(546) 내의 산소-함유 재료의 첨가를 제외하고는 도 4의 시스템(402)과 유사하다. 예시적인 실시예에서, (배기 시스템(516)을 포함하는) 프로세싱 챔버(546)의 내부 공간(560)에서의 플라즈마를 발생시키기 위해 도펀트-함유 가스(524)와 함께 윈도우(504)를 통해 마이크로파 파워(520)가 커플링된다. 산소-함유 재료(530)는 프로세싱 챔버(546)의 하나 이상의 벽(508) 근처에 또는 부착되는 석영 또는 금속 산화물일 수 있다. 일 실시예에서, 프로세싱 챔버(546)내로의 산소의 도입 제어는 마이크로파 파워(520) 또는 웨이퍼 바이어스 파워(도시되지 않음)를 제어하는 것과 무관하다. 다른 실시예에서, 윈도우(504) 상의 이온(558, 564 및 572)의 수율을 증가시키고 따라서 플라즈마(568)에 대한 산소 원자(528, 536 및 556)의 유량을 제어하고 웨이퍼 스테이지(512) 상의 기판(540)에 대한 산소 원자의 유량(552), 도펀트 원자의 유량(548) 및 이온의 유량(544)을 제어하기 위해 근처의 또는 부착된 산소-함유 재료(530)를 가지는 석영 윈도우(504)의 펄스 바이어싱(526) 및/또는 하나 이상의 벽(508)의 펄스 바이어싱(509)이 이루어진다.
도 6은 프로세싱 챔버(546)에서의 마그네트론 소스의 첨가를 제외하고 도 5에서와 동일한 프로세싱 시스템(502)을 포함하는 본 발명의 다른 실시예에 따른 예시적인 아키텍처 도이다. 표면으로부터의 금속 질화물과 같은 유전체 재료의 증착을 위한 경우에서와 같이, 부식 속도 및 그에 따른 플라즈마(568) 및 기판(540)상으로의 산소 주입 속도를 강화하기 위해 NS(north-south) 극성(605)을 가지는 자석 및 SN(south-north) 극성(606)을 가지는 자석을 포함하는 마그네트론(605 및 606)이 구성된다. 마그네트론(605 및 606)은 기판(540) 표면 상에 충돌하는 산소의 일부 공간적 제어 레벨로 프로세싱 챔버(546)를 제공하기 위해 서로 다른 부분의 프로세싱 챔버(546)에 배치될 수 있다. 다른 표면은 또한 프로세싱 챔버(546) 내로 유익한 산소를 제공할 수 있다. 상기에 언급된 바와 같이, 하나 이상의 산소-함유 챔버 벽(508)은 산화이트륨 코팅을 가지는 알루미나를 포함할 수 있다. 추가로, 하나 또는 산소-함유 석영 플레이트(530)가 웨이퍼 스테이지(512) 주변에 설치될 수 있거나 바람직하게 침식될 수 있는 하나 이상의 챔버 주변에 설치될 수 있다. 도핑 프로세스에서, 시스(sheath)로부터의 활동적 이온에 의해 하나 이상의 플레이트(plates)(530)가 에칭되고 산소 원자는 챔버 공간(560) 내로 제공된다; 유사하게, 윈도우(504)는 시스로부터의 활동적 이온에 의해 에칭되고 산소 원자는 챔버 공간(560) 내로 제공된다. 기판 스테이지의 시스템 파워 소스와 다른 RF 바이어스 파워(509)가 산소-함유 석영 플레이트(530)에 인가될 수 있다. 챔버 공간(560) 내로의 산소의 스퍼터링 속도는 마이크로파 파워 소스(520) 및 RF 바이어스 파워 소스(509 및 526)와 같은 파워 소스를 사용하여 제어된다.
도 7은 증착/실리콘 플라즈마 모듈(702), 산화 플라즈마 모듈(802) 및 도핑 플라즈마 모듈(902)을 포함하는 본 발명의 실시예에서의 프로세스 모듈의 예시적인 아키텍처 도(700)이다. 증착/실리콘 플라즈마 모듈(702)은 실란(silane) 또는 다른 전구체 가스(714)를 프로세싱 챔버(710) 내로 도입하고 실리콘 증착 플라즈마(732)를 생성하도록 구성된다. 프로세싱 챔버(710)는 알루미나 또는 석영과 같은 산소-함유 재료로 이루어지는 윈도우(712) 및 산소-함유 재료로 이루어지는 하나 이상의 벽(716)을 포함한다. 더욱이, 프로세싱 챔버(710)는 스테이지(724) 및 배기 시스템(728)상에 포지셔닝되는 기판(720)을 포함한다. 실리콘 증착 플라즈마(732)는 프로세싱 챔버(710)의 윈도우(712) 및 하나 이상의 벽(716) 상에 실리콘 막(708)을 증착한다.
도 7은 프로세스 가스 또는 다른 전구체 가스(812)로부터의 산소 가스를 사용하여 산화물 층을 프로세싱 챔버(814) 내로 도입하고 산화 플라즈마(836)를 생성하도록 구성되는 산화 플라즈마 모듈(802)을 또한 포함한다. 프로세싱 챔버(814)는 알루미나 또는 석영과 같은 산소-함유 재료로 이루어지는 윈도우(816) 및 산소-함유 재료로 이루어지는 하나 이상의 벽(820)을 포함한다. 더욱이, 프로세싱 챔버(814)는 스테이지(828) 및 배기 시스템(830) 상에 포지셔닝되는 기판(824)을 포함한다. 산화 플라즈마(836)는 윈도우(816)의 안쪽에 그리고 Si 막(808) 층 위의 하나 이상의 벽상에 산화물 층(804)을 증착한다.
도 7은 또한 프로세싱 챔버(918) 내로 도핑 가스 혼합물(914)을 도입하고 파워 소스(912)로부터 인가되는 에너지의 결과로서 도핑 플라즈마(940)를 생성하도록 구성되는 도핑 플라즈마 모듈(902)을 포함한다. 파워 소스(912)는 마이크로파, RF, 직류 또는 교류 파워 소스일 수 있다. 프로세싱 챔버(918)는 알루미나 또는 석영과 같은 산소-함유 재료로 이루어지는 윈도우(920) 및 산소-함유 재료로 이루어지는 하나 이상의 벽(928)을 포함한다. 더욱이, 프로세싱 챔버(918)는 스테이지(916) 및 배기 시스템(936)상에 포지셔닝된 기판(920)을 포함한다. 도핑 플라즈마(940)는 실리콘 막(908) 위에 증착되는 산화물 층(904)으로부터 산소 유량(924)에 대해 스테이지(916) 상에 포지셔닝되는 기판(920)의 표면 일부분을 노출시키고, 여기서 산화물 층(904) 및 실리콘 막(908)은 상술한 증착/실리콘 플라즈마 모듈(702) 및 산화 플라즈마 모듈(902)과 같은 프로세스 모듈을 사용하여 윈도우(920)의 안쪽 및 하나 이상의 벽(928) 상에 코팅된다. 프로세싱 챔버(918)는 또한 결합 도펀트(932), 이온(928) 및 결합 산소 및 실리콘(924) 가스의 흐름이 스테이지(916) 상에 포지셔닝되는 기판(920)의 표면 일부분을 노출시키게 하는 배기 시스템(936)을 포함한다. 증착/실리콘 플라즈마 모듈(702), 산화 플라즈마 모듈(802) 및 도핑 플라즈마 모듈(902)을 위한 동일한 프로세싱 모듈이 사용될 수 있다. 공급된 산소량(924)의 기판 표면에서의 균일도는 도 11과 관련하여 상세하게 논의된 제어 시스템에 의해 제어될 수 있다. 더욱이, 기판 층에서의 시트 저항 균일도는 동일한 제어 시스템으로 제어될 수 있다. 광 방출 분광법 또는 플라즈마 임피던스의 모니터링은 도펀트량(932)에 대한 산소 함량(924)이 상술한 제어 시스템을 사용하여 제어될 수 있는 방법이다.
도 8은 증착/실리콘 플라즈마 모듈(1002), 도펀트 증착 플라즈마 모듈(1102) 및 도핑 플라즈마 모듈(1202)을 포함하는 본 발명의 실시예에서의 프로세스 모듈의 예시적인 아키텍처 도(1000)이다. 증착/실리콘 플라즈마 모듈(1002)은 실란 또는 다른 전구체 가스(1014)를 프로세싱 챔버(1012) 내로 도입하고 실리콘 증착 플라즈마(1036)를 생성하도록 구성된다. 프로세싱 챔버(1012)는 알루미나 또는 석영과 같은 산소-함유 재료로 이루어지는 윈도우(1016) 및 산소-함유 재료로 이루어지는 하나 이상의 벽(1020)을 포함한다. 더욱이, 프로세싱 챔버(1012)는 스테이지(1028) 및 배기 시스템(1032)상에 포지셔닝되는 기판(1024)을 포함한다. 실리콘 증착 플라즈마(1036)는 프로세싱 챔버(1012)의 윈도우(1016)의 내부 표면 및 하나 이상의 벽(1020) 상에 실리콘 막(1008)을 증착한다.
도 8은 수소화인(phosphine) 또는 다른 전구체 가스(1116)를 사용하여 도펀트 증착 층을 프로세싱 챔버(1118) 내로 도입하고 도펀트 플라즈마(1136)를 생성하도록 구성되는 도펀트 증착 플라즈마 모듈(1102)을 또한 포함한다. 프로세싱 챔버(1118)는 알루미나 또는 석영과 같은 산소-함유 재료로 이루어지는 윈도우(1112) 및 산소-함유 재료로 이루어지는 하나 이상의 벽(1120)을 포함한다. 더욱이, 프로세싱 챔버(1118)는 스테이지(1128) 및 배기 시스템(1132) 상에 포지셔닝되는 기판(1124)을 포함한다. 도펀트 플라즈마(1136)는 윈도우(1112)의 안쪽에 그리고 하나 이상의 벽(1120)의 안쪽에 도펀트 증착 층(1104)을 증착하고, 도펀트 증착 층(1104)은 Si 막(1108) 층의 최상부 상에 배치된다.
도 8은 또한 프로세싱 챔버(1218) 내로 도핑 가스 혼합물(1216)을 도입하고 파워 소스(1212)로부터 인가되는 에너지의 결과로서 도핑 플라즈마(1260)를 생성하도록 구성되는 도핑 플라즈마 모듈(1202)을 포함한다. 파워 소스(1212)는 마이크로파, RF, 직류 또는 교류 파워 소스일 수 있다. 프로세싱 챔버(1218)는 알루미나 또는 석영과 같은 산소-함유 재료로 이루어지는 윈도우(1224) 및 산소-함유 재료로 이루어지는 하나 이상의 벽(1232)을 포함한다. 더욱이, 프로세싱 챔버(1218)는 스테이지(1240) 및 배기 시스템(1256)상에 포지셔닝된 기판(1236)을 포함한다. 도핑 플라즈마(1260)는 실리콘 막(1208) 위에 증착되는 도펀트 증착 층(1204)으로부터 도펀트 유량(1228)에 대해 스테이지(1240) 상에 포지셔닝되는 기판(1236)의 표면 일부분을 노출시키고, 여기서 도펀트 증착 층(1204) 및 실리콘 막(1208)은 상술한 증착/실리콘 플라즈마 모듈(1002) 및 도펀트 증착 플라즈마 모듈(1102)과 같은 프로세스 모듈을 사용하여 윈도우(1224)의 안쪽 및 하나 이상의 벽(1232)의 안쪽 상에 코팅된다.
도펀트 플라즈마 모듈(1202)의 프로세싱 챔버(1218)는 또한 결합 도펀트(1252), 이온(1248) 및 결합 도펀트 및 실리콘(1244) 가스의 흐름이 스테이지(1240) 상에 포지셔닝되는 기판(1236)의 표면 일부분을 노출시키게 하는 배기 시스템(1256)을 포함한다. 증착/실리콘 플라즈마 모듈(1002), 도펀트 증착 플라즈마 모듈(1102) 및 도핑 플라즈마 모듈(1202)은 동일하거나 서로 다른 프로세싱 모듈일 수 있다. 기판에서의 공급된 도펀트량(1244)의 균일도는 도 11과 관련하여 상세하게 논의된 제어 시스템에 의해 제어될 수 있다. 더욱이, 기판 층에서의 시트 저항 균일도는 동일한 제어 시스템으로 제어될 수 있다. 광 방출 분광법(OES) 또는 플라즈마 임피던스의 모니터링은 도펀트량(1252)에 대한 도펀트 함량(1244)이 상술한 제어 시스템을 사용하여 제어될 수 있는 방법이다.
상기 셋업의 변형이 행사될 수 있다. 예를 들어, 실시예에서, 실리콘 막 대신에 얇은 사전-증착 인 막을 스퍼터링함으로써 계량 방식으로 프로세싱 챔버(1218) 내로 인이 도입될 수 있다. 이 경우에 산소가 가스 스트림으로부터 또는 다른 사전-증착 산화물 층으로부터 도입될 수 있다. 다른 실시예에서, 도펀트 산화물은 휘발성이고 비교적 낮은 온도에서 승화되기 때문에 승화된 도펀트 산화물이 가열된 가스 라인을 통해 챔버에 전달될 수 있다. 또 다른 실시예에서, 산화물이 가열된 도가니(crucible) 내로 도입될 수 있고 가열된 가스 라인을 사용하여 챔버에 운반될 수 있다. 산소 및 도펀트량은 프로세싱 챔버(1218)의 고속 덩어리 흐름 제어기(mass flow controllers: MFC) 업스트림에 의해 효과적으로 계량될 수 있다.
상기에 언급된 바와 같이, 프로세싱 챔버 내로의 산소의 도입은 다른 방법에 의해 달성될 수 있다. 예를 들어, 특정량의 산소를 전달하기 위해 프로세싱 챔버에서의 산화물 재료의 레이저 어블레이션(laser ablation)(또는 다른 종류의 어블레이션)이 사용될 수 있다. 산소는 프로세스 단계 이전에 프로세싱 챔버 내로 도입될 수 있고 산소가 밀집되는 냉각 표면 또는 "콜드핑거(coldfinger)" 상에 증착된다. 표면을 플래시 가열 또는 어블레이팅하는 것은 산소를 자유롭게 하고 계량된 양을 플라즈마 내로 전달할 것이다. 사전-증착 표면으로부터 플라즈마 및 기판에 인을 도입하기 위해 유사한 프로세스가 또한 이용될 수 있다. 어블레이션은 예를 들어, 냉각 표면 상의 이온 제거와 같은 플라즈마 기반 산소 제거에 의해 교체될 수 있다. 산소 대신에 프로세싱 챔버에 사전-분리된 O 이온 또는 라디칼을 추가하는 것은 음의 산소 이온이 형성되지 않게 한다. 산소 원자는 스스로 용이하게 음의 산소 이온을 생산하지 않는다.
도 9는 본 발명의 실시예에서 플라즈마 도핑 시스템을 사용하는 방법의 예시적인 흐름도(1300)이다. 동작(1304)에서, 비-평탄 표면 및/또는 하나 이상의 불량 뷰 팩터에 영향을 받는 기판의 표면의 일부분을 도핑하는 방법은 산소-함유 재료를 가지는 프로세스 챔버의 마이크로파 윈도우, 하나 이상의 벽, 및 바닥부를 구성하는 단계를 포함하고, 프로세스 챔버의 마이크로파 윈도우, 하나 이상의 벽 및 바닥부로부터의 산소는 첨가물로서 산소 라디칼을 하나 이상의 도핑 재료에 공급하도록 구성된다. 비-평탄 표면 및/또는 하나 이상의 불량 뷰 팩터에 영향을 받는 기판의 표면은 실리콘 나노와이어, 고 애스펙트 비를 가지는 피처, 핀-형상 전계 효과 트랜지스터(Fin-Shaped Field Effect Transistor: FINFET) 구조, 수직 메모리 디바이스 등을 포함한다. 마이크로파 윈도우는 알루미나 또는 석영일 수 있고 하나 이상의 벽은 금속 산화물을 포함할 수 있다. 동작(1308)에서, 도핑을 필요로 하는 층을 가지는 기판이 프로세싱 챔버에 포지셔닝된다. 동작(1312)에서, 프로세싱 챔버의 벽 근처에 또는 기판 스테이지 주변에 자석을 배치함으로써 프로세싱 챔버 내에 로컬 마그네트론 플라즈마가 생성된다. 동작(1316)에서, 프로세스 가스가 프로세싱 챔버에 도입되고, 여기서 프로세스 가스는 불활성 가스 및 하나 이상의 승화된 도핑 재료 및 선택적으로 산소 가스를 함유한다. 일 실시예에서, 산소량은 기판 층의 시트 저항에 상관되고, 여기서 상관관계는 목표 시트 저항을 달성하기 위해 도핑 프로세스의 하나 이상의 동작 변수(또는 파라미터)를 제어하기 위해 사용된다. 다른 실시예에서, 프로세스 가스는 산소를 함유하지 않고 프로세스에 사용되는 모든 산소는 산소의 고체 소스에 의해 제공된다.
동작(1320)에서, 에너지가 프로세스 가스에 인가되고, 에너지는 파워를 가지고, 마이크로파의 인가는 도핑 플라즈마를 발생시킨다. 동작(1324)에서, 기판의 표면의 일부분에서 도핑 재료의 목표량을 달성하기 위해 기판의 표면의 일부분이 도핑 플라즈마에 노출된다. 동작(1328)에서, 하나 이상의 도핑 프로세스 목표, 즉 기판 상의 피처의 도펀트 농도의 목표 균일도, 목표 시트 저항, 도펀트 클러스터링의 목표도 및/또는 목표 부식 중 하나 이상을 달성하기 위해 도핑 프로세스의 하나 이상의 동작 변수(또는 파라미터)가 제어된다. 예를 들어, 도펀트 농도의 목표 균일도는 1% 미만과 같이, 웨이퍼에 걸쳐 3% 미만일 수 있다. 목표 시트 저항은 300 옴과 같이, 200 내지 400 옴/스퀘어(ohms per square)의 범위에 있을 수 있다. 도펀트 클러스터링의 목표도는 어닐링 프로세스 이전의 목표 도펀트 대 산소 비로서 표현되고, 상기 목표 도펀트 대 산소 비는 0.1% 이하의 범위에 있을 수 있다. 목표 부식은 1 nm 코너 손실(corner loss) 이하의 범위에 있을 수 있다. 도핑 재료의 깊이(접합 깊이)는 3 nm와 같이, 2 nm 내지 10 nm의 범위에 있을 수 있다. 목표량은 1012 cm-2 내지 1016 cm-2의 범위에 있을 수 있거나, 기판의 포화 한계(saturation limit) 미만 또는 5 원자 퍼센트 미만일 수 있다.
도 10은 본 발명의 실시예에서 플라즈마 도핑 시스템을 제어하기 위한 방법 단계의 예시적인 흐름도(1400)이다. 동작(1404)에서, 하나 이상의 도핑 프로세스 목표의 값을 계산하기 위한 측정이 획득된다. 동작(1408)에서, 계산된 값은 목표인 하나 이상의 도핑 프로세스 목표와 비교된다. 동작(1412)에서, 목표 도핑 프로세스 목표가 충족되지 않으면, 하나 이상의 도핑 프로세스 동작 변수의 값이 조정된다. 하나 이상의 도핑 프로세스 동작 변수는 파워 소스의 타입, 프로세싱 챔버에 인가되는 파워, 도펀트의 타입, 도펀트의 농도, 산소의 부분압력, 프로세스 가스의 총 압력, 프로세스 가스의 흐름 속도, 진공 속도, 도펀트 대 산소 비, 접합 깊이, 도핑 량 및 기판 온도를 포함할 수 있다.
도 11은 본 발명의 실시예에서 도펀트 및 첨가물의 고체 상태 소스 도입을 활용하는 제어된 플라즈마 도핑 프로세스의 프로세스 시퀀스에서 사용되는 플라즈마 도핑 시스템(1500)의 예시적인 간략한 개략도이다. 상기 식별된 프로세스 조건을 수행하도록 구성되는 도핑 시스템(1500)은 플라즈마 프로세싱 챔버(1510), 프로세싱될 기판(1525)이 부착될 때의 기판 홀더(1520) 및 진공 펌핑 시스템(1550)을 포함하는 것으로 도 11에 도시된다. 기판(1525)은 반도체 기판, 웨이퍼, 평판 디스플레이(flat panel display) 또는 액정 디스플레이(liquid crystal display)일 수 있다. 플라즈마 프로세싱 챔버(1510)는 기판(1525) 표면 근처에 플라즈마 프로세싱 구역(1545)에서의 플라즈마의 발생을 용이하게 하도록 구성될 수 있다. 이온화가능 가스 또는 프로세스 가스의 혼합물은 가스 분배 시스템(1540)을 통해 도입된다. 프로세스 가스의 정해진 흐름에 대해, 진공 펌핑 시스템(1550)을 사용하여 프로세스 압력이 조정된다. 사전-결정된 재료 프로세스에 특정한 재료를 생성하기 위해 및/또는 기판(1525)의 노출 표면으로부터 재료의 제거를 돕기 위해 플라즈마가 활용될 수 있다. 플라즈마 프로세싱 시스템(1500)은 200 mm 기판, 300 mm 기판 또는 그 이상과 같은 임의의 원하는 크기의 기판을 프로세싱하도록 구성될 수 있다.
기판(1525)은 기계적 클램핑 시스템(mechanical clamping system) 또는 전기적 클램핑 시스템(예를 들어, 정전기 클램핑 시스템)과 같은 클램핑 시스템(1528)을 통해 기판 홀더(1520)에 부착될 수 있다. 더욱이, 기판 홀더(1520)는 기판 홀더(1520) 및 기판(1525)의 온도를 조정 및/또는 제어하도록 구성되는 가열 시스템(도시되지 않음) 또는 냉각 시스템(도시되지 않음)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은 기판 홀더(1520)로부터 열을 수신하고 냉각할 때 열 교환 시스템(도시되지 않음)에 열을 전달하거나, 가열할 때 열 교환 시스템으로부터 기판 홀더(1520)에 열을 전달하는 열 전달 유체의 재순환 흐름(re-circulating flow)을 포함할 수 있다. 다른 실시예에서, 저항성 가열 엘리먼트 또는 열전(thermo-electric) 가열기/냉각기와 같은 가열/냉각 엘리먼트는 기판 홀더(1520)뿐 아니라 플라즈마 프로세싱 챔버(1510)의 챔버 벽 및 플라즈마 도핑 시스템(1500) 내의 임의의 다른 컴포넌트에 포함될 수 있다. 테스트의 세트 동안 불활성 가스로서 헬륨 또는 아르곤을 사용하고 프로세싱 챔버에 커플링되는 진공 또는 배기 펌프가 턴 온될 때 어닐링 프로세스 후에 목표 도핑 량이 획득되는 것이 발견되었다.
추가로, 기판(1525)과 기판 홀더(1520) 사이의 가스-갭(gas-gap) 열 전도성을 개선하기 위해 후방측 가스 공급 시스템(1526)을 통해 기판(1525)의 후방 측에 열 전달 가스가 전달될 수 있다. 그와 같은 시스템은 기판의 온도 제어가 상승 또는 감소한 온도에서 요구될 때 활용될 수 있다. 예를 들어, 후방측 가스 공급 시스템은 2구역(two-zone) 가스 분배 시스템을 포함할 수 있고, 여기서 헬륨 가스-갭 압력은 기판(1525)의 센터와 에지 사이에서 독립적으로 변화될 수 있다.
일 실시예에서, 기판 홀더(1520)는 RF 파워가 플라즈마 프로세싱 구역(1545)에서 프로세싱 플라즈마에 커플링되는 전극(1522)을 포함할 수 있다. 예를 들어, 기판 홀더(1520)는 선택적 임피던스 매치 네트워크(impedence match network)(1532)를 통해 RF 발생기(1530)로부터 기판 홀더(1520)로의 RF 파워의 전송을 통해 RF 전압에서 전기적으로 바이어싱될 수 있다. RF 전기적 바이어스는 플라즈마를 형성하고 유지하기 위해 전자를 가열하도록 기능할 수 있다. 이러한 구성에서, 시스템은 반응성 이온 에칭(reactive ion etch: RIE) 반응기(reactor)로서 동작할 수 있고, 여기서 챔버 및 상부 가스 주입 전극은 접지 표면으로서 기능한다. RF 바이어스를 위한 전형적인 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위에 있을 수 있다. 플라즈마 프로세싱을 위한 RF 시스템은 당업자에게 잘 알려져 있다.
더욱이, RF 전압에서 전극(1522)의 전기적 바이어스는 펄스 바이어스 신호 제어기(1531)를 사용하여 펄스화될 수 있다. RF 발생기(1530)로부터의 RF 파워 출력은 예를 들어, 오프-상태와 온-상태 사이에서 펄스화될 수 있다. 대안적으로, RF 파워는 다수의 주파수에서 기판 홀더 전극에 인가된다. 더욱이, 임피던스 매치 네트워크(1532)는 반사 파워를 감소시킴으로써 플라즈마 프로세싱 챔버(1510)에서 플라즈마로의 RF 파워의 전달을 개선할 수 있다. 매치 네트워크 토폴로지(예를 들어, L-타입, π-타입, T-타입 등) 및 자동 제어 방법은 당업자에게 잘 알려져 있다.
가스 분배 시스템(1540)은 프로세스 가스의 혼합물을 도입하기 위한 샤워헤드(showerhead) 설계를 포함할 수 있다. 대안적으로, 가스 분배 시스템(1540)은 프로세스 가스의 혼합물을 도입하고 기판(1525) 위에 프로세스 가스의 혼합물 분배를 조정하기 위한 멀티-구역 샤워헤드 설계를 포함할 수 있다. 예를 들어, 멀티-구역 샤워헤드 설계는 실질적으로 기판(1525) 위의 중심 구역에 대한 프로세스 가스 흐름 또는 조성(composition) 량에 관하여 실질적으로 기판(1525) 위의 주변 구역에 대한 프로세스 가스 흐름 또는 조성을 조정하도록 구성될 수 있다.
진공 펌핑 시스템(vacuum pumping system)(1550)은 초당 약 8000 리터까지(그리고 그 이상의) 펌핑 속도가 가능한 터보-분자 진공 펌프(turbo-molecular vacuum pump: TMP) 및 챔버 압력을 조절하기 위한 게이트 밸브(gate valve)를 포함할 수 있다. 드라이 플라즈마 에칭(dry plasma etching)을 위해 활용되는 종래의 플라즈마 프로세싱 디바이스에서, 초당 1000 내지 3000 리터 TMP가 사용될 수 있다. TMP는 전형적으로 약 50 mTorr 미만의 저압 프로세싱을 위해 유용하다. (즉, 약 100 mTorr보다 큰) 고압 프로세싱을 위해, 기계적 부스터 펌프(mechanical booster pump) 및 드라이 러핑 펌프(dry roughing pump)가 사용될 수 있다. 더욱이, 챔버 압력(도시되지 않음)을 모니터링하기 위한 디바이스가 플라즈마 프로세싱 챔버(1510)에 커플링될 수 있다.
상기에 언급된 바와 같이, 제어기(1555)는 마이크로프로세서, 메모리 및 플라즈마 프로세싱 시스템(1500)에 입력을 전달하고 활성화할 뿐 아니라 플라즈마 프로세싱 시스템(1500)으로부터의 출력을 모니터하는데 충분한 제어 전압을 발생시킬 수 있는 디지털 I/O 포트를 포함할 수 있다. 더욱이, 제어기(1555)는 RF 발생기(1530), 펄스화 바이어스 신호 제어기(1531), 임피던스 매치 네트워크(1532), 가스 분배 시스템(1540), 진공 펌핑 시스템(1550)뿐 아니라 기판 가열/냉각 시스템(도시되지 않음), 후방측 가스 공급 시스템(1526) 및/또는 정전기 클램핑 시스템(1528)에 커플링될 수 있고 이들과 정보를 교환할 수 있다. 예를 들어, 메모리에 저장된 프로그램은 기판(1525) 상의 플라즈마 에칭 프로세스와 같은 플라즈마 보조 프로세스를 수행하기 위해 프로세스 레시피(process recipe)에 따라 플라즈마 프로세싱 시스템(1500)의 상술한 컴포넌트에 입력을 활성화하기 위해 활용될 수 있다.
도 12a는 본 발명의 다른 실시예에서의 도핑 플라즈마 모듈에서 칠링된 표면(chilled surface) 또는 밀집된 산소 또는 산소-함유 재료(1624) 및 산소 가스의 예시적인 아키텍처 도(1600)이다. 도면(1600)은 펄스 바이어싱(1604)을 포함할 수 있는 산소-함유 재료로 이루어지는 윈도우(1612), 또한 산소-함유 재료로 이루어지는 하나 이상의 벽(1620), 스테이지(1636) 상에 포지셔닝되는 기판(1628) 및 배기 시스템(1632)으로 구성되는 프로세싱 챔버(1602)를 포함한다. 하나 이상의 벽(1620)은 프로세싱 챔버(1602)의 안쪽 벽에 커플링되는 산소-함유 재료 또는 밀집된 산소(1624)를 위해 특정 저온을 유지하도록 구성되는 냉각 디바이스(1616)를 포함한다. 산소 가스(1608)는 이전의 프로세스 단계 동안 프로세싱 챔버(1602)의 챔버 공간(1640)에 도입될 수 있고 산소가 밀집되는 칠링 표면 또는 "콜드핑거" 상에 증착될 수 있다. 표면을 플래시 가열 또는 어블레이팅하는 것은 산소를 자유롭게 하고 계량된 양을 플라즈마(1648) 내로 전달할 것이다. 특정량의 O를 프로세싱 챔버(1602) 내로 전달하기 위해 챔버에서의 산화물 재료의 레이저 어블레이션(또는 다른 종류의 어블레이션)이 사용될 수 있다. 사전-증착 표면(도시되지 않음)으로부터 플라즈마(1648) 및 기판(1628) 상에 인을 도입하기 위해 유사한 프로세스가 또한 이용될 수 있다.
도 12b는 본 발명의 다른 실시예에서의 도핑 플라즈마 모듈에서 사전-분리된 산소 원자의 예시적인 아키텍처 도(1650)이다. 도면(1650)은 펄스 바이어싱(1654)을 포함할 수 있는 산소-함유 재료로 이루어지는 윈도우(1656), 또한 산소-함유 재료로 이루어지는 하나 이상의 벽(1660), 스테이지(1672) 상에 포지셔닝되는 기판(1668) 및 배기 시스템(1664)으로 구성되는 프로세싱 챔버(1652)를 포함한다. 본 실시예에서, 산소 원자 및 이온(1676)을 발생시킬 수 있는 업스트림 마이크로파 소스(up-stream microwave source) 또는 자외선(ultra-violet: UV) 소스 디바이스를 사용하여 챔버 공간(1680)에서 사전-분리된 산소 원자 및 이온(1676)이 프로세스 스트림(1658)을 통해 도핑 플라즈마(1684) 내로 추가된다.
(Speidel and Weidlich, "A SOLID STATE OXYGEN SOURCE FOR UHV", Vacuum, 38, 89-92(1988)) 열적으로 불안정한 산화물로부터의 자유도를 통해 또는 발열성 화학 반응을 통해 산소를 방출하는 수많은 고체 상태 산소 소스가 존재한다. 화학적 반응 다양성은 예를 들어, 산화물 함유 재료(염소산나트륨(sodium chlorate))가 철 분말과 친밀하여 가열될 때 산소를 해방시키는 소위 산소 캔들(oxygen candles)이다. 산소 캔들은 비행기 또는 우주선을 위한 산소 소스로서 잘 알려져 있다. (Avox Corp에 귀속되는 특허인 미국 특허번호 제 4,891,118 호 및 제 4,536,370 호를 참조.) 발명자는 산소 캔들이 플래시 가열에 의해 또는 계량된 산소량이 본 메커니즘을 이용하여 전달될 수 있는 펄스 레이저 에너지에 대한 노출에 의해 활성화될 수 있음을 알게 되었다. 산소의 고체 상태 소스는 산화 증진 확산이 발생되게 하기 위해 산소 대신에 사용된다. 낮은 시트 저항을 달성하기 위한 일부 일반적인 방식은 도펀트를 포함하는 프로세스 가스의 흐름 속도를 증가시키는 것과 웨이퍼 스테이지 상의 RF 바이어스 파워를 증가시키는 것 등을 포함한다.
도면과 관련하여 상술한 본 발명의 실시예는 상술한 후속하는 기술 중 하나 이상을 포함하는 하나 이상의 방법을 강조한다: (1) 산화물 함유 표면을 스퍼터링함으로써 프로세스에 전달되는 산소, (b) RF 또는 펄싱 에너지 소스에 의한 표면의 능동 스퍼터링, (c) 삽입 표면의 스퍼터링을 포함하여 사용되는 2개 또는 그 이상의 서로 다른 표면, (d) 특정 위치에서의 산소 제거 속도를 국소적으로 강화하기 위해 마그네트론 플라즈마가 사용될 수 있고, (e) 산화물 표면이 산화 단계에서 또는 냉각 표면 상의 밀집화에 의해 사전-증착될 수 있고, (f) 광 방출 분광법(OES) 또는 임피던스 모니터링을 사용함으로써의 제어, (g) 프로세싱 챔버에 대한 산소의 사전-추가 및 원래 그 자리에 발생하는 탈착에 의한 프로세스로의 도입, (h) 프로세싱 챔버 내로의 가열된 가스 스트림을 통한 승화된 도펀트 산화물의 전달, 및 (i) 분해된 금속 산화물 또는 산소 캔들과 같은 고체 산소 소스로부터의 산소의 전달.
다른 실시예는 프로세싱 챔버 내로의 산소의 흐름, 승화된 도핑 재료의 전달 및 공통으로 사용되는 산소 함유 재료의 예를 포함한다. 실시예에서, 프로세스 가스를 흘리기 전에 그리고 마이크로파 에너지를 프로세스 가스에 인가하기 전에 프로세싱 챔버 내로 산소가 흐르고, 산소는 헬륨 냉각 시스템에 의해 고체 산소로 냉각된다. 다른 실시예에서, 산소를 함유하는 프로세스 가스는 산소로 프로세스 챔버의 내부 표면을 포화시키기 위해 초기에 프로세스 챔버 내로 흐를 수 있고, 이 프로세스는 마이크로파 에너지를 프로세스 가스에 인가하기 전에 그리고 기판의 표면의 일부분을 노출시키기 전에 수행된다. 또 다른 실시예에서, 원하는 온도를 유지하기 위해 가열된 가스 라인을 사용하여 프로세스 챔버에 승화된 도핑 재료가 전달된다. 또 다른 실시예에서, 산소-함유 재료는 구리 산화물 및/또는 지르코늄 산화물을 포함할 수 있다.
본 발명은 하나 이상의 실시예의 설명에 의해 예시되고, 실시예가 상당히 상세하게 설명된 한편, 그 실시예는 첨부되는 청구범위의 범위를 그와 같이 상세하게 제한하거나 한정하는 것이 아니다. 추가적인 장점 및 수정은 당업자에게 용이하게 나타날 것이다. 본 발명은 더 넓은 양상에서 도시되고 설명되는 특정 상세, 대표적인 장치 및 방법 그리고 도시적인 예에 제한되지 않는다. 따라서, 일반적인 발명 개념 범위로부터 이탈하지 않고서 그와 같은 상세로부터 이탈이 이루어질 수 있다.

Claims (20)

  1. 기판의 표면의 일부분을 도핑(doping)하는 방법에 있어서,
    상기 표면은 비-평탄(non-planar)하거나 하나 이상의 불량 뷰 팩터(view factors)에 영향을 받고, 상기 방법은,
    산소-함유 재료(oxygen-containing material)를 가지는 프로세스 챔버(process chamber)의 마이크로파 윈도우(microwave window), 하나 이상의 벽, 및 바닥부―상기 프로세스 챔버의 상기 마이크로파 윈도우, 상기 하나 이상의 벽 및 상기 바닥부로부터의 산소는 첨가물(additive)로서 산소 라디칼(radicals)을 하나 이상의 도핑 재료에 공급하도록 구성됨―를 구성하는 단계;
    도핑을 요구하는 층을 가지는 상기 기판―상기 기판은 상기 프로세스 챔버에 포지셔닝됨(positioned)―을 제공하는 단계;
    상기 프로세스 챔버 내에 하나 이상의 석영 피스(quartz pieces)를 배치하는 단계;
    상기 프로세스 챔버 근처에 하나 이상의 자석(magnets)―상기 하나 이상의 자석 및 상기 하나 이상의 석영 피스는 상기 프로세스 챔버 내에 로컬 마그네트론 플라즈마(local magnetron plasma)를 생성하도록 구성됨―을 배치하는 단계;
    프로세스 가스(process gas)―상기 프로세스 가스는 불활성 가스(inert gas) 및 하나 이상의 승화된(sublimated) 도핑 재료, 및 선택적으로 산소 가스를 함유함―를 상기 프로세스 챔버 내로 흐르게 하는 단계;
    상기 프로세스 가스에 마이크로파 에너지(microwave energy)―상기 마이크로파 에너지는 파워(power)를 가지고, 상기 마이크로파 에너지의 인가는 도핑 플라즈마를 발생시킴―를 인가하는 단계;
    상기 표면의 일부분에서 도핑 재료의 목표량(target dose)을 달성하기 위해 상기 도핑 플라즈마에 상기 기판의 표면의 일부분을 노출시키는 단계; 및
    도펀트 농도의 목표 균일도, 목표 시트 저항(sheet resistance), 도펀트 클러스터링(dopant clustering)의 목표도(target degree) 및 상기 기판 상의 피처의 목표 부식(erosion)을 달성하기 위해, 도핑 프로세스의 하나 이상의 동작 변수를 제어하는 단계
    를 포함하는, 기판의 표면의 일부분을 도핑하는 방법.
  2. 제1항에 있어서,
    상기 프로세스 챔버의 적어도 하나의 내부 표면 상에 박형 산화물 층(thin oxide layer)을 형성하는 단계를 더 포함하는, 기판의 표면의 일부분을 도핑하는 방법.
  3. 제2항에 있어서,
    상기 프로세스 챔버의 적어도 하나의 내부 표면 상에 박형 인 막(thin phosphorus film)을 형성하는 단계를 더 포함하는, 기판의 표면의 일부분을 도핑하는 방법.
  4. 제1항에 있어서,
    상기 비-평탄 표면 또는 불량 뷰 팩터에 영향을 받는 표면을 가진 상기 기판은, 실리콘 나노와이어(silicon nanowires), 고 애스펙트 비(high aspect ratios)를 가지는 피처(features), 핀-형상 전계 효과 트랜지스터(fin-shaped field effect transistor: FINFET) 구조 또는 수직 메모리 디바이스를 포함하는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  5. 제1항에 있어서,
    상기 도펀트 농도의 목표 균일도는 웨이퍼에 걸쳐 0% 이상 3% 미만인 것인, 기판의 표면의 일부분을 도핑하는 방법.
  6. 제1항에 있어서,
    상기 목표 시트 저항은 200 내지 400 옴/스퀘어(ohms per square)의 범위에 있는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  7. 제1항에 있어서,
    상기 도펀트 클러스터링의 목표도는 어닐링 프로세스 이전의 목표 도펀트 대 산소 비로서 표현되고, 상기 목표 도펀트 대 산소 비는 0% 초과 0.1% 이하인 것인, 기판의 표면의 일부분을 도핑하는 방법.
  8. 제1항에 있어서,
    상기 목표 부식은 코너 손실(corner loss) 0 nm 이상 1 nm 이하인 것인, 기판의 표면의 일부분을 도핑하는 방법.
  9. 제1항에 있어서,
    상기 도핑 재료의 깊이는 2 nm 내지 10 nm의 범위에 있고, 상기 목표량은 1012 cm-2 내지 1016 cm-2의 범위로부터, 상기 기판의 포화 한계(saturation limit) 미만의 범위로부터, 또는 0 원자 퍼센트 초과 5 원자 퍼센트 미만의 범위로부터 선택되는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  10. 제7항에 있어서,
    상기 어닐링 프로세스 후의 상기 목표량은 상기 불활성 가스로서 헬륨(helium) 또는 아르곤(argon)을 사용하여 그리고 상기 프로세스 챔버에 커플링된(coupled) 진공 펌프가 턴 온(turned on)될 때 획득되는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  11. 제1항에 있어서,
    상기 도핑 플라즈마는 방사상 라인 슬롯 어레이(radial line slot array: RLSA) 플라즈마 소스로부터 전달되는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  12. 제1항에 있어서,
    상기 도핑 플라즈마의 활동성 플라즈마 이온(energetic plasma ions)의 스퍼터링 속도(sputtering rate)는 상기 마이크로파 파워 소스의 파워를 변화시킴으로써 제어되는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  13. 제1항에 있어서,
    산소량(oxygen dose)은 상기 기판 층의 상기 시트 저항과 상관되고(correlated), 상관관계(correlation)는 상기 목표 시트 저항을 달성하기 위해 상기 도핑 프로세스의 하나 이상의 동작 변수를 제어하기 위해 사용되는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  14. 제1항에 있어서,
    상기 흐르게 한 프로세스 가스는 산소를 포함하지 않는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  15. 제1항에 있어서,
    상기 프로세스 챔버의 윈도우의 펄스 바이어싱(pulsed biasing)은 상기 도핑 플라즈마에 대한 산소 라디칼의 유량(flux)을 제어하기 위해 수행되고, 상기 산소 라디칼은 상기 프로세스 챔버의 상기 마이크로파 윈도우, 상기 하나 이상의 벽, 및 상기 바닥부 중 적어도 하나로부터 또는 상기 프로세스 챔버에서의 상기 하나 이상의 석영 피스로부터 발생되는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  16. 제1항에 있어서,
    상기 기판의 표면에서의 산소의 농도는 광 방출 분광법(optical emission spectroscopy) 또는 플라즈마 임피던스 모니터(plasma impedance monitor)를 이용한 측정으로 제어되는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  17. 제1항에 있어서,
    산소는, 상기 프로세스 가스를 흘리기 전에 그리고 상기 프로세스 가스에 상기 마이크로파 에너지를 인가하기 전에, 상기 프로세스 챔버 내로 흐르고, 상기 산소는 헬륨 냉각 시스템(helium cooling system)에 의해 고체 산소로 냉각되는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  18. 제1항에 있어서,
    상기 프로세스 가스를 흘리기 전에, 상기 프로세스 가스에 마이크로파 에너지를 인가하기 전에, 그리고 상기 도핑 플라즈마에 상기 기판의 표면의 일부분을 노출시키기 전에, 산소로 상기 프로세스 챔버의 내부 표면을 포화시키기 위해, 산소는 초기에 상기 프로세스 챔버 내로 흐르는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  19. 제1항에 있어서,
    상기 하나 이상의 승화된 도핑 재료는 가열된 가스 라인(gas lines)을 사용하여 상기 프로세스 챔버에 전달되는 것인, 기판의 표면의 일부분을 도핑하는 방법.
  20. 제1항에 있어서,
    상기 산소-함유 재료는 구리 산화물과 지르코늄 산화물(zirconium oxide) 중 적어도 하나를 포함하는 것인, 기판의 표면의 일부분을 도핑하는 방법.
KR1020157036805A 2013-05-29 2014-05-02 플라즈마 도핑을 위한 도펀트의 고체 상태 도입 KR101762528B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361828257P 2013-05-29 2013-05-29
US61/828,257 2013-05-29
US14/075,453 2013-11-08
US14/075,453 US8889534B1 (en) 2013-05-29 2013-11-08 Solid state source introduction of dopants and additives for a plasma doping process
PCT/US2014/036583 WO2014197145A1 (en) 2013-05-29 2014-05-02 Solid state introduction of dopants for plasma doping

Publications (2)

Publication Number Publication Date
KR20160015288A KR20160015288A (ko) 2016-02-12
KR101762528B1 true KR101762528B1 (ko) 2017-07-27

Family

ID=51870100

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157036805A KR101762528B1 (ko) 2013-05-29 2014-05-02 플라즈마 도핑을 위한 도펀트의 고체 상태 도입

Country Status (4)

Country Link
US (1) US8889534B1 (ko)
KR (1) KR101762528B1 (ko)
TW (1) TWI512793B (ko)
WO (1) WO2014197145A1 (ko)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150187563A1 (en) * 2013-12-26 2015-07-02 Applied Materials, Inc. Photo-assisted deposition of flowable films
US10460941B2 (en) * 2016-11-08 2019-10-29 Varian Semiconductor Equipment Associates, Inc. Plasma doping using a solid dopant source
JP6579086B2 (ja) * 2016-11-15 2019-09-25 信越半導体株式会社 デバイス形成方法
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10342110B1 (en) * 2018-09-14 2019-07-02 Serendipity Technologies LLC. Plasma power generator (z-box and z-tower)
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100095889A1 (en) 2008-08-20 2010-04-22 Takayuki Kai Plasma doping apparatus

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3210959C2 (de) 1982-03-25 1985-04-11 Drägerwerk AG, 2400 Lübeck Chemischer Sauerstoffgenerator
US4912065A (en) 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
US4891189A (en) 1988-07-06 1990-01-02 Figgie International, Inc. High flow chemical oxygen generator assembly
US5074987A (en) 1990-01-24 1991-12-24 Elsag International B.V. Online energy flow measuring device and method for natural gas
JP2807609B2 (ja) * 1993-01-28 1998-10-08 三菱マテリアルシリコン株式会社 単結晶の引上装置
JP3399040B2 (ja) * 1993-09-20 2003-04-21 株式会社日立製作所 半導体製造装置及び半導体製造方法
US5556521A (en) * 1995-03-24 1996-09-17 Sony Corporation Sputter etching apparatus with plasma source having a dielectric pocket and contoured plasma source
JPH11355895A (ja) * 1998-06-12 1999-12-24 Matsushita Electric Ind Co Ltd スピーカ用振動板の製造方法
JP2000138168A (ja) 1998-10-29 2000-05-16 Shin Etsu Handotai Co Ltd 半導体ウェーハ及び気相成長装置
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
US6475400B2 (en) 2001-02-26 2002-11-05 Trw Inc. Method for controlling the sheet resistance of thin film resistors
US20030051658A1 (en) * 2001-07-27 2003-03-20 Shigemasa Nakagawa Method and apparatus for controlling the oxygen concentration of a silicon single crystal, and method and apparatus for providing guidance for controlling the oxygen concentration
AU2003254888A1 (en) * 2002-08-08 2004-02-25 Kabushiki Kaisha Kobe Seiko Sho PROCESS FOR PRODUCING ALUMINA COATING COMPOSED MAINLY OF Alpha-TYPE CRYSTAL STRUCTURE, ALUMINA COATING COMPOSED MAINLY OF Alpha-TYPE CRYSTAL STRUCTURE, LAMINATE COATING INCLUDING THE ALUMINA COATING, MEMBER CLAD WITH THE ALUMINA COATING OR LAMINATE COATING, PROCESS FOR PRODUCING THE MEMBER, AND PHYSICAL EVAPORATION APPARATU
JP4024636B2 (ja) 2002-09-20 2007-12-19 富士通株式会社 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
JP3868925B2 (ja) * 2003-05-29 2007-01-17 株式会社日立製作所 プラズマ処理装置
SE528900C2 (sv) 2004-05-18 2007-03-13 Nm Spintronics Ab Koppardopade magnetiska halvledare
US20060060796A1 (en) * 2004-09-03 2006-03-23 Subramanian Krupakar M Method and apparatus for plasma source ion implantation in metals and non-metals
WO2006057818A2 (en) 2004-11-24 2006-06-01 Nanosys, Inc. Contact doping and annealing systems and processes for nanowire thin films
TW200644090A (en) * 2005-03-30 2006-12-16 Matsushita Electric Ind Co Ltd Plasma doping method and system
US7517818B2 (en) 2005-10-31 2009-04-14 Tokyo Electron Limited Method for forming a nitrided germanium-containing layer using plasma processing
US20070125303A1 (en) * 2005-12-02 2007-06-07 Ward Ruby High-throughput deposition system for oxide thin film growth by reactive coevaportation
WO2008123605A1 (en) * 2007-03-29 2008-10-16 Tokyo Electron Limited Plasma process apparatus
JP5561918B2 (ja) 2008-07-31 2014-07-30 グローバルウェーハズ・ジャパン株式会社 シリコンウェーハの製造方法
US8202435B2 (en) 2008-08-01 2012-06-19 Tel Epion Inc. Method for selectively etching areas of a substrate using a gas cluster ion beam
US8679960B2 (en) 2009-10-14 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Technique for processing a substrate having a non-planar surface
WO2011056433A2 (en) 2009-11-03 2011-05-12 Applied Materials, Inc. Temperature control of a substrate during a plasma ion implantation process for patterned disc media applications
JP2013051221A (ja) 2009-12-28 2013-03-14 Panasonic Corp 半導体装置の製造方法及びプラズマドーピング装置
JP5263266B2 (ja) 2010-11-09 2013-08-14 パナソニック株式会社 プラズマドーピング方法及び装置
US8609492B2 (en) 2011-07-27 2013-12-17 Micron Technology, Inc. Vertical memory cell
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100095889A1 (en) 2008-08-20 2010-04-22 Takayuki Kai Plasma doping apparatus

Also Published As

Publication number Publication date
WO2014197145A1 (en) 2014-12-11
US20140356984A1 (en) 2014-12-04
US8889534B1 (en) 2014-11-18
TWI512793B (zh) 2015-12-11
TW201519288A (zh) 2015-05-16
KR20160015288A (ko) 2016-02-12

Similar Documents

Publication Publication Date Title
KR101762528B1 (ko) 플라즈마 도핑을 위한 도펀트의 고체 상태 도입
KR101508994B1 (ko) 실리콘과 질소를 모두 함유하는 물질들의 건식 식각 레이트의 선택적인 억제
US5672541A (en) Ultra-shallow junction semiconductor device fabrication
US9190290B2 (en) Halogen-free gas-phase silicon etch
US8748322B1 (en) Silicon oxide recess etch
KR101962317B1 (ko) 저 k 유전체 필름들 및 다른 유전체 필름들을 식각하기 위한 프로세스 챔버
JP6068727B2 (ja) パルス状気体プラズマドーピング方法及び装置
CN101511969B (zh) 用于干法刻蚀含铪材料的方法和系统
KR20140037202A (ko) 산화물 표면 대신 베어 실리콘 상의 폴리머 막들의 선택적 증착
US8975603B2 (en) Systems and methods for plasma doping microfeature workpieces
JP4914902B2 (ja) シリサイド形成方法とその装置
KR20110104001A (ko) 기존 구조에 대한 영향을 최소화하면서 실리콘에 산화물 박막을 성장시키는 방법 및 장치
JP2007081216A (ja) プラズマエッチング装置およびプラズマエッチング方法
US11823901B2 (en) System and method for radical and thermal processing of substrates
KR102083036B1 (ko) 수소 플라즈마를 사용하여 반도체 상에 계면층을 형성하는 방법
US8435906B2 (en) Methods for forming conformal oxide layers on semiconductor devices
KR20120008472A (ko) 플라즈마 도핑장치 및 플라즈마 도핑방법
JP2022163040A (ja) 遠隔プラズマによる酸化へのアルゴン添加
KR101240818B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
JP2002083798A (ja) 表面処理方法
US9355820B2 (en) Methods for removing carbon containing films
JP2008098648A (ja) プラズマ処理装置
TW201034078A (en) Methods for forming conformal oxide layers on semiconductor devices

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant