KR101732343B1 - 반도체 제조장치용 센서 시스템 - Google Patents

반도체 제조장치용 센서 시스템 Download PDF

Info

Publication number
KR101732343B1
KR101732343B1 KR1020160039505A KR20160039505A KR101732343B1 KR 101732343 B1 KR101732343 B1 KR 101732343B1 KR 1020160039505 A KR1020160039505 A KR 1020160039505A KR 20160039505 A KR20160039505 A KR 20160039505A KR 101732343 B1 KR101732343 B1 KR 101732343B1
Authority
KR
South Korea
Prior art keywords
sensor
rotation
substrate support
chamber
control unit
Prior art date
Application number
KR1020160039505A
Other languages
English (en)
Other versions
KR20160042410A (ko
Inventor
로날드 베른 쉬아우어
라파엘 다스콜리
쉬반 발가바
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160042410A publication Critical patent/KR20160042410A/ko
Application granted granted Critical
Publication of KR101732343B1 publication Critical patent/KR101732343B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • C23C14/505Substrate holders for rotation of the substrates
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01PMEASURING LINEAR OR ANGULAR SPEED, ACCELERATION, DECELERATION, OR SHOCK; INDICATING PRESENCE, ABSENCE, OR DIRECTION, OF MOVEMENT
    • G01P13/00Indicating or recording presence, absence, or direction, of movement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01PMEASURING LINEAR OR ANGULAR SPEED, ACCELERATION, DECELERATION, OR SHOCK; INDICATING PRESENCE, ABSENCE, OR DIRECTION, OF MOVEMENT
    • G01P3/00Measuring linear or angular speed; Measuring differences of linear or angular speeds
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Automation & Control Theory (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • General Factory Administration (AREA)

Abstract

챔버 모니터 시스템은, 단일 센서 제어 시스템이 다수의 상이한 처리 챔버 제어 보드 센서 라인들에 결합되는 병렬 구조를 포함할 수 있다. 예시적인 실시예에서, 타코미터(tachometer)와 같은 단일 회전 센서는 처리 챔버로부터 떨어진 중앙 제어 유닛에 위치하여 회전 데이터가 단일 시스템에 의해 처리되고 이후 여러 상이한 네트워크 통신 프로토콜에 따라 주 시스템 제어기나, 팩토리 인터페이스(factory interface), 또는 이들 모두로 라우팅될 수 있다. 이러한 실시예 및 기타의 실시예에서, 챔버 제어 보드 및 중앙 제어 유닛 내의 풀업 네트워크(pull-up network)는 크로바 효과(crowbar effect)와 같은 전기 신호 이상을 감소시키도록 매칭된다. 중앙 제어 유닛은 주 시스템 제어기를 통해 사용자가 정의한 파라미터에 따라 작동하도록 프로그램될 수 있으며, 이는 계속해서 시스템이 일정한 작동 상태를 구별할 수 있게 한다.

Description

반도체 제조장치용 센서 시스템 {SENSOR SYSTEM FOR SEMICONDUCTOR MANUFACTURING APPARATUS}
본 발명은 반도체 제조장치용 센서 시스템에 관한 것이다.
집적 회로 및 디스플레이 제조에 있어서, 실리콘 기판이나 유리 기판과 같은 기판상에 반도체, 유전체(dielectric), 및 전기 전도 물질이 형성된다. 몇몇 예에서, 이러한 물질은 화학 기상 증착(CVD), 원자층 증착(ALD), 물리 기상 증착(PVD), 이온 임플란트(ion implantation), 플라스마 또는 열적 산화, 그리고 질화 프로세스에 의하여 형성될 수 있다. 이후 증착된 물질을 식각하여 게이트(gates), 비아(vias), 콘택트 홀(contact holes) 및 인터커넥트 라인(interconnect lines)과 같은 피처(features)를 형성할 수 있다. 전형적인 증착 또는 식각 프로세스에서, 기판 표면상에 물질을 증착하거나 이를 식각하기 위하여, 기판은 기판 처리 챔버 내에서 플라스마에 노출된다. 기판상에서 실행될 수 있는 다른 통상적인 프로세스로는 RTP, 플래시 램프(flash lamp), 또는 레이저 어닐링 프로세스를 포함할 수 있는 열적 프로세싱 기술들이 포함될 수 있다.
물리 기상 증착(PVD), 또는 스퍼터링(sputtering)은 집적회로 및 장치의 제조에 있어서 가장 일반적으로 사용되는 프로세스 중 하나이다. PVD는 진공 챔버에서 실행되는 플라스마 프로세스이며, 상기 진공 챔버에서는 음으로(negatively) 바이어스된 타켓(통상적으로 마그네트론 타겟)이 비교적 무거운 원자를 가지는 불활성 기체(예를 들어, 아르곤(Ar)) 또는 이러한 불활성 기체를 포함하는 가스 혼합물의 플라스마에 노출된다. 불활성 기체의 이온에 의한 타겟 가격(bombardment)으로 인해서 타겟 재료의 원자가 방출된다. 방출된 원자는 일반적으로 타겟을 향하는 기판 받침대(pedestal) 상에 배치된 기판상에, 증착된 막으로서 쌓이게 된다. 위에서 기술한 프로세스 도중에, 통상적으로 기판은 기판 수용 표면을 가지는 기판 지지부상에서 유지된다. 이러한 지지부는 프로세싱 동안에 플라스마 발생 장치로서 작용하는 매설 전극을 구비할 수 있고/있거나, 기판을 정전기적으로 유지하기 위하여 하전(charged)될 수도 있다. 또한 지지부는 프로세싱 동안에 기판을 가열하기 위한 저항성 가열 부재, 및/또는 지지부를 냉각시키거나 기판을 냉각시키기 위한 수냉 시스템(water cooling system)을 가질 수 있다. 한가지 문제점은 장치의 크기가 감소함에 따라서 기판 전역에서의 편차(variation)에 대한 공차(tolerance)가 매우 낮아지게 되어 기판 지지부, 쉐도우 링, 또는 다른 챔버 부품에 대한 기판의 정렬(alignment) 및 위치선정(positioning)이 기판상에 얻어지는 프로세스 결과의 균일성(uniformity)에 영향을 미칠 수 있다는 점이다. 몇몇 경우에는, 프로세스 챔버의 하나 또는 그보다 많은 영역은 프로세싱 챔버 내의 가스 유입부 또는 배출부의 배향 위치로 인해서 플라스마를 균일하게 발생시키지 못하거나(예를 들어, PECVD, PVD), 기판으로 열을 균일하게 전달하지 못하거나(예를 들어, RTP, PECVD), 그리고/또는 비-균일한 가스 흐름(flow) 영역을 가지게 되며, 이 때문에 일반적으로 프로세싱 챔버의 프로세싱 영역의 여러 구역에서 보이는 비-균일성을 평균화시키기 위하여 기판을 회전시킬 필요성이 발생하게 된다.
챔버 모니터 시스템은, 단일 센서 제어 시스템이 다수의 상이한 프로세싱 챔버 제어 보드 센서 라인에 결합되는 병렬 구조를 포함할 수 있다. 예시적인 실시예에서, 타코미터(tachometer)와 같은 단일 회전 센서는 프로세싱 챔버로부터 떨어진 중앙 제어 유닛에 위치하여 회전 데이터가 단일 시스템에 의해 처리되고 이후 여러 상이한 네트워크 통신 프로토콜에 따라 주 시스템 제어기나, 팩토리 인터페이스(factory interface), 또는 이들 모두로 라우팅될 수 있다. 이러한 실시예 및 기타의 실시예에서, 챔버 제어 보드 및 중앙 제어 유닛 내의 풀업 네트워크(pull-up network)들은 크로바 효과(crowbar effect)와 같은 전기 신호 이상을 감소시키도록 매칭된다. 중앙 제어 유닛은 주 시스템 제어기를 통해 사용자가 정의한 파라미터에 따라 작동하도록 프로그램될 수 있으며, 이는 계속해서 시스템이 일정한 작동 상태들 사이를 구별할 수 있게 한다. 예로서, 중앙 제어 유닛은, 회전 기기가 지속적으로 회전하지 않고 왕복 운동하고 있다는 것을 시스템이 사용자에게 경보할 수 있게 하는, 분당 회전수(RPM) 이상 상태(out-of-bounds conditions)를 구비하여 설정될 수 있다.
도 1은 회전가능한 기판 받침대를 가지는 PVD 챔버의 일 실시예의 개략적인 단면도(sectional view)이다.
도 2는 시스템 제어기 및 프로세싱 챔버를 포함하는 프로세싱 챔버 시스템을 설명하는 예시적인 시스템 다이어그램이다.
도 3은 기판 지지부의 회전을 모니터하기 위한 예시적인 센서 시스템을 도시한다.
도 4는 센서 제어 시스템이 병렬 구조를 이용하여 다수의 상이한 센서에 연결될 수 있는 예시적인 챔버 모니터 시스템을 설명하는 회로 다이어그램이다.
도 5는 챔버 모니터 시스템의 다수의 프로세싱 챔버에 연결되는 센서 제어 시스템을 설명하는 시스템 다이어그램이다.
도 6은 다수의 프로세싱 챔버를 모니터하기 위한 센서 제어 시스템의 예시적인 하드웨어 구성을 도시한다.
도 7A 및 7B는 다수의 회전 장치를 모니터하는 디지털 타코미터를 구현하기 위한 예시적인 방법을 설명하는 흐름도이다.
도 8은 다수의 회전 장치와 관련된 회전 데이터를 처리하기 위한 예시적인 방법을 설명하는 흐름도이다.
물리 기상 증착 챔버 내의 회전식 기판 지지부에 대한 실시예
도 1은 회전가능한 기판 받침대(126)를 가지는 PVD 챔버(100의 일 실시예를 도시한다. PVD 챔버(100)는 일반적으로 리드 조립체(lid assembly)(102), 주 조립체(104), 운동 제어 유닛(170), 지지 시스템(160), 및 제어기(180)를 포함한다. 일 실시예에서 리드 조립체(102)는 타겟 조립체(110) 및 상부 인클로져(upper enclosure)(122)를 포함한다. 타겟 조립체(110)는 타겟 베이스(112)(예를 들어 수냉식 베이스) 내에 배치된 회전가능한 마그네트론 팩(magnetron pack)(114), 타겟(118), 및 타겟 쉴드(target shield)(120)를 포함한다. 마그네트론 팩(114)은, 작동시 미리 결정된 각속도로 팩을 회전시키는 드라이브(116)에 기계적으로 연결되어 있다. 본 발명으로부터 유리하도록 적응할 수 있는 한 가지 마그네트론 팩은 2003년 11월 4일자로 A. Tepman에게 허여된 미국 특허 제6,641,701호에 개시되어 있다. 타겟 조립체(110)는 RF, DC, 펄스형 DC(pulsed DC), 및 이와 유사한 전력 공급기와 같은 플라스마 전력 공급기(도시되지 않음)에 전기적으로 연결된다.
일 실시예에서, 주 조립체(104)는 챔버 몸체(128), 회전가능한 기판 받침대(126), 챔버 몸체(128)에 둘러가며(circumferentially) 부착되는 반전 쉴드(inverted shield)(136), 및 다수의 복사 가열기(radiant heater)(134)를 포함한다. 쉴드(136)는 전반적으로 챔버 몸체(128)의 상부 부분으로부터 받침대(126)를 향하여 내측 아래쪽으로 연장한다. 기판 받침대(126)는 서로 결합되는 기판 플래튼(substrate platen)(154) 및 컬럼 모듈(column module)(150)을 포함한다. 주 조립체(104)와 리드 조립체(102) 사이의 진공-밀봉 결합은 예를 들어 하나 이상의 시일(seal)에 의해 제공되는데, 이중 o-링(132)이 도시되어 있다.
기판(130)(예를 들어, 실리콘(Si) 웨이퍼 등등)은 챔버 몸체(128)의 슬릿 밸브(124)를 통해서 PVD 챔버(100)로 유입되고 배출된다. 챔버(100)의 내부 부분 및/또는 기판(130)을 특정 프로세스 레시피(recipe)에 의해 결정된 온도로 예열(pre-heat)하기 위하여 일반적으로 복사 가열기(134)(예를 들어, 적외선(IR) 램프 등등)가 사용된다. 복사 가열기(134)는 쉴드(136)의 아래에 위치하므로, 가열기 성능에 부정적인 영향을 미칠 수 있는, 스퍼터링된 타겟 재료가 가열기(134)에 증착되는 것이 방지된다.
작동에 있어서, 플래튼(154)은 선택적으로 상부 프로세싱 위치(도시된 바와 같음)나 하부 이송 위치(점선으로 도시됨)에 배치될 수 있다. 웨이퍼 프로세싱(즉, 스퍼터 증착) 중에, 플래튼(154)은 타겟(118)으로부터 미리 결정된 거리에 위치하는 상부 위치로 상승한다. 기판(130)을 수용하거나 배출하기 위하여, 플래튼(154)은 슬릿 밸브(124)와 실질적으로 정렬된 하부 위치로 이동하여 로봇을 이용한 기판 이송을 용이하게 한다.
플래튼(154)은, 플래튼(154)의 상부 기판 지지 표면에 배치되는 하나 이상의 폴리머 부재를 포함할 수 있다. 폴리머 부재는 적당한 플라스틱이나 탄성중합체일 수 있다. 일 실시예에서 폴리머 부재는 홈(groove) 내에 배치되는 O-링이다. 작동에 있어서, O-링과 기판(130) 사이의 마찰로 인해서 회전하는 플래튼(154)의 기판 지지 표면(186)을 따른 웨이퍼의 미끄러짐이 방지될 수 있다.
플래튼(154)은 표면으로부터 위로 연장하는 환형 주변 림(rim)과 환형 주변 상향 트랜치를 포함할 수 있다. 이러한 림은, 플래튼(154)의 높은 각속도에서 기판이 미끄러지는 것을 추가적으로 방지하는, 표면 내의 기판 수용 포켓(pocket)을 형성할 수 있다. 몇몇 실시예에서는, 플래튼(154)의 중심으로부터 최소의 오프셋을 두고 위치시키기 위해 기판(130)을 안내하도록, 림이 챔퍼(chamfer) 가공되거나, 기울여지거나(angled), 휘어지거나(rounded) 또는 달리 구성될 수 있다.
다른 실시예에서는, 플래튼(154)이 클램프 링(clamp ring), 정전 척(electrostatic chuck), 매설된 기판 가열기, 냉각 유체 및/또는 후방 가스를 위한 통로(즉, 열 교환), 무선 주파수 전극, 및 기타 PVD 프로세스를 향상시키기 위한 수단을 포함할 수 있다. 후방 가스, 냉각 유체, 그리고 전기 및 무선 주파수 전력의 각각의 공급원(도시되지 않음)에 대한 연결은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 알려진 종래의 수단을 사용하여 이루어질 수 있다.
다시 도 1을 참조하면, 일반적으로 운동 제어 유닛(170)은, 도시되는 바와 같이, 벨로우즈(bellows)(148), 자기 드라이브(144), 변위 드라이브(140), 및 리프트 핀 메커니즘(138)을 포함하며, 이들은 챔버 몸체(128)에 부착된 브래킷(bracket)(152) 상에 장착된다. 벨로우즈(148)는 벨로우즈의 하부 플레이트(192)에 회전가능하게 결합하는(화살표 156으로 표시됨) 컬럼 모듈(150)에 대해 신축가능한 진공-밀봉 시일을 제공한다. 브래킷(152)과 챔버 몸체(128) 사이의 진공 밀봉 인터페이스는, 예를 들어 하나 또는 그보다 많은 O-링이나 짓이길 수 있는(crushable) 구리 시일(도시되지 않음)을 사용하여 형성될 수 있다.
컬럼 모듈(150)은 자기 드라이브(144)에 근접하게 배치되는 다수의 자기 부재(142) 및 샤프트(198)를 포함한다. 작동에 있어서, 자기 드라이브(144)는 자기 부재(142)를 자기적으로(magnetically) 회전시키도록 선택적으로 에너지가 가해질 수 있는 다수의 고정자를 포함하여, 컬럼 모듈(150)과 플래튼(154)을 회전시킨다. 일 실시예에서, 기판 받침대(126)의 각속도는 약 10 내지 100 RPM 범위에서 선택적으로 제어된다. 자기 드라이브는 받침대를 회전시키기에 적당한 다른 모터나 드라이브로 대체할 수도 있다고 생각된다.
작동에 있어서, 타겟(118)으로부터 스퍼터링된 물질의 흐름(flux)은, 타겟의 재료 조성에서의 변동, 타겟 상에서의 오염물질(예를 들어, 산화물, 질화물 등)의 축적, 리드 조립체(102)에서의 기계적 오정렬, 및 기타 요인들로 인해서, 공간적으로 비-균일하다. PVD 챔버(100)에서의 막 증착과정 동안에, 기판 받침대(126)의 회전 운동은 스퍼터링된 물질 흐름의 이러한 공간적 비-균일성을 상쇄시켜, 회전하는 기판(130) 상에 매우 균일한 막이 증착되게 한다. 예를 들어, 타겟(118)의 상이한 영역으로부터 스퍼터링된 물질에서의 변동은 기판(130)이 회전함에 따라 기판 전역에 걸쳐 평균화되고, 이로써 증착된 막은 높은 두께 균일성을 가지게 된다.
변위 드라이브(140)는 벨로우즈(148)의 하부 플레이트(192)에 단단하게 결합하며, 작동에 있어서, 하부(즉, 웨이퍼 수용/배출) 위치와 상부(즉, 스퍼터링) 위치 사이에서 기판 받침대(126)를 이동(화살표(184)로 표시됨)시키는 것을 용이하게 한다. 변위 드라이브(140)는 공압 실린더, 유압 실린더, 모터, 선형 구동기구(linear actuation) 또는 받침대(126)의 고도를 제어하기에 적절한 기타 장치일 수 있다.
지지 시스템(160)은 여러 기구(apparatus)를 포함하는데, 이들은, 함께 작용하여, PVD 챔버(100)의 작용을 용이하게 한다. 도시된 바와 같이, 지지 시스템(160)은 하나 또는 그보다 많은 스퍼터링 전력 공급부, 하나 또는 그보다 많은 진공 펌프, 스퍼터링 가스 및/또는 가스 혼합물의 공급원, 제어 기기 및 센서, 그리고 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게 알려진 유사 장치들을 포함한다.
제어기(180)는 중앙 처리 유닛(CPU), 메모리, 그리고 지원 회로(어느 것도 도시되어 있지 않음)를 포함한다. 제어기(180)는 인터페이스(182)를 통해 PVD 챔버(100)의 부품에 결합되어 PVD 챔버(100) 뿐만 아니라 챔버 내에서 실행되는 증착 프로세스를 제어한다.
예시적인 프로세싱 시스템 구성
도 2는, 챔버 인터록 보드(chamber interlock board; 208) 및 챔버 인터페이스 보드(206)에 의해 상호 연결되는 프로세싱 챔버(202) 및 시스템 제어기(204)를 포함하는 프로세싱 챔버 시스템(200)을 도시하는 예시적인 시스템 다이어그램이다.
시스템 제어기(204)는 프로세싱 챔버(202)(예를 들어, 도 1에서 설명된 바와 같은 반응기(100)) 및 기판 지지 조립체(예를 들어, 도 1에 도시된 바와 같은 회전 조립체(150))를 완성하는데 사용되는 여러 부품을 제어하도록 구성된다. 시스템 제어기(204)는 일반적으로 전체 프로세스 챔버(202)의 자동화 및 제어를 용이하게 하도록 설계되며, 통상적으로 중앙 처리 유닛(CPU)(210), 메모리(212), 지원 회로(또는 I/O)(214)를 포함한다. CPU(210)는 프로세스(예를 들어, 기판 지지 온도, 전력 공급 변수, 챔버 프로세스 시간, I/O 신호 등)를 모니터하고 다양한 시스템 기능, 챔버 프로세스 및 지원 하드웨어(예를 들어, 탐지기, 로봇, 모터, 유체 공급원 등)를 제어하기 위한 산업적 설정에서 사용되는 임의 형태의 컴퓨터 프로세서 중 하나일 수 있다. 메모리(212)는 CPU(210)에 연결되며, RAM, ROM, 플로피 디스크, 하드 디스크, 또는 임의의 다른 형태의 로컬(local) 또는 리모트(remote) 디지털 스토리지와 같이 즉각적으로 이용가능한 메모리 중 하나 이상일 수 있다. 소프트웨어 명령 및 데이터는 CPU(210)에 명령하기 위하여 메모리(212) 내에 코딩되고 저장될 수 있다. 지원 회로(214)는 또한 종래 방식으로 프로세서를 지지하기 위하여 CPU(210)에 연결될 수 있다. 지원 회로(214)는 캐시(cache), 전력 공급부, 클럭 회로(clock circuits), 입력/출력 회로 소자(circuitry), 서브시스템(subsystem) 등을 포함할 수 있다. 시스템 제어기(204)가 판독가능한 프로그램(또는 컴퓨터 명령어)은 기판상에서 수행가능한 작업을 결정한다. 바람직하게, 프로그램은, 프로세싱 챔버(202) 내에서 수행되는 다양한 챔버 프로세스 레시피(recipe) 단계 및 다양한 프로세스 레시피 작업과 함께 기판의 모니터링, 이동의 제어 및 실행, 지지, 위치 설정(positioning) 및/또는 회전과 관련된 작업을 수행하기 위한 코드를 포함한다.
시스템 제어기(204)는, 예를 들어 안전 인터록 메커니즘(safety interlock mechanisms)(예를 들어, 릴레이(relays), 하드웨어 스위치 등)으로부터 이익을 얻을 수 있는 챔버(202)의 부재를 제어하기 위하여 챔버 인터록 보드(208)와 인터페이싱한다. 예를 들어, 챔버 커버 분리 메커니즘은 챔버 인터록 보드(208)를 통해 시스템 제어기(204)에 접근될 수 있다. 커버가 개방되기 전에, 예를 들어, 시스템 제어기(204)는 먼저 프로세싱 챔버(202) 내의 프로세싱을 먼저 정지시킬 수 있다. 인터록(interlocks)은 시스템 제어기(204)가, 프로세싱 챔버(202)의 리드(lid) 내에서 록 메커니즘(lock mechanism)을 분리하기 전에, 하나 또는 그보다 많은 가스 밸브가 닫히고, 전압 공급이 정지되었는지 등을 확인하는 것을 보조한다.
시스템 제어기(204)는 챔버 인터페이스 보드(206)와 인터페이싱하여, 상당한 안전상의 고려를 갖는 것으로 여겨 지지 않을 수 있는 프로세싱 챔버(202)의 부재를 제어한다. 일부 실시예에서, 프로세싱 시스템(200)은 챔버 인터록 보드(208)를 포함하지 않는다. 다른 실시예에서는, 챔버 인터페이스 보드(206) 및 챔버 인터록 보드(208)가 단일 회로 보드 내에 설계될 수 있다.
단일 부재의 회전을 모니터하기 위한 예시적인 센서 시스템
도 3은 프로세싱 챔버 내에 배치된 메커니즘의 회전을 모니터하기 위한 예시적 센서 제어 시스템(300)을 도시한다. 센서 제어 시스템(300)은 예를 들어, 도 1에서 설명된 바와 같은 회전가능한 마그네트론 팩(114) 또는 회전 가능한 기판 받침대(126)를 모니터하는데 사용될 수 있다. 센서 제어 시스템(300)은 각각 챔버(202) 내의 회전 부재(305)(예를 들어, 기판 지지부, 마그네트론 등)를 모니터하는 센서(301)를 포함한다. 센서(301)는, 일부 예에서, 광학 센서, 근접 센서(proximity sensor), 홀 효과 센서(Hall effect sensor), 또는 회전 부재(305)의 위치 및/또는 이동을 결정할 수 있는 기타의 감지 장치를 포함할 수 있다.
하나 또는 그보다 많은 센서 라인이 센서(301)를 챔버 인터록 보드(208)에 연결한다. 예를 들어, 챔버 인터록 보드(208)는 기판 지지부가 증착 위치로 상승될 때까지 또는 기판 지지부의 리프트 핀이 하부 위치에 있을 때까지 기판 지지부의 회전을 중지시킬 것인지 여부를 결정하기 위하여 센서(301)의 출력을 처리할 수 있다. 다른 실시예에서는, 센서(301)가 챔버 인터페이스 보드(206)에 연결될 수 있다.
센서(301)는 또한 타코미터(tachometer)(307)에도 연결된다. 타코미터(307)는, 예를 들어, 독일 Rielasingen의 Motrona GmbH 로부터 구입할 수 있는 DX 020 패널 타코미터와 같이 규격품으로 구입할 수 있는(off-the-shelf) 타코미터로 구현될 수 있다. 타코미터(307)는 센서(301)로부터 신호(예를 들어 전압 펄스)를 수신하고, 이 신호를 전압 출력으로 변환시키는데, 이러한 전압 출력의 수준은 회전 부재(305)의 RPM과 관련된다. 예를 들어, 타코미터(307)는 센서(301)로부터 수신된 펄스들 사이의 거리를 측정(time)할 수 있다. 다른 예에서는, 타코미터(307)가 일정 시간 주기에 걸쳐서 센서(301)로부터 수신된 펄스의 개수를 계수(count)할 수 있다.
센서(301)의 신호 라인은 챔버 인터록 보드(208) 내의 단조다증발진기(monostable multivibrator)(302)로 공급된다. 단조다증발진기(302)는, 예를 들어, 회전 부재(305)가 회전하는지 여부를 모니터하는 안전 메커니즘에 포함될 수 있다. 챔버 인터록 보드(208)는 안전 메커니즘의 출력을, 예를 들어 시스템 제어기(204)에 제공할 수 있다. 시스템 제어기(204)는, 프로세싱 챔버(202) 내에서 다음 프로세싱 단계를 시작하기 전에 회전 부재(305)가 회전하지 않는다는 것을 확인하기 위하여, 안전 메커니즘의 출력을 검사할 수 있다. 일부 실시예에서, 챔버 인터록 보드(208)는 회전 부재(305)의 실제 속도에는 관련되지 않고 오직 회전 부재(305)가 운동하고 있는지에만 관련될 수 있다.
단조다증발진기(302)는 광-차단기(304)로 공급한다. 광-차단기(304)는 단조다증발진기(302)로부터 수신된 신호로부터 CPU(306)에 대한 보호를 제공할 수 있다. 예를 들어, 광-차단기(304)는 전압 과도현상(voltage transients)으로부터 CPU(306)를 보호할 수 있다.
CPU(306)는 단조다증발진기(302)로부터 센서 출력값을 수신하고(예를 들어 회전 on/off), 안전 문제(safety concern)가 존재하는지 여부를 결정하기 위하여 이 정보를 이용할 수 있다. 일부 실시예에서, CPU(306)는 안전 문제가 존재하는지 여부를 결정할 수 있는 시스템 제어기(204)로 이러한 정보를 제공할 수 있다.
타코미터(307)는 회전 부재(305)의 RPM을 계산하고 상응하는 전압 수준을 출력한다. 예를 들어, 타코미터(307)는 0 내지 10 볼트 범위의 전력 수준을 출력할 수 있으며, 여기서 10 볼트는 200 RPM의 회전 속도를 나타낸다. 일부 실시예에서, 타코미터(307)는 디스플레이를 포함할 수 있다. 예를 들어, 타코미터(307)에 부착된 LCD 스크린은 사용자에게 현재 RPM 측정치를 제공할 수 있다. 타코미터(307)는, 예를 들어, 챔버(202)의 외부 표면상에 장착될 수 있거나 또는 챔버(202) 부근의 쉽게 접근할 수 있는 위치(예를 들어, 가까운 벽, 장비 랙(rack), 또는 기타 표면 상에)에 장착될 수 있다.
타코미터(307)는 회전 부재(305)의 RPM 속도를 결정하는데 있어서 센서 판독값(301)을 이용하기 위하여 센서(301)의 신호 및 그라운드(ground) 라인에 결합한다. 도 3에 도시된 바와 같이, 타코미터(307)는 시스템 제어기(204)에 RPM 범위에 맵핑되는(map) 전압 출력을 제공할 수 있다.
그러나, 규격품으로 구입할 수 있는 타코미터(307)의 하드웨어 구성에 따라서, 그라운드 및 혼선(cross-talk) 비양립성(incompatibility) 문제가 시스템(300)에 발생할 수 있다. 예를 들어, 광-차단기(304)는 CPU(306)와 시스템(300)의 나머지 부분과의 사이에 전기적 분리(galvanic isolation)를 형성할 수 있다. 타코미터(307)의 삽입은 그라운드 전위 문제와 혼선을 야기할 수 있으며, 이는 계속해서 CPU(306)에서 전기적 분리를 없앨 수 있다.
타코미터(307)의 신호 입력은, 예를 들어 +24 볼트 풀업 네트워크(pull-up network)(314)를 포함할 수 있다. 챔버 인터록 보드(208)도 유사하게 센서(301)로부터의 신호 입력부에 +12 볼트 풀업 네트워크(308)를 포함할 수 있다. 단조다증발진기(302)의 스위치 메커니즘이 off 위치에 있으면, 예를 들어, 센서(301)의 신호 라인이 약 16 내지 18 볼트 또는 그보다 큰 볼트 사이에서 부유(float)할 수 있다. 이는 단조다증발진기(302)(예를 들어 CMOS 회로)에서 전방 바이어싱(forward biasing)을 야기할 수 있다. 단조다증발진기(302)는 그 자체의 입력 공급(예를 들어 12 볼트) 위로 바이어스되면, 래치업(latch up)될 수 있다. 이는, 챔버 인터록 보드(208)에 손상을 일으킬 수 있는, 플러스와 마이너스 레일 사이에 크로바(crowbar) 효과를 발생시킬 수 있다.
단조다증발진기(302)의 전방 바이어싱을 없애기 위하여, 센서(301)의 신호 및 그라운드 센서 라인이 챔버 인터록 보드(208)로 들어가기 전에, 센서(301)의 신호 및 그라운드 센서 라인에, 선택적인 광-차단기(도시되지 않음)가 삽입될 수 있다. 위에서 언급한 선택적인 광-차단기 대신에 또는 이에 추가하여, 선택적인 광-차단기(312)가 타코미터(307)의 신호 및 그라운드 입력 라인에 삽입될 수 있다(이는 센서(301)의 신호 및 그라운드 센서 라인에 결합된다). 예를 들어, 선택적인 광-차단기(312)는 분리(isolation)를 제공할 수 있으며 크로바 효과를 방지할 수 있다.
시스템 제어기(204)는 타코미터(307)의 출력을 수신한다. 시스템 제어기(204)는 이 정보를 이용하여 사용자가 회전 부재(305)의 회전 속도를 모니터할 수 있게 한다. 일부 실시예에서, 시스템 제어기(204)는 타코미터(307)의 출력에 기초하여 경보 상태를 발생시킬 수 있다. 예를 들어, 타코미터(307)로부터 수신된 출력 전압이 0에 도달하면(reach), 시스템 제어기(204)는 회전 부재(305)가 더 이상 운동하지 않는다는 것을 나타내는 에러를 발생시킬 수 있다. 시스템(300)에서, 타코미터(307)는 센서(301)의 신호 및 그라운드 센서 라인에 직접 결합한다.
시스템(300)은, 각각의 챔버가 별도의 타코미터에 의해 모니터되고 각각의 타코미터가 개별적으로 시스템 제어기(204)에 결합되도록 다-챔버 프로세싱 시스템(예를 들어, 도 2에서 설명된 바와 같은 다-챔버 프로세싱 시스템(200))에 대해 스케일될 수 있다.
8개까지의 부재들의 회전을 모니터하기 위한 예시적인 센서 시스템
도 4는 예시적인 챔버 모니터 시스템(400)을 도시하는 회로 다이어그램인데, 여기서는 센서 제어 시스템(402)이 병렬 구조를 이용하여 다수의 상이한 센서에 연결될 수 있다. 예를 들어, 센서 제어 시스템(402)은 동일하거나 상이한 프로세싱 챔버 내에 배치된 2개 또는 그보다 많은 센서를 모니터할 수 있다. 일부 실시예에서, 센서 제어 시스템(402)은 사용자-특정 조건에 따라 각각의 개별적인 센서로부터의 데이터를 분석할 수 있다. 일부 실시예에서 센서 제어 시스템(402)은 센서 데이터 및/또는 센서 데이터의 분석을 통해 얻은 임의의 정보를 시스템 제어기(204), 다-챔버 프로세싱 시스템의 인터페이스, 또는 다른 컴퓨터 시스템에 제공할 수 있다.
도시된 예에서, 센서(301)는, 센서 그라운드가 챔버 인터록 보드(208)로 연장하지 않는다는 점을 제외하고는, 도 3에서 설명된 것과 동일한 방식으로 챔버 인터록 보드(208)에 연결된다. 센서(301)로부터 연장하는 신호 라인은 그라운드-탐색 디지털 신호(ground-seeking digital signal)일 수 있다. 센서 제어 시스템(402)은 센서(301)의 +12 볼트 전력 라인과 신호 라인에 결합한다.
예시적인 센서 제어 시스템(402)은, 예를 들어, 8개까지의 광학적으로 차단된 센서 입력들(404)을 받아들일 수 있다. 일부 실시예에서, 센서 입력의 개수는, 예를 들어 수백 개의 채널로부터의 입력이 가능하도록 스케일될 수 있다. 센서 입력(404)에 관련된 회로 소자는 도 6을 참조하여 매우 상세하게 설명된다. CPU(406)는 8개의 센서 입력(404) 각각에 대해 개별적으로 타코미터 기능을 제공한다. 예를 들어, 8개까지의 프로세싱 챔버에 부착된 8개까지의 센서들은 센서 제어 시스템(402)에 연결될 수 있다.
일부 실시예에서, 단일 챔버 내에 하나보다 많은 센서가 배치될 수 있다. 예를 들어, 특정 챔버는 센서 장치에 의해 모니터되는 회전 기판 지지부 및 회전 마그네트론(예를 들어, 도 1에서 설명된 바와 같이, 회전 가능한 기판 받침대(126) 및 회전 가능한 마그네트론 팩(114)) 모두를 구비할 수 있다.
챔버 내의 회전 장치를 모니터하기 위한 단일 센서를 이용하는 대신에, 일부 실시예에서는 두 개의 별도의 센서에 속하는 센서 데이터가 단일 회전 장치와 관련하여 모니터될 수 있다. 두 개의 센서 사이의 RPM 값의 일관성이 비교되어, 예를 들어 회전 장치가 회전하기보다는 왕복 운동하는지 여부를 결정할 수 있다. 예를 들어, 사용자는 각각의 센서 장치로부터의 데이터 수신에 관하여 센서 제어 시스템 내에 파라미터를 만들 수 있다(예를 들어, 센서 장치 "A"로부터의 데이터 이후에 센서 장치 "B"로부터의 데이터가 뒤따르지 않거나, 센서 장치 "A"와 관련된 RPM 값이 센서 장치 "B"와 관련된 RPM 값과 본질적으로 동일하지 않다면, 에러 상태를 선언한다).
일부 실시예에서, 센서 입력의 개수는 스케일될 수 있다. 예를 들어, 센서 제어 시스템은 수천 개까지의 센서 입력 채널로부터 입력을 수신할 수 있다. 예를 들어, 포트 확장 칩(port expanding chip)이 (예를 들어, 우선순위 인터럽트 핸들러 체인(priority interrupt handler chain)에 있는) 하나 또는 그보다 많은 CPU 인터럽트(interrupts)에 결합되어 센서 데이터를 예시적인 센서 제어 시스템(402)으로 안내할(channel) 수 있다.
일부 실시예에서, 센서 제어 시스템(402)은 시스템 제어기(204) 내에 설계될 수 있다. 일 실시예에서, 센서 제어 시스템(402)은 시스템 제어기(204)와 별도의 존재로서 구현될 수 있다. 예를 들어, 센서 제어 시스템(402)은 시스템 제어기(204)상에 장착되거나 이와 나란하게 장착될 수 있다. 센서 제어 시스템(402)은 시스템 제어기(204)와 통신하거나 통신하지 않아도 좋다.
센서 제어 시스템(402)은 디스플레이(408)(예를 들어, LCD 스크린, LED 디스플레이 등)를 포함할 수 있는데, 이는 예를 들어 센서 제어 시스템(402)의 센서 입력(404)에 연결된 8개까지의 센서 각각에 의해 모니터되는 회전 부재의 현재 회전 속도(RPM)에 대한 시각적인 표현을 사용자에게 제공할 수 있다. 센서가 모니터하는 각각의 장치의 확인(identification)(예를 들어 챔버 확인), 센서 제어 시스템(402)의 각각의 입력 포트가 현재 센서에 연결되었는지 여부의 표시, 또는 센서 제어 시스템(402)에 결합되는 센서가 모니터하는 하나 또는 그보다 많은 장치 내에 에러 상태가 탐지된 경우에 경보 메커니즘의 표시와 같은 다른 정보가 디스플레이(408) 내에 포함될 수 있으나, 이에 한정되는 것은 아니다.
에러 상태는, 예를 들어, 회전 부재가 움직이지 않게 된 경우에 발생할 수 있다. 예를 들어, 회전 부재(305)가 회전이 아니라 왕복 운동하기 시작했다면, 센서(301)는 센서 제어 시스템(402)이 매우 높은 RPM 값(예를 들어, 모니터되는 회전 부재의 기능에 따라, 200 RPM 또는 1000 RPM과 같이 예상된 RPM보다 더 큰 RPM 값)으로 해석하는 측정 펄스를 발생시킬 수 있다. CPU(406)는 높은 RPM 값을 에러 상태로서 인식하여 에러를 기록(log)하거나 그렇지 않다면 사용자에게 경보를 발할 수 있다. 일부 실시예에서, 사용자는 모니터되는 하나 또는 그보다 많은 장치의 기능에 대해 이상 상태(out-of-bounds conditions)를 설정할 수 있다. 예를 들어, 사용자는 10 RPM 보다 적거나 200 RPM 보다 큰 임의의 회전 측정치를 에러 경보를 발생시키는 원인이라고 지정할 수 있다.
일부 실시예에서, 더 높은 주파수 CPU 클럭(clock)을 사용하여 더 큰 최대 RPM 값이 달성될 수 있다. 예를 들어, 센서 입력이 다수의 CPU 클럭 주파수에 기초한 스케줄로 센서 제어 시스템(402)에 의해 처리되면(예를 들어, 수신되고 증가되면), 더 높은 주파수 클럭은 동일한 탐지 입도(detection granularity)를 유지하면서도 더 높은 최대 RPM 한계에 대한 가능성을 제공할 수 있다.
센서 제어 시스템(402)에 연결된 각각의 센서의 현재 상태를 사용자에게 알려주기 위하여, 센서 제어 시스템(402)은 입력/출력(I/O) 라인(410) 세트를 통해 사용자에게 정보를 제공할 수 있다. I/O 라인(410)은 다양한 네트워크 통신 프로토콜을 이용하여 정보를 전달할 수 있다. 몇몇 예에서, I/O 라인(410)은 센서 버스 라인(410a), 시리얼 I/O 라인(410b), 및 아날로그 출력 라인(410c)을 포함할 수 있다.
센서 버스 라인(410a)은 DeviceNet 네트워크 버스를 이용하여 구현될 수 있다. DeviceNet 은 센서 버스에 대한 Open DeviceNet Vendor Association에 의해 유지되는 개방 프로토콜로서, 시스템(예를 들어 다-챔버 프로세싱 시스템) 내의 여러 개의 장치(예를 들어 모터, 센서, 가열기, 램프 등)가 단일 버스를 통해 장치가 자신의 작업(예를 들어 작동(activation), 정지(deactivation), 회전 등)을 실행하도록 장치에 명령을 제공하고 장치로부터 시스템 작동에 관한 피드백(예를 들어, 웨이퍼 위치, 실제 가스 유량, 온도 등)을 수신하는 제어기(예를 들어 시스템 제어기(204))와 통신할 수 있게 한다. 시스템 제어기(204)는, 예를 들어, 각각의 장치의 기능을 제어하기 위하여 DeviceNet 네트워크를 통해 명령을 송신할 수 있다. DeviceNet 대신에 또는 이에 추가하여 사용될 수 있는 다른 센서 버스 네트워킹 프로토콜은 N.C. Raleigh의 Square D Company로부터 구입할 수 있는 Seriplex 이다.
시리얼 I/O 라인(410b)은 멀티드롭 시리얼 링크(multidrop serial link)를 사용하여 구현될 수 있다. 멀티드롭 시리얼 링크는, 몇몇 예에서, 단일 시리얼 포트 상에 데이지 체인 방식으로 연결된(daisy-chained) 63개까지의 센서 장치를 수용할 수 있다. 각각의 센서 장치는, 예를 들어 고유한 장치 식별 값을 사용하여 어드레싱될 수 있다.
일부 실시예에서, 각각의 센서 장치를 멀티드롭 시리얼 링크에 연결하기 위해 표준 전화선(예를 들어, RJ-14)이 사용될 수 있다. 센서 제어 시스템(402)과 데이지 체인 방식으로 연결된 센서 장치 사이의 통신은, 예를 들어, ASCII, ASCII 헥사데시멀(hexadecimal), 또는 표준 ASCII 제어 문자를 이용하여 구현될 수 있다. 멀티드롭 시리얼 링크에 연결된 각각의 센서 장치와 센서 제어 시스템(402) 사이의 반이중 방식(half-duplex) 통신은 센서 제어 시스템(402)에 의해 개시될 수 있다.
시리얼 I/O 라인(410b)은, 예를 들어, 센서 제어 시스템(402)의 출력을 다-챔버 프로세싱 시스템에 연결하는데 사용될 수 있으며, 이는 계속해서 각각의 프로세싱 챔버와 센서 제어 시스템(402) 사이에서 정보를 전달할 수 있다. 일 예에서, 캘리포니아 Santa Clara의 Applied Materials, Inc.로부터 구입할 수 있는 200 밀리미터 ENDURA 플랫폼은 한정된 개수의 통신 포트를 포함한다. 사용자가 다-챔버 Endura 200 mm 프로세싱 시스템의 각각의 프로세싱 챔버 사이의 통신을 원한다면, 센서 제어 시스템(402)의 시리얼 I/O 라인(410b)이 다-챔버 Endura 200 mm 프로세싱 시스템의 단일 포트 컴퓨터 제어기의 전방 패널 포트에 결합될 수 있다.
아날로그 출력 라인(410c)은 각각의 센서 입력(404)에 하나가 할당되는, 8개까지의 개별적 아날로그 출력 라인들을 포함할 수 있다.
(예를 들어, 시스템 제어기(204)로부터) I/O 라인(410)을 통해 센서 제어 시스템(402)으로 제공된 정보는 각각의 회전 부재에 대한 RPM 제한(예를 들어, 회전 부재가 에러 상태에 있지 않은 범위), (예를 들어, 회전 부재가 회전해야하는가 여부인 프로세스 레시피의 단계에 기초하여) 특정 센서의 모니터링의 작동 개시 및 정지, 또는 각각의 센서와 연관된 기타 설정(예를 들어, 로깅 설정(logging setting), 에러 경보 설정 등)을 포함할 수 있으나, 이에 한정되는 것은 아니다. 일부 실시예에서, 센서 제어 시스템(402)에는 계산된 RPM 값(예를 들어, 50 RPM 더하기 또는 빼기 2 RPM의 예상 RPM 값)의 수용가능한 범위와 같은 특성 계량(quality metrics) 및/또는 (예를 들어, 프로세싱 레시피의 다음 단계로의 프로세싱 챔버 스위칭에 의한) 하나 또는 그보다 많은 센서와 관련된 예상 RPM 값의 단계 변화 또는 기타 통계적 정보가 제공된다. 예를 들어, 특성 계량은 판독값에서의 변동(flutter)을 나타낼 수 있다.
센서 제어 시스템(402)은, 일부 실시예에서, 원격 시스템으로부터의 요청에 따라서, 또는 경보 상태가 인식되었을 때, 예정된 기준에 따라 I/O 라인(410)에 연결된 하나 또는 그보다 많은 원격 시스템에 회전 데이터 및, 선택적으로, 다른 정보를 제공할 수 있다. 일부 실시예에서, 시스템 제어기(204)는 센서 제어 시스템(402)의 출력을 이용하여 장치 로그 엔트리(log entries), 에러 경보 등을 생성할 수 있다.
도 5는 챔버 모니터링 시스템(500)의 다수의 프로세싱 챔버(502)에 결합되는 센서 제어 시스템(402)을 도시하는 시스템 다이어그램이다. 각각의 프로세싱 챔버(502)는 회전 부재(예를 들어, 기판 지지부, 마그네트론 등)를 모니터하는 센서(504)를 포함한다. 각각의 센서(504)는 센서 제어 시스템(402) 및 챔버 인터록 보드(506)에 연결된다.
센서 제어 시스템(402)은 각각의 센서(504)에 대한 타코미터 측정을 제공할 수 있다. 센서 제어 시스템(402)은, 일부 실시예에서, 일정 주기의 시간에 걸쳐 각각의 센서(504)로부터 수신된 펄스의 개수를 계수할 수 있다. 단위 시간당 수신된 펄스의 개수는, 예를 들어, RPM 값을 계산하는데 사용될 수 있다.
센서 제어 시스템(402)은, 일부 실시예에서, 각각의 센서(504)와 관련된 하나 또는 그보다 많은 카운터(counter)의 열(508)을 포함할 수 있다. 예를 들어, 각각의 센서(504)에 대해 2개의 16 비트 카운터 열(508)이 제공될 수 있다. 센서 제어 시스템(402)은 설정된 인터럽트 스케줄(예를 들어, 타이머)에 따라 제1 카운터 열(508)을 증가시키고, 센서(504)로부터 입력(예를 들어 모니터되는 회전 부재의 단일 회전에 상응하는 전압 펄스)의 수신에 따라 제1 카운터 열(508) 내에 저장된 현재 값을 제2 카운터 열(508)로 전달할 수 있다.
예를 들어, 제1 카운터 열(508)은 매 1/1000 초마다 증가될 수 있다. 16 비트 카운터를 사용하면, 제1 카운터 열(508)은 매 6.5535초마다 오버플로우(overflow)될 수 있다. 제1 카운터 열(508)이 오버플로우되면, 오버플로우 표지(overflow flag)(510)가 세팅될 수 있다. 이러한 시간 동안 센서(504a)가 아무런 인터럽트를 수신하지 않는다면, 센서 제어 시스템(402)(예를 들어, 소프트웨어, 펌웨어(firmware) 등)은 회전 부재가 멈추었다고 결정하고 0의 RPM 값을 출력할 수 있다. 예를 들어, 실제로는, 회전 부재가 10 RPM 보다 낮은 속도로 회전하면, (예를 들어 지연된(stalled) 또는 늦춰진(slowed)) 에러 상태에 있는 것으로 간주될 수 있다. 일부 실시예에서, 센서 제어 시스템(402)이 에러 상태를 인식하기 위해 사용할 수 있는 최소 RPM 값을 사용자가 설정할 수 있다.
센서(504a)가 펄스를 수신하면, 예를 들어, 센서 제어 시스템(402)은 제1 카운터 열(508a)의 현재 값을 센서(504a)에 대한 제2 카운터 열(508a)로 전달하고 제1 카운터 열(508a)을 리셋할 수 있다. 이후 센서 제어 시스템(402)은 유효 표지(510a)를 설정하고 (선택적으로) 오버플로우 표지(512a)를 리셋할 수 있다. 센서 제어 시스템(402)은 제2 카운터 열(508a) 내에 저장된 샘플 값을 처리하여 이전 펄스가 수신된 이후의 시간 길이를 결정할 수 있다.
제1 카운터 열(508a)이 오버플로우되면, 센서 제어 시스템(402)은 오버플로우 표지(512a)를 설정하고, 유효 표지(510a)를 리셋하며, 제1 카운터 열(508a) 및 제2 카운터 열(508a)을 모두 0으로 리셋한다.
통신 하우스키핑 루틴(housekeeping routine) 동안에, 센서 제어 시스템(402)의 소프트웨어(또는 펌웨어)는 각각의 유효 표지(510)를 검사하여, 유효 표지가 참값(true)으로 설정되어 있으면 제2 카운터 열(508) 내에 수집된 데이터를 처리하여 각각의 카운터 열(508)과 관련된 현재 RPM 값을 결정할 수 있다. 통신 하우스키핑 루틴은 (도 4에 도시된 바와 같이) I/O 라인(410)을 통해 센서 제어 시스템(402)에 연결된 하나 또는 그보다 많은 원격 시스템 및/또는 디스플레이(408)로, 처리된 회전 데이터를 제공할 수 있다. 일부 실시예에서, 하우스키핑 루틴이 임계치(예를 들어 200 RPM)보다 큰 RPM 값을 계산하면, 하우스키핑 루틴은 에러 상태가 탐지된 것으로 가정한다. 하우스키핑 루틴은 데이터가 처리된 이후에 유효 표지(510)를 리셋하는 과정을 포함할 수 있다.
통신 하우스키핑 루틴이 유효 표지(510)가 거짓값(false)으로 설정된 것을 확인하면, 하우스키핑 루틴은 에러 상태를 브로드캐스트(broadcast)하도록 디스플레이(408) 및/또는 I/O 라인(410)을 업데이트할 수 있다.
시스템(500)이 챔버(502)마다 단일 센서(504)를 도시하고 있으나, 일부 실시예에서는, 하나보다 많은 센서(504)가 특정 챔버(502) 내에 설치될 수 있다. 예를 들어, 챔버(502)는 센서 제어 시스템(402)에 의해 모니터되는 회전 마그네트론 및 회전 기판 지지부 모두를 가질 수 있다. 일부 실시예에서, 센서(504)는 챔버 인터록 보드(506)에 연결되기보다는 챔버 인터페이스 보드(예를 들어, 도 2에 도시된 바와 같은 챔버 인터페이스 보드(206))에 연결될 수 있다.
도 6은 다중 프로세스 챔버를 모니터하기 위한 센서 제어 시스템(402)의 예시적인 하드웨어 구성(600)을 도시한다. 하드웨어 구성(600)은, 예를 들어, 전압 과도현상을 완화시키고 챔버 모니터 시스템(예를 들어, 도 4에서 설명한 바와 같은 챔버 모니터 시스템(400)) 내의 전기 신호 이상(anomalies)으로부터 연결 하드웨어를 보호하도록 설계될 수 있다.
센서 제어 시스템(402)은 (예를 들어, 도 3의 센서(301)와 같은 센서에 각각이 연결되는) 8개까지의 광학적으로 차단된 센서 입력(404)을 수신하도록 구성된다. 각각의 센서 입력(404)은 1500 볼트까지 전기적으로(galvanically) 분리되는 포지티브 채널 및 네거티브 채널 전압 입력을 구비한 어써라이저(authorizer)로 이루어진다. 어써라이저는 그라운드에 대한 전방 트랜지스터를 포함하고, 그라운드는 보드에 대해 내부에 위치하며 전체 시스템(예를 들어, 도 4에서 설명된 바와 같은 시스템(400))과 같이 부유한다. 5볼트 풀업 네트워크가 CPU(406)로 공급된다. 센서 입력(404)은, 일부 실시예에서, 센서 신호 라인으로부터 약 1 밀리암패어(milliamp)를 끌어낼 수 있다.
CPU(406)는, 일부 실시예에서, 초당 약 1200만 개의 명령으로 구동될 수 있다. 센서 입력(404)은 각각 CPU(406) 상의 다이렉트(direct) 또는 벡터 인터럽트(vectored interrupt)(INTx)(602)에 연결될 수 있다. 일부 실시예에서, INTx(602)는 네거티브 인터럽트일 수 있다.
일부 실시예에서, 센서 입력(404)은 INTx 인터럽트(602)에 간접적으로 연결될 수 있다. 예를 들어, 하나 또는 그보다 많은 INTx 인터럽트(602)는 하나의 INTx 인터럽트(602)에 몇 개의 센서 라인이 연결될 수 있게 하는 포트 확장기(port expander)에 연결될 수 있다. 포트 확장기는, 예를 들어, 센서 제어 시스템(402) 내에 설치된 포트 확장 칩 하드웨어/펌웨어를 사용하여 구현될 수 있다. 다른 예에서는, 규격품으로 구입할 수 있는 포트 확장기들이 센서 제어 시스템(402)에 추가되어 센서 제어 시스템(402)에 의해 모니터되는 센서의 전체 개수를 증가시킬 수 있다.
CPU(406)는 3개의 I/O 라인(410)을 제어한다. 센서 버스 라인(410a)은 하나의 버퍼(buffer) 세트(604)를 포함한다. 시리얼 I/O 라인(410b)은 멀티드롭 시리얼 링크 로직 모듈(606)을 포함한다. 멀티드롭 시리얼 링크 로직 모듈(606)은 시리얼 I/O 라인(410b)을 공유하는 각각의 센서 장치와 관련된 정보의 데이지-연결(daisy-chaining)을 제어한다.
아날로그 라인(410c)에 대하여, CPU(406)는 8개의 디지털 대 아날로그 변환기(DACs)(608) 세트에 디지털 데이터를 제공한다. DACs(608)는 아날로그 출력 라인(410c)으로 아날로그 신호를 출력한다. 일부 실시예에서, 아날로그 출력 라인(410c)은 8개의 개별적인 아날로그 라인들로 이루어지며, 각각의 라인들은 센서 제어 시스템(402)에 부착된 특정 센서에 대한 데이터를 운반한다. DACs(608)의 출력은, 일부 실시예에서, 증폭기(610) 세트에 의하여 조정될 수 있다. 예를 들어, DACs(608)가 0 내지 5 볼트 범위를 출력하면, 증폭기(610)는 아날로그 신호의 범위를 0 내지 10 볼트로 증가시킬 수 있다. 0 내지 10 볼트 범위는, 예를 들어 0 내지 200 RPM 범위로 맵핑된다. 다른 실시예에서, 전기적으로 선택된 전위차계(potentiometer)가 DACs 대신에 사용되어 아날로그 출력 신호를 제공할 수 있다.
CPU(406)는 또한 디스플레이(408)를 구동할 수 있다. 일부 실시예에서는, 디스플레이(408)가 LCD 스크린을 포함하는데, 이는 센서 제어 시스템(402)에 의해 모니터되는 각각의 센서에 관한 정보를 제공한다.
일부 실시예에서, CPU(406)는 아날로그 인터페이스 또는 센서 버스 라인(410a)으로부터 그 입력 전압(예를 들어, 24V DC)을 수신할 수 있다. 그러나, 시리얼 I/O 라인(410b)이 센서 제어 시스템(402)에 연결된 유일한 I/O 라인(410)이라면, 입력 전력은 동축 케이블 및 DC 전력 잭 커넥션(jack connection)으로부터 도출될 수 있다. 일부 실시예에서, 센서 제어 시스템(402)은 약 2와트 또는 그보다 적은 전력을 끌어들인다. 예를 들어, 센서 제어 시스템(402)은 약 1와트의 전력을 끌어들일 수 있다.
프로세싱 챔버 장치의 회전을 모니터하기 위한 예시적인 방법
도 7A 및 7B는 다수의 회전 장치를 모니터하는 디지털 타코미터를 구현하기 위한 예시적인 방법을 도시하는 흐름도이다. 이러한 방법은, 예를 들어, 센서 제어 시스템(402) 내에서 (예를 들어, CPU(406) 내에 포함된 소프트웨어 및/또는 펌웨어를 사용하여) 구현될 수 있다. 이러한 방법은 디지털 타코미터에 의해 모니터되는 각각의 회전 메커니즘에 대해 개별적으로 구현될 수 있다.
도 7A에 도시된 바와 같이, 제1 방법(700)은 수신된 센서 인터럽트들 사이의 시간을 계산하기 위한 타임아웃 메커니즘을 구현한다. 방법(700)은 모니터되는 회전 장치가 운동하지 않는 때를 결정하기 위해 추가로 사용될 수 있다. 예를 들어, 센서 제어 시스템(402)은, 회전 장치가 회전을 완료했다는 것을 지시하는 센서로부터의 인터럽트에 대한 무기한의(indefinite) 시간을 기다리기보다는, 미리 결정된 시점에서 하나의 회전에 대해서 너무 오래 소요되었고 따라서 회전 장치가 온동하지 않을 것 같다고 가정할 수 있다.
방법(700)은 타임아웃 인터럽트(702)를 수신함으로써 개시된다. 타임아웃 인터럽트는, 일부 실시예에서, 하드웨어에 기초한 타임아웃 값일 수 있다. 일부 실시예에서, 타임아웃 인터럽트의 타이밍은 다수의 시스템 클럭에 기초할 수 있다. 예를 들어, 타임아웃 인터럽트는 1kHz 클럭 출력에 의하여 생성될 수 있다. 다른 실시예에서, 10 kHz 또는 100 kHz 클럭 출력을 포함하는 다른 클럭 출력이 사용될 수 있으나, 이에 제한되는 것은 아니다.
타임아웃 인터럽트를 수신하면, 카운터 열이 증가된다(704). 카운터 열은, 일부 실시예에서, 각각의 타임아웃 인터럽트에서 증가된다면, 모니터되는 어떠한 회전 장치의 가장 느린 예상 RPM 값보다 더 느린 타임아웃 값에서 카운터 열이 오버플로우되도록 크기가 정해질 수 있다. 예를 들어, 1 kHz 클럭을 사용하면, 16 비트 카운터 열(예를 들어, 도 5에서 설명된 것과 같은 카운터 열(508))은 매 6.5535 초마다 오버플로우될 수 있다. 이러한 예에서, 가장 느린 예상 회전 속도는 10 RPM 일 수 있다.
카운터 열이 오버플로우되면(706), 오버플로우 표지가 설정된다(708). 일부 실시예에서는, 카운터 열의 오버플로우(overflow)가 에러 경보를 유발시킬 수 있다. 예를 들어, 사용자는 센서 제어 시스템(402)에서 경보 받을 수 있거나(예를 들어, 디스플레이(408)를 통한 가청적 및/또는 가시적 경보) 하나 또는 그보다 많은 I/O 라인(410)을 통해서 원격적으로 경보 받을 수 있다.
도 7B에 도시된 바와 같이, 제2 방법(750)은 모니터되는 회전 장치의 회전 속도를 결정하기 위하여 인터럽트 구동식 타이밍 메커니즘을 구현한다. 방법(750)은 센서 출력으로부터 인터럽트를 수신하는 것으로부터 시작한다(752). 인터럽트는, 예를 들어, 센서 제어 시스템(402)의 인터럽트 포트(404) 중 하나에서, 부착된 센서 장치로부터 수신될 수 있다. 인터럽트는 모니터되는 회전 장치의 단일 회전을 표시한다.
카운터 열이 오버플로우되지 않으면(754), 방법(750)은 카운터 열로부터 샘플 계수를 취한다(756). 일부 실시예에서는, 도 7A의 방법(700) 내에서 기술된 바와 같이 증가되는 카운터 열(508)이, 모니터되는 회전 장치의 단일 회전의 속도를 계산하는데 사용될 수 있다. 예를 들어, 각각의 센서 인터럽트에서, 이전 센서 인터럽트 이후의 타이머 인터럽트의 개수는 카운터 열(508)로부터 수집될 수 있다. 샘플 카운트는 제2 카운터 열(758)로 전달될 수 있다. 예를 들어, 샘플 카운터는 (도 5에서 기술된 바와 같이) 16 비트의 카운터 열(508)로 전달될 수 있다.
제2 카운터 열이 유효 데이터를 포함한다는 것을 나타내는 유효 표지가 설정된다(760). 유효 표지는, 예를 들어, 데이터 프로세싱 루틴에서 사용될 수 있다. 유효 표지가 참값으로 설정되면, 데이터 프로세싱 루틴은 제2 카운터 열 내에 수집된 샘플 카운트를 사용하여 회전 장치의 추정 속도를 계산할 수 있다. 오버플로우 표지가 리셋되어(762), 이 프로세싱 사이클 동안 오버플로우 상태가 발생하지 않았다는 것을 표시한다. 주 카운터 열이 리셋된다(764). 이후에 방법(700)은, 예를 들어, 인터럽트가 단계(752)에서 수신된 이후 발생한 타이머 인터럽트의 카운트 수집을 계속할 수 있다. 방법(700)은 다음 센서 인터럽트가 방법(752)에 수신될 때까지 주 카운터 열을 계속 증가시킬 수 있다.
센서 인터럽트 수신시(752) 주 카운터가 오버플로우 상태에 있는 것으로 확인되면(754)(예를 들어, 오버플로우 표지가 참값으로 설정되면), 오버플로우 표지는 거짓값으로 리셋된다(766). 유효 표지가 거짓값으로 리셋되고(768), 제2 카운터 열이 0으로 리셋되고(770), 이후 주 카운터 열이 0으로 리셋되어(772), 따라서 모든 표지와 카운터를 다시 초기화한다.
도 8은 다수의 회전 장치와 관련된 회전 데이터를 처리하기 위한 예시적인 방법(800)을 도시하는 흐름도이다. 방법(800)은, 예를 들어, 도 7A 및 7B에 도시된 바와 같이, 방법(700) 및 방법(750)에 의해 수집된 샘플 데이터를 처리하는데 사용될 수 있다. 예를 들어, 방법(800)은 센서 제어 시스템(402)의 CPU(406)의 소프트웨어 및/또는 펌웨어 내에서 구현될 수 있다.
방법(800)은 통신 하우스키핑으로 시작한다(802). 통신 하우스키핑은, 예를 들어, (예를 들어, 하나 또는 그보다 많은 I/O 라인(410)에 의하여 센서 제어 시스템(402)에 연결된) 하나 또는 그보다 많은 원격 시스템으로부터 정보에 대한 요청을 수신하는 과정을 포함할 수 있다. 다른 예에서, 통신 하우스키핑은 하드웨어 인터럽트(예를 들어, 인터럽트 포트(404)에 하나 또는 그보다 많은 센서 장치를 부착) 또는 소프트웨어 인터럽트(예를 들어, 도 7A 및 7B에서 기술된 바와 같이 방법(700) 또는 방법(750) 내에서의 에러 상태 인식)를 수신하는 과정을 포함할 수 있다. 몇몇 지점에서, 통신 하우스키핑은 센서 제어 시스템(402)에 의해 모니터되는 하나 또는 그보다 많은 회전 장치와 관련된 유효 데이터를 검사한다.
유효 데이터 표지가 참값으로 설정되면(804), 유효 데이터 표지와 관련된 데이터가 처리된다(806). 예를 들어, (도 5에서 설명된 바와 같이) 제2 카운터 열(508) 내의 데이터는 모니터되는 회전 장치와 관련된 RPM 값을 계산하도록 처리될 수 있다.
데이터 처리를 통해 계산된 값을 사용하여, 디스플레이 정보가 업데이트된다(808). 예를 들어, 센서 제어 시스템(402)에 연결된 디스플레이(408)는 계산된 RPM 값을 반영하도록 업데이트될 수 있다. 추가로, 처리된 데이터의 네트워크 전송을 위해 하나 또는 그보다 많은 데이터 블럭이 포맷될 수 있다(810). 예를 들어, 계산된 RPM 값은 I/O 라인(410)을 통해서 하나 또는 그보다 많은 원격 시스템으로 전송될 수 있다. 유효 표지와 연관된 데이터를 처리한 이후에, 유효 표지는 거짓값으로 리셋된다(812). 이후, 데이터는 하나 또는 그보다 많은 원격 시스템에 제공될 수 있다(816). 예를 들어, 사용자는 하나 또는 그보다 많은 원격 시스템을 통해서 회전 부재의 현재 RPM 값에 관해 경보를 받을 수 있다.
방법(800)은 센서 제어 시스템(402)이 모니터하는 각각의 회전 장치에 대한 프로세스를 반복할 수 있다. 방법(800)이 회전 장치와 관련된 유효 데이터 표지가 거짓값으로 설정되었다는 것을 확인하면(804), 디스플레이 정보가 업데이트되고(814) 회전 부재의 스테이터스(status)에 관한 데이터가 하나 또는 그보다 많은 원격 시스템(816)으로 제공될 수 있다. 예를 들어 사용자는 디스플레이(408) 및/또는 하나 또는 그보다 많은 원격 시스템을 통해서 회전 부재가 운동하고 있지 않다는 경보를 받을 수 있다.
일부 실시예에서, 방법(800)은 디스플레이(408) 및/또는 원격 시스템을 업데이트하기에 앞서 각각의 모니터되는 센서와 관련된 데이터를 처리한다. 예를 들어, 처리된 데이터는 데이터 로그 내에 수집될 수 있다. 데이터 로그는, 예를 들어, 모니터되는 모든 센서와 관련된 데이터 처리의 완료시에 디스플레이(408)를 업데이트하는데 사용될 수 있다. 일부 실시예에서, 처리된 데이터는 원격 시스템이 이 데이터를 요청할 때까지 데이터 로그 내에 수집될 수 있다. 요청을 받으면, 통신 하우스키핑 루틴이 수집된 데이터로 데이터 블럭을 포맷하고 I/O 라인(410)을 통해서 데이터를 전송할 수 있다.
일부 실시예에서, 데이터가, 사용자 선호(preference)에 기초하여 하나 또는 그보다 많은 원격 시스템에 제공될 수 있다. 예를 들어, 유효 데이터 표지가 거짓으로 설정되면(예를 들어 회전 장치가 멈춤), 이러한 정보는 하나 또는 그보다 많은 원격 시스템으로 즉각 제공될 수 있다. 대신에, 유효 데이터 표지가 참으로 설정되면, 이러한 정보는 데이터 로그 내에 수집되어 후에(예를 들어, 요청시에, 설정된 배치(batch) 스케줄에 따라, 등등) 원격 시스템(들)에 신속히 발송(dispatch)될 수 있다.
일부 실시예에서, 사용자는 이상 상태(out-of bounds conditions) 및 관련된 경보 메커니즘을 설정할 수 있다. 예를 들어, 사용자가 특정 회전 장치에 대한 최대 속도를 120 RPM 으로 설정했고 방법(800)이 회전 장치와 관련된 회전 데이터를 처리할 때 175 RPM의 속도로 결정했다면, 방법(800)은 즉각적으로 하나 또는 그보다 많은 원격 시스템에 이상 상태를 경보할 수 있다.
다수의 실시예가 설명되었다. 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 이해할 수 있는 바와 같이, 전술한 여러 구체적 특징들은 하나의 장치에 조합될 수 있다. 그렇지만, 본 개시내용의 사상 및 범위 내에서 다양한 수정이 이루어질 수 있다는 것을 이해할 것이다. 따라서, 기타의 실시예들은 이하의 청구항의 범위 내에 있게 된다.

Claims (15)

  1. 기판 처리 챔버 내의 기판 지지부의 회전을 모니터하기 위한 시스템으로서,
    처리 챔버 내에 위치하는 회전 기판 지지부;
    상기 회전 기판 지지부를 모니터하기 위한 센서;
    상기 센서를 챔버 보드에 커플링하는(coupling) 센서 라인; 및
    상기 센서 라인에 커플링되는 중앙 제어 유닛으로서, 상기 회전 기판 지지부와 관련된 회전 데이터를 처리하도록, 그리고 상기 회전 데이터로부터 상기 회전 기판 지지부와 관련된 회전 속도(rotation rate)를 결정하도록 구성되는, 중앙 제어 유닛을 포함하고,
    상기 중앙 제어 유닛은 상기 회전 기판 지지부가 회전하지(revolving) 않고 전후로 맴돌고 있는지(rotating back and forth)를 식별하도록, 그리고 상기 중앙 제어 유닛이 상기 회전 기판 지지부의 전후 맴돌기(rotation back and forth)를 검출할 때 에러 상태를 지시하는 경보를 발행하도록 구성되는,
    기판 처리 챔버 내의 기판 지지부의 회전을 모니터하기 위한 시스템.
  2. 제1항에 있어서,
    상기 중앙 제어 유닛은 타키미터(tachymeter)를 포함하는,
    기판 처리 챔버 내의 기판 지지부의 회전을 모니터하기 위한 시스템.
  3. 제1항에 있어서,
    상기 중앙 제어 유닛은 하나 또는 그보다 많은 원격 제어 시스템들로부터 이상 상태(out-of-bounds condition)를 수신하도록 구성되고,
    상기 이상 상태는 상기 회전 기판 지지부와 관련된 최대 회전 속도 또는 최소 회전 속도를 포함하고,
    상기 회전 기판 지지부의 회전 속도가 상기 최대 회전 속도보다 더 큰가 또는 상기 최소 회전 속도보다 더 작은가를 결정하기 위해, 상기 중앙 제어 유닛은 상기 이상 상태를 이용하도록 구성되는,
    기판 처리 챔버 내의 기판 지지부의 회전을 모니터하기 위한 시스템.
  4. 제3항에 있어서,
    상기 이상 상태는 상기 최대 회전 속도 및 상기 최소 회전 속도를 포함하는,
    기판 처리 챔버 내의 기판 지지부의 회전을 모니터하기 위한 시스템.
  5. 제1항에 있어서,
    상기 회전 기판 지지부가 회전하지 않고 전후로 맴돌고 있는지를 식별하도록, 상기 중앙 제어 유닛은 제2 기판 지지부의 제2 회전 속도와 상기 회전 속도를 비교하도록 구성되는,
    기판 처리 챔버 내의 기판 지지부의 회전을 모니터하기 위한 시스템.
  6. 제1항에 있어서,
    상기 중앙 제어 유닛으로부터 상기 회전 데이터를 수신하는, 상기 중앙 제어 유닛에 연결되는(connected) 하나 또는 그보다 많은 원격 제어 시스템들을 더 포함하고,
    상기 중앙 제어 유닛은 상기 하나 또는 그보다 많은 원격 제어 시스템들에게 상기 에러 상태를 지시하는 경보를 발행하도록 구성되는,
    기판 처리 챔버 내의 기판 지지부의 회전을 모니터하기 위한 시스템.
  7. 회전 센서를 이용하여, 기판 처리 챔버 내의 회전 기판 지지부를 모니터하는 단계;
    회전 신호를 상기 회전 센서로부터 챔버 제어 보드로 전송하는 단계;
    중앙 제어 유닛에서 상기 회전 신호를 수신하는 단계;
    상기 회전 기판 지지부와 관련된 회전 속도를 결정하기 위해, 상기 중앙 제어 유닛에서 상기 회전 신호를 처리하는 단계;
    상기 회전 기판 지지부가 회전하지 않고 전후로 맴돌고 있는지를 식별하는 단계; 및
    상기 중앙 제어 유닛이 상기 회전 기판의 전후 맴돌기를 검출할 때, 에러 상태를 지시하는 경보를 발행하는 단계를 포함하는,
    방법.
  8. 제7항에 있어서,
    상기 회전 신호와 관련된 값을 상기 챔버 제어 보드에 근접한 디스플레이 상에 표시하는 단계를 더 포함하는,
    방법.
  9. 제7항에 있어서,
    하나 또는 그보다 많은 원격 시스템들로부터 이상 상태들을 수신하는 단계로서, 상기 이상 상태들은 상기 회전 기판 지지부와 관련된 최대 회전 속도 또는 최소 회전 속도를 포함하는, 이상 상태들의 수신 단계;
    상기 회전 기판 지지부의 회전 속도가 상기 최대 회전 속도보다 더 큰가 또는 상기 최소 회전 속도보다 더 작은가를 결정하는 단계; 및
    상기 회전 기판 지지부의 상기 이상 상태에 대해 상기 하나 또는 그보다 많은 원격 시스템들에게 경보를 발행하는 단계를 더 포함하는,
    방법.
  10. 제9항에 있어서,
    상기 이상 상태는 상기 최대 회전 속도 및 상기 최소 회전 속도를 포함하는,
    방법.
  11. 제7항에 있어서,
    상기 회전 기판 지지부가 회전하지 않고 전후로 맴돌고 있는지를 식별하도록, 제2 기판 지지부의 제2 회전 속도와 상기 회전 속도를 비교하는 단계를 더 포함하는,
    방법.
  12. 제7항에 있어서,
    상기 회전 속도를 지시하는 데이터를, 추가 처리를 위해, 상기 중앙 제어 유닛으로부터 하나 또는 그보다 많은 원격 제어 유닛들에게로 전송하는 단계를 더 포함하고,
    상기 경보를 발행하는 단계는 상기 경보를 하나 또는 그보다 많은 원격 제어 시스템들에게 발행하는 것을 포함하는,
    방법.
  13. 삭제
  14. 삭제
  15. 삭제
KR1020160039505A 2009-01-30 2016-03-31 반도체 제조장치용 센서 시스템 KR101732343B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/363,157 2009-01-30
US12/363,157 US8135560B2 (en) 2009-01-30 2009-01-30 Sensor system for semiconductor manufacturing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020100009272A Division KR101689552B1 (ko) 2009-01-30 2010-02-01 반도체 제조장치용 센서 시스템

Publications (2)

Publication Number Publication Date
KR20160042410A KR20160042410A (ko) 2016-04-19
KR101732343B1 true KR101732343B1 (ko) 2017-05-04

Family

ID=42154563

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020100009272A KR101689552B1 (ko) 2009-01-30 2010-02-01 반도체 제조장치용 센서 시스템
KR1020160039505A KR101732343B1 (ko) 2009-01-30 2016-03-31 반도체 제조장치용 센서 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020100009272A KR101689552B1 (ko) 2009-01-30 2010-02-01 반도체 제조장치용 센서 시스템

Country Status (5)

Country Link
US (3) US8135560B2 (ko)
EP (1) EP2213764B1 (ko)
JP (2) JP5684988B2 (ko)
KR (2) KR101689552B1 (ko)
TW (2) TWI521637B (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8135560B2 (en) 2009-01-30 2012-03-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
US20120305036A1 (en) * 2011-06-01 2012-12-06 Lam Research Ag Device for treating surfaces of wafer-shaped articles
US20130008452A1 (en) * 2011-06-30 2013-01-10 Steven Evangelos Training and Rehabilitation Device
US9793144B2 (en) * 2011-08-30 2017-10-17 Evatec Ag Wafer holder and temperature conditioning arrangement and method of manufacturing a wafer
US9606519B2 (en) * 2013-10-14 2017-03-28 Applied Materials, Inc. Matching process controllers for improved matching of process
US10186450B2 (en) * 2014-07-21 2019-01-22 Asm Ip Holding B.V. Apparatus and method for adjusting a pedestal assembly for a reactor
KR20170057329A (ko) * 2014-09-12 2017-05-24 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 장비 유출물의 처리를 위한 제어기
EP3240390B1 (en) * 2014-12-22 2019-06-26 FUJI Corporation Device for managing work performed on substrate
US10818561B2 (en) * 2016-01-28 2020-10-27 Applied Materials, Inc. Process monitor device having a plurality of sensors arranged in concentric circles
TWI580344B (zh) 2016-02-24 2017-04-21 訊凱國際股份有限公司 水冷系統
JP6880076B2 (ja) * 2016-06-03 2021-06-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板距離の監視
EP3559307B1 (en) 2017-02-08 2022-08-03 Picosun Oy Deposition or cleaning apparatus with movable structure and method of operation
JP6653722B2 (ja) * 2018-03-14 2020-02-26 株式会社Kokusai Electric 基板処理装置
US20190301012A1 (en) * 2018-04-02 2019-10-03 Veeco Instruments Inc. Wafer processing system with flow extender
WO2020180470A1 (en) 2019-03-01 2020-09-10 Applied Materials, Inc. Transparent wafer center finder
JP7404009B2 (ja) * 2019-09-19 2023-12-25 キオクシア株式会社 加工情報管理システム及び加工情報管理方法
US11372061B2 (en) * 2020-03-13 2022-06-28 Globalfoundries Singapore Pte. Ltd. Hall effect sensor devices and methods of forming hall effect sensor devices
CN111364026B (zh) * 2020-05-27 2020-08-14 上海陛通半导体能源科技股份有限公司 往复式旋转cvd设备及应用方法
CN113652645B (zh) * 2021-08-05 2023-08-11 江苏微导纳米科技股份有限公司 一种旋转镀膜设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030172873A1 (en) 2002-03-15 2003-09-18 Diego Fischer Apparatus and method for the production of flexible semiconductor devices
JP2006351760A (ja) 2005-06-15 2006-12-28 Fujifilm Holdings Corp アライメントマーク、及びこれを用いたアライメント精度測定方法並びに測定装置

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4694390A (en) * 1985-06-28 1987-09-15 Electric Power Research Institute, Inc. Microprocessor-based control and diagnostic system for motor operated valves
KR0155566B1 (ko) * 1990-07-20 1998-11-16 이노우에 아끼라 플라즈마 처리장치
JPH0714830A (ja) 1993-06-22 1995-01-17 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US5863348A (en) * 1993-12-22 1999-01-26 International Business Machines Corporation Programmable method for cleaning semiconductor elements
US5468299A (en) * 1995-01-09 1995-11-21 Tsai; Charles S. Device comprising a flat susceptor rotating parallel to a reference surface about a shaft perpendicular to this surface
JPH0953181A (ja) * 1995-08-11 1997-02-25 Ebara Corp 薄膜気相成長装置
US6004828A (en) * 1997-09-30 1999-12-21 Semitool, Inc, Semiconductor processing workpiece support with sensory subsystem for detection of wafers or other semiconductor workpieces
US6001234A (en) * 1997-09-30 1999-12-14 Semitool, Inc. Methods for plating semiconductor workpieces using a workpiece-engaging electrode assembly with sealing boot
US6599412B1 (en) * 1997-09-30 2003-07-29 Semitool, Inc. In-situ cleaning processes for semiconductor electroplating electrodes
JPH10107125A (ja) * 1996-08-08 1998-04-24 Tokyo Electron Ltd 搬送装置のインターロック機構
US6936153B1 (en) * 1997-09-30 2005-08-30 Semitool, Inc. Semiconductor plating system workpiece support having workpiece-engaging electrode with pre-conditioned contact face
US6454926B1 (en) * 1997-09-30 2002-09-24 Semitool Inc. Semiconductor plating system workpiece support having workpiece-engaging electrode with submerged conductive current transfer areas
US6575177B1 (en) 1999-04-27 2003-06-10 Applied Materials Inc. Semiconductor substrate cleaning system
US6641701B1 (en) 2000-06-14 2003-11-04 Applied Materials, Inc. Cooling system for magnetron sputtering apparatus
US7196782B2 (en) * 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
JP2002095950A (ja) * 2000-09-25 2002-04-02 Sintokogio Ltd 造粒機の運転状況モニタシステム
CN100459027C (zh) * 2000-10-23 2009-02-04 应用材料有限公司 采用反射辐射监控衬底处理
US6901971B2 (en) * 2001-01-10 2005-06-07 Entegris, Inc. Transportable container including an internal environment monitor
US6770146B2 (en) * 2001-02-02 2004-08-03 Mattson Technology, Inc. Method and system for rotating a semiconductor wafer in processing chambers
JP4072889B2 (ja) * 2001-03-19 2008-04-09 新明和工業株式会社 真空成膜装置
TWI244603B (en) * 2001-07-05 2005-12-01 Dainippon Screen Mfg Substrate processing system for managing device information of substrate processing device
JP2003059893A (ja) * 2001-08-15 2003-02-28 Ae Techno Kk スピンドライヤー
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
JP2003257837A (ja) * 2002-03-05 2003-09-12 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理システム
JP4215563B2 (ja) * 2003-05-19 2009-01-28 日本電気株式会社 半導体薄膜改質方法
JP2006111132A (ja) * 2004-10-14 2006-04-27 Tokai Rika Co Ltd パワーウインドウ装置
KR20060037477A (ko) * 2004-10-28 2006-05-03 삼성전자주식회사 보트 회전 모니터링 장치
US7412211B2 (en) * 2005-11-17 2008-08-12 International Business Machines Corporation Method for implementing enhanced hand shake protocol in microelectronic communication systems
JP2007220504A (ja) * 2006-02-17 2007-08-30 Noritsu Koki Co Ltd プラズマ発生ノズルおよびプラズマ発生装置ならびにそれを用いるワーク処理装置
JP4878202B2 (ja) * 2006-04-26 2012-02-15 東京エレクトロン株式会社 膜位置調整方法、記憶媒体及び基板処理システム
US7327121B2 (en) * 2006-05-12 2008-02-05 Goodti Industrial Co., Ltd. Charger having output voltage regulating function
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US7835007B2 (en) * 2007-08-01 2010-11-16 Applied Materials, Inc. Methods and apparatus for identifying thin films on a substrate
US20090265137A1 (en) * 2008-04-18 2009-10-22 Hamamatsu Photonics K.K. Computer-based methods and systems for failure analysis
US9997325B2 (en) * 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
US8539257B2 (en) * 2008-10-08 2013-09-17 Applied Materials, Inc. Method and apparatus for detecting an idle mode of processing equipment
US8255606B2 (en) * 2008-10-24 2012-08-28 Applied Materials, Inc. Remote access gateway for semiconductor processing equipment
US8135560B2 (en) 2009-01-30 2012-03-13 Applied Materials, Inc. Sensor system for semiconductor manufacturing apparatus
WO2011154900A2 (en) * 2010-06-10 2011-12-15 Hach Company Server monitoring of flow and sampling apparatus and/or logger

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030172873A1 (en) 2002-03-15 2003-09-18 Diego Fischer Apparatus and method for the production of flexible semiconductor devices
JP2006351760A (ja) 2005-06-15 2006-12-28 Fujifilm Holdings Corp アライメントマーク、及びこれを用いたアライメント精度測定方法並びに測定装置

Also Published As

Publication number Publication date
EP2213764A2 (en) 2010-08-04
TWI521637B (zh) 2016-02-11
TW201606924A (zh) 2016-02-16
EP2213764A3 (en) 2012-11-14
US9243319B2 (en) 2016-01-26
US20120136622A1 (en) 2012-05-31
US20160126121A1 (en) 2016-05-05
KR101689552B1 (ko) 2016-12-26
TWI570834B (zh) 2017-02-11
JP2010251710A (ja) 2010-11-04
US9892947B2 (en) 2018-02-13
KR20100088589A (ko) 2010-08-09
TW201029107A (en) 2010-08-01
KR20160042410A (ko) 2016-04-19
JP2015122515A (ja) 2015-07-02
EP2213764B1 (en) 2019-07-03
JP6104953B2 (ja) 2017-03-29
US8135560B2 (en) 2012-03-13
US20100198550A1 (en) 2010-08-05
JP5684988B2 (ja) 2015-03-18

Similar Documents

Publication Publication Date Title
KR101732343B1 (ko) 반도체 제조장치용 센서 시스템
US6898558B2 (en) Method and apparatus for monitoring a material processing system
US6825617B2 (en) Semiconductor processing apparatus
WO2006007055A1 (en) Method and apparatus for using a pressure control system to monitor a plasma processing system
KR20120112147A (ko) 플라즈마 처리 장치
US20040127030A1 (en) Method and apparatus for monitoring a material processing system
CN112740358B (zh) 基板处理装置、半导体装置的制造方法以及记录介质
WO2019140200A1 (en) Advanced temperature monitoring system and methods for semiconductor manufacture productivity
TW201419369A (zh) 電感耦合電漿處理裝置及其控制方法(二)
CN113056572B (zh) 真空处理装置
TWI732807B (zh) 用於互動地且動態地更新簡圖覆蓋的方法及設備
KR20080105196A (ko) 반도체 소자 제조 장치
WO2022081259A1 (en) Advanced temperature monitoring system with expandable modular layout design
KR19990002226U (ko) 자석회전속도 제어장치
KR20070084767A (ko) 히터의 파워 제어 시스템
KR20010002576A (ko) 화학기상증착설비의 분사해드 온도 조절시스템
JP2011094165A (ja) スパッタリング装置及びクリーニング方法
KR20060037477A (ko) 보트 회전 모니터링 장치
KR20050058565A (ko) 펌프배기라인의 파우더 적재량 측정장치 및 그 측정방법
JP2004241591A (ja) 半導体処理装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant