KR101717856B1 - 극자외선 리소그래피 콜렉터 오염 감소 - Google Patents

극자외선 리소그래피 콜렉터 오염 감소 Download PDF

Info

Publication number
KR101717856B1
KR101717856B1 KR1020150139148A KR20150139148A KR101717856B1 KR 101717856 B1 KR101717856 B1 KR 101717856B1 KR 1020150139148 A KR1020150139148 A KR 1020150139148A KR 20150139148 A KR20150139148 A KR 20150139148A KR 101717856 B1 KR101717856 B1 KR 101717856B1
Authority
KR
South Korea
Prior art keywords
euv
target
laser
radiation
generate
Prior art date
Application number
KR1020150139148A
Other languages
English (en)
Other versions
KR20160129678A (ko
Inventor
옌청 뤼
즈시앙 천
순더 우
정홍 천
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160129678A publication Critical patent/KR20160129678A/ko
Application granted granted Critical
Publication of KR101717856B1 publication Critical patent/KR101717856B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • G03F7/2016Contact mask being integral part of the photosensitive element and subject to destructive removal during post-exposure processing
    • G03F7/202Masking pattern being obtained by thermal means, e.g. laser ablation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2053Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/006X-ray radiation generated from plasma being produced from a liquid or gas details of the ejection system, e.g. constructional details of the nozzle
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • X-Ray Techniques (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

극자외선(EUV) 방사 소스 모듈은 타겟 액적 생성기, 제1 레이저 소스, 및 제2 레이저 소스를 포함한다. 타겟 액적 생성기는 복수의 타겟 액적들을 생성하도록 구성된다. 제1 레이저 소스는 타겟 액적들을 각각의 여기 포지션들에서 가열시켜서 복수의 타겟 플룸들을 생성하는 복수의 제1 레이저 펄스들을 생성하도록 구성된다. 타겟 액적들 중 적어도 하나는 다른 타겟 액적들의 여기 포지션과는 상이한 여기 포지션에서 가열된다. 제2 레이저 소스는 타겟 플룸들을 가열시켜서 EUV 방사선을 방출하는 플라즈마를 생성하는 복수의 제2 레이저 펄스들을 생성하도록 구성된다.

Description

극자외선 리소그래피 콜렉터 오염 감소{EXTREME ULTRAVIOLET LITHOGRAPHY COLLECTOR CONTAMINATION REDUCTION}
본 출원은 “Extreme Ultraviolet Lithography Collector Contamination Reduction”의 명칭으로 2015년 4월 30일에 출원된 미국 가특허 출원 제62/155,111호의 우선권을 주장하며, 이는 그 전체가 참조로써 본 출원에 포함된다.
반도체 집적 회로(integrated circuit; IC) 산업은 기하급수적인 성장을 경험해왔다. IC 재료 및 설계에서의 기술적 진보들은 이전의 IC 세대보다 더 작고 더 복잡한 회로들을 각각 갖는 IC 세대들을 낳았다. IC 진화의 과정에서, 기능적 밀도(즉, 칩 면적 당 상호접속된 디바이스들의 갯수)는 일반적으로 증가되어 왔으며 기하학적 크기(즉, 제조 공정을 이용하여 생성될 수 있는 가장 작은 컴포넌트(또는 라인))는 감소해왔다. 이러한 스케일링 다운 공정은 일반적으로 생산 효율성을 증가시키고 관련 비용을 낮춤으로써 이로움들을 제공한다. 이와 같은 스케일링 다운은 또한 IC 처리 및 제조의 복잡성을 증가시켜왔다.
예를 들어, 보다 높은 해상도 리소그래피 공정들을 수행할 필요성은 커져간다. 한가지 리소그래피 기술은 극자외선 리소그래피(extreme ultraviolet lithography; EUVL)이다. EUVL은 약 1~100㎚의 파장을 갖는, 극자외선(extreme ultraviolet; EUV) 영역의 광을 이용하는 스캐너들을 활용한다. 몇몇의 EUV 스캐너들은 굴절 광학장치보다는 반사 광학장치, 즉 렌즈 대신에 거울을 이용한다는 점을 제외하고, EUV 스캐너들은 몇몇의 광학 스캐너들과 마찬가지로, 4X 축소 투사 프린팅을 제공한다. 한가지 유형의 EUV 광원은 레이저 생성 플라즈마(laser-produced plasma; LPP)이다. LPP 기술은 고전력 레이저 빔을 미세한 주석 액적(tin droplet) 타겟들에 포커싱시켜서 13.5㎚에서 최대 방출 피크를 갖는 EUV 방사선을 방출하는 고도로 이온화된 플라즈마를 형성함으로써 EUV 광을 생성한다. 그런 후, EUV 광은 LPP 콜렉터에 의해 수집되고 광학장치에 의해 리소그래피 타겟, 예컨대 웨이퍼를 향해 반사된다. LPP 콜렉터는 입자들, 이온들, 방사선, 및 가장 중요하게는, 주석 퇴적물의 충돌로 인해 손상과 저하를 겪는다.
하나의 예시적인 양태에서, 본 발명개시는 극자외선(EUV) 방사 소스 모듈에 관한 것이다. EUV 방사 소스 모듈은 타겟 액적 생성기, 제1 레이저 소스, 및 제2 레이저 소스를 포함한다. 타겟 액적 생성기는 복수의 타겟 액적들을 생성하도록 구성된다. 제1 레이저 소스는 타겟 액적들을 가열시켜서 복수의 타겟 플룸들을 생성하게 하는 복수의 제1 레이저 펄스들을 생성하도록 구성되며, 타겟 액적들 중 적어도 하나는 다른 타겟 액적들의 여기 포지션과는 상이한 여기 포지션에서 가열된다. 제2 레이저 소스는 타겟 플룸들을 가열시켜서 EUV 방사선을 방출하는 플라즈마를 생성하게 하는 복수의 제2 레이저 펄스들을 생성하도록 구성된다.
다른 예시적인 양태에서, 본 발명개시는 EUV 리소그래피 시스템에 관한 것이다. EUV 리소그래피 시스템은 방사 소스, 마스크 스테이지, 웨이퍼 스테이지, 및 광학 모듈을 포함한다. 방사 소스는 타겟 액적 생성기, 제1 레이저 소스, 제2 레이저 소스, 및 콜렉터를 포함한다. 타겟 액적 생성기는 복수의 타겟 액적들을 생성하도록 구성된다. 제1 레이저 소스는 타겟 액적들을 가열시켜서 복수의 타겟 플룸들을 생성하는 복수의 제1 레이저 펄스들을 생성하도록 구성되며, 타겟 액적들 중 적어도 하나는 다른 타겟 액적들의 여기 포지션과는 상이한 여기 포지션에서 가열된다. 제2 레이저 소스는 타겟 플룸들을 가열시켜서 EUV 방사선을 방출하는 플라즈마를 생성하는 복수의 제2 레이저 펄스들을 생성하도록 구성된다. 콜렉터는 EUV 방사선을 수집하고 반사시키도록 구성된다. 마스크 스테이지는 EUV 마스크를 고정하도록 구성된다. 웨이퍼 스테이지는 반도체 웨이퍼를 고정하도록 구성된다. 광학 모듈은 EUV 마스크 상에서 정의된 집적 회로(IC) 패턴을 반도체 웨이퍼에 이미지화하기 위해 방사 소스로부터의 EUV 방사선을 지향시키도록 설계된다.
다른 예시적인 양태에서, 본 발명개시는 타겟을 패터닝하기 위한 극자외선(EUV) 리소그래피 공정에 관한 것이다. EUV 리소그래피 공정은 반도체 웨이퍼를 EUV 리소그래피 시스템에 로딩시키는 단계를 포함한다. EUV 리소그래피 시스템은 EUV 방사선을 생성하는 방사 소스, EUV 마스크를 홀딩하는 마스크 스테이지, 반도체 웨이퍼를 고정하도록 구성된 웨이퍼 스테이지, 및 EUV 마스크 상에 정의된 IC 패턴을 반도체 웨이퍼에 이미지화하기 위해 방사 소스로부터의 EUV 방사선을 지향시키도록 설계된 광학 모듈을 포함한다. 방사 소스는 타겟 액적 생성기, 제1 레이저 소스, 제2 레이저 소스, 및 콜렉터를 포함한다. 타겟 액적 생성기는 복수의 타겟 액적들을 생성하도록 구성된다. 제1 레이저 소스는 타겟 액적들을 가열시켜서 복수의 타겟 플룸들을 생성하는 복수의 제1 레이저 펄스들을 생성하도록 구성된다. 제2 레이저 소스는 타겟 플룸들을 가열시켜서 EUV 방사선을 방출하는 플라즈마를 생성하는 복수의 제2 레이저 펄스들을 생성하도록 구성된다. 콜렉터는 EUV 방사선을 수집하고 반사시키도록 구성된다. EUV 리소그래피 공정은 제1 레이저 펄스들과 타겟 액적들의 생성이 정규 타이밍과 일반적으로 동기화되지만, 제1 레이저 펄스들 중 적어도 하나는 정규 타이밍과는 상이한 타이밍에서 생성되도록 방사 소스를 프로그래밍하는 단계를 더 포함한다. EUV 리소그래피 공정은 반도체 웨이퍼를 EUV 방사선에 의해 노광시키는 단계를 포함한다.
본 발명개시는 첨부 도면들과 함께 읽혀질 때 아래의 상세한 설명으로부터 최상으로 이해된다. 본 산업계에서의 표준적인 실시에 따라, 다양한 피처(feature)들은 실척도로 작도되지 않았으며 단지 설명을 목적으로 이용된다는 점을 강조해둔다. 실제로, 다양한 피처들의 치수는 설명의 명료함을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 몇몇의 실시예들에 따라 구축된, 레이저 생성 플라즈마(LPP) EUV 방사 소스를 갖는 EUV 리소그래피 시스템의 개요도이다.
도 2는 몇몇의 실시예들에 따라 구축된, 도 1의 EUV 리소그래피 시스템 내의 EUV 방사 소스의 개략도이다.
도 3은 도 2의 EUV 방사 소스 내에서 구성될 수 있는, 타겟 액적들의 상이한 여기 위치들에 부딪치는 프리 펄스(pre-pulse) 레이저를 나타낸다.
도 4는 몇몇의 실시예들에 따라 구축된, 도 1의 EUV 리소그래피 시스템 내의 EUV 방사 소스의 개략도이다.
도 5는 몇몇의 실시예들에 따라 구축된, 도 4의 EUV 방소 소스에 의한 레이저 프리 펄스들의 상이한 구성들을 나타낸다.
도 6은 몇몇의 실시예들에 따라 구축된 리소그래피 공정의 흐름도이다.
아래의 발명개시는 제공되는 본 발명내용의 여러 특징들을 구현하기 위한 많은 여러 실시예들 또는 예시들을 제공한다. 본 발명개시를 단순화하기 위해 컴포넌트들 및 배열들의 특정예들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 한정적인 것으로 의도된 것은 아니다. 예를 들어, 이후의 상세설명에서 제2 피처상의 또는 그 위의 제1 피처의 형성은 제1 및 제2 피처들이 직접적으로 접촉하여 형성되는 실시예를 포함할 수 있으며, 또한 제1 및 제2 피처들이 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제1 및 제2 피처들 사이에서 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 발명개시는 다양한 예시들에서 참조 부호들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 위한 것이지, 그러한 반복 그 자체가 개시된 다양한 실시예들 및/또는 구성 사이의 관계를 설명하는 것은 아니다.
뿐만 아니라, 도면들에서 도시된 하나의 엘리먼트 또는 피처에 대한 다른 엘리먼트(들) 또는 피처(들)의 관계를 설명하기 위해 "아래", "밑", "보다 낮은", "위", "보다 위" 등과 같은 공간 상대적 용어들이 설명의 용이성을 위해 여기서 이용될 수 있다. 공간 상대적 용어들은 도면들에서 도시된 배향에 더하여 이용중에 있거나 또는 동작중에 있는 디바이스의 상이한 배향들을 망라하도록 의도된 것이다. 장치는 이와달리 배향될 수 있고(90°회전되거나 또는 다른 배향으로 회전됨), 이에 따라 여기서 이용되는 공간 상대적 기술어들이 이와 똑같이 해석될 수 있다.
본 발명개시는 일반적으로 극자외선(EUV) 리소그래피 시스템 및 방법에 관한 것이다. 보다 구체적으로, 본 발명개시는 레이저 생성 플라즈마(LPP) EUV 방사 소스 내의 콜렉터 상의 오염을 완화시키기 위한 장치 및 방법에 관한 것이다. 콜렉터(이것을 LPP 콜렉터 또는 EUV 콜렉터라고도 부른다)는 LPP EUV 방사 소스의 중요한 컴포넌트이다. 콜렉터는 EUV 방사선을 수집하고 반사시키며 전반적인 EUV 변환 효율성에 기여를 한다. 하지만, LPP 콜렉터는 입자들, 이온들, 방사선, 및 잔해물 퇴적물의 충돌로 인해 손상과 저하를 겪는다. 본 발명개시의 목적은 LPP 콜렉터 상의 잔해물 퇴적을 감소시켜서 LPP 콜렉터의 사용 수명을 증가시키는 것에 관한 것이다.
도 1은 몇몇의 실시예들에 따라 구축된, 리소그래피 시스템(10)의 개요도 및 개략도이다. 리소그래피 시스템(10)은 일반적으로 각각의 방사 소스 및 노광 모드를 갖고 리소그래피 노광 공정들을 수행하도록 동작가능한 스캐너라고도 칭해질 수 있다. 본 실시예에서, 리소그래피 시스템(10)은 EUV 광(또는 EUV 방사선)에 의해 레지스트층을 노광시키도록 설계된 극자외선(EUV) 리소그래피 시스템이다. 레지스트층은 EUV 광에 민감한 물질이다. EUV 리소그래피 시스템(10)은 약 1㎚와 약 100㎚ 사이의 범위의 파장을 갖는 EUV 광과 같은, EUV 광을 생성하기 위해 방사 소스(12)를 활용한다. 하나의 특정 예시에서, 방사 소스(12)는 약 13.5㎚에 중심을 둔 파장을 갖는 EUV 광을 생성한다. 이에 따라, 방사 소스(12)를 EUV 방사 소스(12)라고도 부른다. 본 실시예에서, EUV 방사 소스(12)는 EUV 방사선을 생성하기 위해 듀얼 펄스 레이저 생성 플라즈마(LPP)의 메커니즘을 활용하며, 이에 대해서는 나중에 자세하게 설명할 것이다.
리소그래피 시스템(10)은 또한 조명기(14)를 활용한다. 다양한 실시예들에서, 방사 소스(12)로부터의 광을 마스크 스테이지(16) 상으로, 특히 마스크 스테이지(16) 상에 고정된 마스크(18)로 지향시키기 위해, 조명기(14)는 단일 렌즈 또는 다중 렌즈들(존 플레이트(zone plate)들)을 갖는 렌즈 시스템과 같은, 다양한 굴절 광학장치 컴포넌트들을 포함하거나 또는, 대안적으로, 단일 거울 또는 다중 거울들을 갖는 거울 시스템과 같은, (EUV 리소그래피 시스템을 위한) 반사 광학장치를 포함한다. 방사 소스(12)가 EUV 파장 범위의 광을 생성하는 본 실시예에서는, 반사 광학장치가 활용된다.
리소그래피 시스템(10)은 또한 마스크(18)를 고정하도록 구성된 마스크 스테이지(16)를 포함한다. 몇몇의 실시예들에서, 마스크 스테이지(16)는 마스크(18)를 고정시키기 위한 정전척(electrostatic chuck; e-chuck)을 포함한다. 이것은 가스 분자들이 EUV 광을 흡수하고, EUV 강도 손실을 회피하기 위해 EUV 리소그래피 패터닝을 위한 리소그래피 시스템이 진공 환경에서 유지되기 때문이다. 본 발명개시에서, 마스크, 포토마스크, 및 레티클의 용어들은 상호교환적으로 이용된다. 본 실시예에서, 리소그래피 시스템(10)은 EUV 리소그래피 시스템이며, 마스크(18)는 반사 마스크이다. 마스크(18)의 한가지 예시적인 구조물은 낮은 열팽창 물질(low thermal expansion material; LTEM) 또는 용융 수정(fused quartz)과 같은, 적절한 물질을 갖는 기판을 포함한다. 다양한 예시들에서, LTEM은 TiO2 도핑된 SiO2를 포함하거나, 또는 낮은 열팽창을 갖는 다른 적절한 물질들을 포함한다. 마스크(18)는 기판 상에 퇴적된 다중 반사성 다중층(multiple layer; ML)들을 포함한다. ML은 몰리브덴 실리콘(Mo/Si) 막 쌍들(예컨대, 각각의 막 쌍에서 실리콘 층 위 또는 아래에 있는 몰리브덴 층)과 같은 복수의 막 쌍들을 포함한다. 이와 달리, ML은 몰리브덴 베릴륨(Mo/Be) 막 쌍들을 포함할 수 있거나, 또는 EUV 광을 고도로 반사시키도록 구성가능한 다른 적절한 물질들을 포함할 수 있다. 마스크(18)는 보호용으로서 ML 상에 배치된, 루테늄(Ru)과 같은, 캡핑층을 더 포함할 수 있다. 마스크(18)는 ML 위에 퇴적된, 탄탈륨 붕소 질화물(TaBN)층과 같은, 흡수층을 더 포함한다. 흡수층은 집적 회로(integrated circuit; IC)의 층을 정의하도록 패터닝된다. 이와 달리, 또다른 반사층이 ML 위에 퇴적될 수 있고, 이러한 반사층은 집적 회로의 층을 정의하도록 패터닝되어 EUV 위상 천이 마스크를 형성한다.
리소그래피 시스템(10)은 또한 리소그래피 시스템(10)의 기판 스테이지(24) 상에 고정된 반도체 기판(22) 상으로 마스크(18)의 패턴을 이미지화하기 위한 투사 광학장치 모듈(또는 투사 광학장치 박스(projection optics box; POB)(20)를 포함한다. 다양한 실시예들에서, POB(20)는 (UV 리소그래피 시스템용과 같은) 굴절 광학장치를 갖거나 또는 대안적으로 (EUV 리소그래피 시스템용과 같은) 반사 광학장치를 갖는다. 마스크 상에 정의된 패턴의 이미지를 실어나르는, 마스크(18)로부터 지향된 광은 POB(20)에 의해 수집된다. 조명기(14)와 POB(20)를 리소그래피 시스템(10)의 광학 모듈이라고 총칭한다.
본 실시예에서, 반도체 기판(22)은 패터닝될 실리콘 웨이퍼 또는 다른 유형의 웨이퍼와 같은, 반도체 웨이퍼이다. 본 실시예에서, 반도체 기판(22)은 EUV 광에 민감한 레지스트층으로 코팅된다. 상술한 것들을 비롯한 다양한 컴포넌트들은 함께 통합되며 리소그래피 노광 공정들을 수행하도록 동작가능하다.
리소그래피 시스템(10)은 다른 모듈들을 더 포함할 수 있거나 또는 다른 모듈들과 통합(또는 이와 결합)될 수 있다. 본 실시예에서, 리소그래피 시스템(10)은 수소 가스를 방사 소스(12)에 제공하도록 설계된 가스 공급 모듈(26)을 포함한다. 수소 가스는 방사 소스(12)에서의 오염을 감소시키는데 도움을 준다.
도 2는 몇몇의 실시예들에 따른, 방사 소스(12)를 개략도로 나타낸다. 방사 소스(12)는 플라즈마를 생성하고 이러한 플라즈마로부터 EUV 광을 추가적으로 생성하기 위해 듀얼 펄스 레이저 생성 플라즈마(LPP) 메커니즘을 활용한다.
도 2를 참조하면, 방사 소스(12)는 타겟 액적 생성기(30), 제1 레이저 소스(40), 제2 레이저 소스(50), 및 LPP 콜렉터(36)를 포함한다. 타겟 액적 생성기(30)는 복수의 타겟 액적들(32)을 생성한다. 실시예에서, 타겟 액적들(32)은 주석(Sn) 액적들이다. 실시예에서, 주석 액적들(32) 각각은 약 30미크론(㎛)의 직경을 갖는다. 실시예에서, 주석 액적들(32)은 약 50킬로헤르쯔(㎑)의 레이트로 생성되며, 약 초 당 70 미터(m/s)의 속도로 방사 소스(12) 내의 여기 구역(31) 내로 도입된다. 타겟 액적들(32)을 위해 다른 물질, 예컨대, 공정 합금(eutectic alloy) 함유 주석, 리튬(Li), 및 제논(Xe)과 같은 주석 함유 액체 물질이 또한 이용될 수 있다.
제1 레이저 소스(40)는 레이저 펄스들(42)을 생성한다. 제2 레이저 소스(50)는 레이저 펄스들(52)을 생성한다. 본 실시예에서, 레이저 펄스들(42)은 레이저 펄스들(52)보다 강도가 약하고 보다 작은 스팟 크기를 갖는다. 레이저 펄스(42)는 타겟 액적(32)을 가열(또는 사전 가열)하여 저밀도 타겟 플룸(plume)(34)을 생성시키는데 이용되며, 이러한 타겟 플룸은 나중에 레이저 펄스(52)에 의해 가열(또는 재가열)되어, EUV 광의 증가된 방출을 생성시킨다. 본 발명개시에서는, 레이저 펄스들(42)을 프리 펄스들이라고도 칭하며, 레이저 펄스들(52)을 메인 펄스들이라고도 칭한다. 다양한 실시예들에서, 프리 펄스들(42)은 약 100㎛ 미만의 스팟 크기를 가지며, 메인 펄스들(52)은 225㎛와 같이, 약 200~300㎛의 스팟 크기를 갖는다. 레이저 펄스들(42, 52)은 시간 당 125개 웨이퍼 쓰루풋과 같은, 웨이퍼 볼룸 생산을 충족시키도록 하는 일정한 구동 전력들을 갖도록 생성된다. 실시예에서, 제1 레이저 펄스(42)에는 약 2킬로와트(㎾) 구동 전력이 구비되며, 제2 레이저 펄스(52)에는 약 19㎾ 구동 전력이 구비된다. 다양한 실시예들에서, 제1 및 제2 레이저 펄스들(42, 52)의 총 구동 전력은 27㎾와 같이, 적어도 20㎾이다. 실시예에서, 제1 레이저 소스(40)는 이산화탄소(CO2) 레이저 소스이다. 다른 실시예에서, 제1 레이저 소스(40)는 네오디뮴 도핑된 이트륨 알루미늄 가넷(Nd:YAG) 레이저 소스이다. 실시예에서, 제2 레이저 소스(50)는 CO2 레이저 소스이다.
프리 펄스들(42)과 메인 펄스들(52)은 각각 윈도우들(또는 렌즈들)(44, 54)을 거쳐서 여기 구역(31) 내로 지향된다. 윈도우들(44, 54)은 각각의 레이저 빔들에 대해 실질적으로 투명한 적절한 물질을 채용한다. 프리 펄스들(42)과 메인 펄스들(52)의 생성은 타겟 액적들(32)의 생성과 동기화된다. 타겟 액적들(32)이 여기 구역(31)을 거쳐 이동할 때, 프리 펄스들(42)은 타겟 액적들(32)을 가열시켜서 이 타겟 액적들(32)을 저밀도 타겟 플룸들(34)로 변환시키게 한다. 프리 펄스(42)와 메인 펄스(52)간의 지연은 타겟 플룸(34)이 형성되도록 하고 최적의 크기와 기하형상으로 팽창할 수 있도록 제어된다. 메인 펄스(52)가 타겟 플룸(34)을 가열시킬 때, 고온 플라즈마가 생성된다. 플라즈마는 EUV 방사선(38)을 방출시키며, 이 EUV 방사선(38)은 콜렉터(36)에 의해 수집된다. 콜렉터(36)는 또한 리소그래피 노광 공정들을 위해 EUV 방사선(38)을 반사시키고 포커싱시킨다. 실시예에서, 액적 캐처(catcher)(미도시됨)가 타겟 액적 생성기(30)의 반대편에 설치된다. 액적 캐처는 생성기(30)는 과잉의 타겟 액적들을 포획하는데 이용된다. 예를 들어, 몇몇의 타겟 액적들(32)은 레이저 펄스들(42, 52) 둘 다와 의도적으로 부딪치지 못할 수 있다.
콜렉터(36)는 EUV 수집, 반사, 및 포커스를 위한 거울로서 기능을 하는 적절한 코팅 물질 및 형상을 갖도록 설계된다. 몇몇의 실시예들에서, 콜렉터들(36)은 타원체 기하형상을 갖도록 설계된다. 몇몇의 실시예들에서, 콜렉터(36)의 코팅 물질은 EUV 마스크(18)의 반사성 다중층과 유사하다. 몇몇의 예시들에서, 콜렉터(36)의 코팅 물질은 (복수의 Mo/Si 막 쌍들과 같은) ML을 포함하며, EUV 광을 실질적으로 반사시키기 위해 ML 상에 코팅된 (Ru와 같은) 캡핑층을 더 포함할 수 있다. 몇몇의 실시예들에서, 콜렉터(36)는 콜렉터(36) 상으로 지향되는 레이저 빔을 효과적으로 확산시키도록 설계된 격자(grating) 구조물을 더 포함할 수 있다. 예를 들어, 실리콘 질화물층이 콜렉터(36) 상에 코팅되며, 격자 패턴을 갖도록 패터닝된다.
EUV 리소그래피 시스템(10)과 같은, EUV 리소그래피 시스템에서는, EUV 변환 효율이 중요 고려사항이다. 이렇기 때문에, 프리 펄스들(42)과 메인 펄스들(52)은 최적의 EUV 변환 효율을 위한 적절한 각도로 타겟 액적들(32)과 타겟 플룸들(34)쪽으로 지향된다. 예를 들어, 프리 펄스들(42)은 약간의 오프 노멀(off-normal) 각도(예컨대, 5도)에서 타겟 액적들(32)과 상호작용하도록 정렬될 수 있다. 메인 펄스들(52)도 또한 최대 변환 효율을 위해 타겟 플룸들(34)과 적절하게 정렬된다.
EUV 리소그래피 시스템(10)에서의 다른 고려사항은 콜렉터(36)의 사용 수명이다. 위 공정들 동안, 콜렉터(36)의 반사면은 다양한 입자들, 이온들, 및 방사선의 충돌을 겪는다. 시간이 흐름에 따라, 콜렉터(36)의 반사도는 입자 축적, 이온 손상들, 산화, 블리스터링(blistering) 등으로 인해 저하된다. 이들 중에서도, 입자(예컨대, 주석 잔해물) 퇴적이 지배적인 요인이다.
콜렉터(36)의 오염을 감소시키는 한가지 방법은 여기 구역(31) 내와 콜렉터(36)의 반사면 근처의 공간 내로 수소 가스를 도입시키는 것이다. 실시예에서, 수소 가스는 가스 공급 모듈(26)(도 1 참조)에 의해 제공된다. 수소 가스는 EUV 방사선에 대한 흡수도가 작다. 콜렉터(36)(및, 또한 윈도우들(44, 54))의 코팅면에 도달한 수소 가스는 주석과 화학반응을 하여 EUV 생성 공정 자체의 가스성 부산물인 스탄난(stannane; SnH4)을 형성한다. 펌프 아웃되면, 그 후 스탄난은 폐기된다. 다른 적절한 가스가 대안적으로 또는 추가적으로 이용될 수 있다. 하지만, 수소 가스 흐름의 이용은 이러한 오염을 완전히 막지는 못한다. 본 발명개시의 발명자들은 주석 퇴적이 도 2에서의 스팟(37)과 같은, 콜렉터(36) 상의 특정 스팟들에서 발생하는 경향이 있다는 것을 관측하여 왔다. 이것은 아래에서 설명될 수 있다. 프리 펄스들(42)은 특정 위치(여기 포지션이라고 칭한다)에서 타겟 액적들(32)을 여기시킨다. 그러므로, 일반적으로 팬케이크 형상인 타겟 플룸들(34)은 특정 방향으로 배향된다. 타겟 플룸들(34)의 특정 배향에 의해 생성된 잔해물은 콜렉터 표면 상의 특정 스팟들(37)에서 상대적으로 보다 두껍게 주석 잔해물을 스퍼터링(sputter)한다. 수소 가스 흐름이 콜렉터(36)의 다른 영역들을 보호하는데 충분할 수 있을지라도, 스팟들(37)에서의 주석 퇴적의 레이트는 수소 가스 흐름의 주석 제거의 레이트를 초과한다. 그 결과로서, 주석 잔해물은 콜렉터(36)의 특정 스팟들(37)에서의 반사면 상에서 축적되어, 그 결과 낮은 콜렉터 반사도 및 상당히 감소된 콜렉터 사용 수명을 야기시킨다. 아래의 섹션들에서는, 주석 오염을 한층 더 감소시키기 위한 방법 및 장치가 개시된다.
도 3을 참조하면, 도 3에서는 결과적인 타겟 플룸(34)의 배향과 타겟 액적(32)에 대한 여기 포지션 사이의 상관성의 도해가 도시된다. 본 발명개시의 발명자들은, 타겟 액적들(32)을 (정규 포지션에 대해) 상이한 포지션들에서 가열시킴으로써, 결과적인 타겟 플룸들(34)의 배향을 제어할 수 있다는 것을 발견하여 왔다. 예를 들어, 프리 펄스(42B)가 타겟 액적(32B)을 타겟 액적(32B)의 중심에서 가열시킬 때(여기 포지션(60B)이 대략 정규 포지션임), 결과적인 타겟 플룸(34B)은 수평하게 배향된다(타겟 플룸(34B)의 머리와 꼬리가 정규 포지션에 놓여 있다). 프리 펄스(42A)가 타겟 액적(32A)을 타겟 액적(32A)의 중심 위에서 가열시킬 때(여기 포지션(60A)이 여기 포지션(60B) 위에 있음), 결과적인 타겟 플룸(34A)은 머리를 하향시키고 꼬리를 상향시킨다. 프리 펄스(42C)가 타겟 액적(32C)을 타겟 액적(32C)의 중심 아래에서 가열시킬 때(여기 포지션(60C)이 여기 포지션(60B) 아래에 있음), 결과적인 타겟 플룸(34C)은 머리를 상향시키고 꼬리를 하향시킨다. 물론, 여기서 이용된 “상향”, “하향”, “위”, 및 “아래”는 상대적이며 설명의 용이화를 위한 것이다.
위 개념은 본 발명개시의 다양한 양태들에 따라 구축된, EUV 방사 소스(12A(도 4)에서 활용되고 구현된다. 도 4를 참조하면, EUV 방사 소스(12A)는 EUV 방사 소스(12)와 유사하다. 한가지 차이점은 EUV 방사 소스(12A)는 다중 배향 타겟 플룸들(34)을 생성한다는 점이다. 달리 말하면, 타겟 플룸들(34)은 모두 동일한 방향으로 배향되지는 않는다. 적어도 하나의 타겟 플룸(34A)이 다른 나머지 타겟 플룸들(34)과는 상이하게 배향되어 있다. 그 결과로서, 메인 펄스(52)가 타겟 플룸(34A)을 가열시킬 때, 이로부터 생성된 입자들(예컨대, 주석 잔해물)은 타겟 플룸들(34)에 의해 생성된 입자들과는 상이한 방향으로 떨어지는 경향을 갖는다. 이것은 입자들을 “확산”시키는 효과를 가지며, 이로써 콜렉터(36) 상의 임의의 특정 스팟에서의 입자 퇴적의 레이트를 제한시킨다. 이러한 기술을 가스 흐름 세정 방법과 결합시킴으로써, 본 발명개시의 실시예들은 콜렉터(36) 상의 오염을 한층 더 감소시킬 수 있다.
실시예에서, 프리 펄스들(42)이 타겟 액적들(32)을 다양한 여기 포지션들에서 가열시키도록 다중 배향 타겟 플룸들(34)은 타겟 액적 생성기(30) 및 제1 레이저 소스(40)를 조화시킴으로써 생성된다. 실시예에서, 타겟 액적들(32)은 고정된 레이트(예컨대, 50㎑)로 생성되며, 고정된 속도(예컨대, 70m/s)로 여기 구역(31)을 거쳐 이동한다. 프리 펄스들(42)이 일반적으로 타겟 액적들(32)과 동기화되지만 적어도 하나의 프리 펄스(42)가 정규 타이밍보다 일찍 또는 늦게 생성되도록 제1 레이저 소스(40)는 시기적절하게 제어된다. 이것은 도 5에서 보다 자세하게 예시된다.
도 5를 참조하면, 실시예에서, 타겟 액적들(32)은 한 번에 하나씩 생성되며, 타겟 액적들(32)의 열이 여기 구역(31)을 거쳐 이동한다. 예시된 바와 같이, 제1 타겟 액적은 (상대적으로 말해서) 시간 0에서 여기 구역에 진입하고, 제2 타겟 액적은 시간 “t”에서 진입하는데, 이러한 두 개의 타겟 액적들 사이에는 정규 지연이 존재하며, 제3 타겟 액적은 시간 “2t”에서 진입을 하는 식이다. 이 실시예에서는 또한, 제1 레이저 소스(40)는 타겟 액적들과 일반적으로 동기화되어 프리 펄스들(42)을 생성하도록 구성된다. 세 개의 시나리오들이 도 5에서 예시된다.
시나리오 A에서, 프리 펄스들(42)은 타겟 액적들(32)과 완전히 동기화되며, 두 개의 프리 펄스들(42) 사이의 지연은 또한 “t”이다. 모든 프리 펄스들(42)은 동일한 여기 포지션에서 각각의 타겟 액적들(32)을 가열시킨다. 물론, 여기 포지션들은 정규 포지션에 있을 수 있거나, 또는 오프 노멀할 수 있다. 하지만, 결과적인 타겟 플룸들(34)은 균일하게 배향된다(도 3을 참조하라).
시나리오 B에서, 프리 펄스들(42)은 일반적으로 타겟 액적들(32)과 동기화되지만, 하나의 프리 펄스(42D)가 정규 타이밍보다 시간 Δt1만큼 일찍 생성된다. 프리 펄스(42D)가 각각의 타겟 액적을 가열시킬 때, 결과적인 타겟 플룸은 다른 타겟 플룸들과는 상이하게 배향될 것이다(도 3을 참조하라).
시나리오 C에서, 프리 펄스들(42)은 일반적으로 타겟 액적들(32)과 동기화되지만, 하나의 프리 펄스(42D)가 정규 타이밍보다 시간 Δt2만큼 늦게 생성된다. 프리 펄스(42E)가 각각의 타겟 액적을 가열시킬 때, 결과적인 타겟 플룸은 다른 타겟 플룸들과는 상이하게 배향될 것이다(도 3을 참조하라).
실시예들에서, Δt1 및 Δt2의 범위들은 타겟 액적들(32)의 크기에 의해 제한이 된다. 이러한 범위들은 타겟 플룸들(34)의 희망하는 배향들의 범위에 의해 추가적으로 제한이 될 수 있고, 결국에는 EUV 방사 소스(12)(및 12A)의 변환 효율에 영향을 미칠 수 있다. 실시예에서, Δt1 및 Δt2의 범위들 둘 다는 약 100나노초(㎱) 미만이도록 제한이 된다. 실시예에서, 프리 펄스들(42) 중 몇몇은 타겟 액적들(32)을 가열시키지 못하도록 이러한 범위 밖에 있도록 고의적으로 구성된다. 대응하는 타겟 액적들(32)은 메인 펄스들(52)에 의해서도 가열되지 않으며, EUV 투여량 마진 목적으로 예약이 된다. 이러한 타겟 액적들(32)은 상술한 타겟 액적 캐처에 의해 수집될 수 있다.
실시예들에서, 제2 레이저 소스(50)는 재1 레이저 소스(40)와 완전히 동기화되도록 구성되는데, 즉 프리 펄스(42)와 이에 대응하는 메인 펄스(52) 사이에는 고정된 시간 지연이 있다. 예를 들어, 제1 레이저 소스(40)가 시나리오 B에서 도시된 프리 펄스들(42)을 생성하도록 구성되면, 제2 레이저 소스(50)는, 프리 펄스(42D)에 대응하는 메인 펄스가 또한 정규 타이밍보다 Δt1만큼 일찍 생성되도록 구성된다. 이것은 메인 펄스(52)가 타겟 플룸들을 적절하게 가열시키는 것을 보장해준다.
실시예에서, 프리 펄스들(42)과 메인 펄스들(52)을 생성하기 위한 타이밍은 레이저 소스들(40, 50)의 외부에 있는 하나 이상의 타이밍 생성기들에 의해 수집된다. 다른 실시예에서, 이러한 타이밍 제어기는 레이저 소스들(40, 50) 내에 (이들과 통합되도록) 구축된다.
실시예에서, 다양한 허용가능한 여기 포지션들은 각자의 범위들 내에서 지연들 Δt1 및 Δt2을 스테핑(stepping)시킴으로써 식별될 수 있다. 각각의 스텝에서, 허용 테스트가 수행될 수 있다. 허용 테스트는 EUV 변환 효율, 잔해 퇴적물의 양, 잔해 퇴적물의 크기와 방향 등과 같은 측정들을 고려할 수 있다. 허용가능한 여기 포지션들 중에서, 몇몇은 EUV 리소그래피 시스템(10)을 위해 연합하여 선택될 수 있다. 다중 여기 포지션들을 선택하기 위한 하나의 척도는 총체적인 EUV 변환 효율이 (이것이 최대가 아닐지라도) 허용가능한 것이고, 콜렉터(36)의 오염이 충분이 낮다는 것이다. 실시예에서, 선택된 여기 포지션들은 프리 펄스들(42)과 메인 펄스들(52)의 타이밍에 직접적으로 상관된다.
도 6은 몇몇의 실시예들에 따라 구축된, EUV 리소그래피 시스템(10)에 의해 구현되는 EUV 리소그래피 공정을 위한 방법(100)의 흐름도이다. 추가적인 동작들이 방법(100) 전에, 그 동안에, 및 그 후에 제공될 수 있으며, 설명된 동작들 중 몇몇은 방법의 추가적인 실시예들을 위해 교체되거나, 제거되거나 또는 이동될 수 있다. 방법(100)은 예시에 불과하며, 청구항에서 명시적으로 인용된 것을 넘어서까지 본 발명개시를 국한시키려는 의도가 있는 것은 아니다.
방법(100)은 마스크(18)와 같은 EUV 마스크를, EUV 리소그래피 노광 공정을 수행하도록 동작가능한 리소그래피 시스템(10)에 로딩시키는 동작(102)을 포함한다. 마스크(18)는 웨이퍼(22)와 같은, 반도체 기판에 전사될 IC 패턴을 포함한다. 동작(102)은 마스크(18)를 마스크 스테이지(16) 상에 고정시키고 정렬을 수행하는 것과 같은, 다양한 단계들을 더 포함할 수 있다.
방법(100)은 웨이퍼(22)를 리소그래피 시스템(10)에 로딩시키는 동작(104)을 포함한다. 웨이퍼(22)는 레지스트층으로 코팅된다. 본 실시예에서, 레지스트층은 리소그래피 시스템(10)의 방사 소스(12)로부터의 EUV 방사선에 민감하다.
방법(100)은 EUV 방사 소스(12)가 다중 배향 타겟 플룸들을 생산하도록 프로그래밍하는 동작(106)을 포함한다. 동작(106)은 타겟 액적 생성기(30)를 구성하고, 제1 레이저 소스(40)를 구성하며, 제2 레이저 소스(50)를 구성하는 것을 포함한다. 타겟 액적 생성기(30)는 적절한 물질, 적절한 크기, 적절한 레이트, 및 적절한 이동 속도 및 방향을 갖는 타겟 액적들(32)을 생성하도록 구성된다. 제1 레이저 소스(40)는 타겟 액적들(32)의 생성과 일반적으로 동기화되어 프리 펄스들(42)을 생성하도록 구성된다. 하지만, 프리 펄스들(42) 중 몇몇은 각각의 타겟 액적들(32)을 상이한 여기 포지션들에서 가열시키도록 일정 시간 지연되거나 또는 앞당겨질 것이다. 제2 레이저 소스(50)는 제1 레이저 소스(40)와 동기화되도록 구성된다. 프리 펄스들(42) 각각과 이에 대응하는 메인 펄스(52) 사이에는 적절한 지연이 있다.
방법(100)은 리소그래피 시스템(10)에서 웨이퍼(22)에 대한 리소그래피 노광 공정을 수행하는 동작(108)을 포함한다. 동작(108)에서, 타겟 액적 생성기(30)와 레이저 소스들(40, 50)은 턴 온되고, 동작(106)에서의 구성에 따라 동작한다. 결과적인 타겟 플룸들(34)은 다양한 배향들을 갖는다. 메인 펄스들(52)이 다중 배향 타겟 플룸들(34)을 가열시킬 때, 플라즈마가 생성되며, 이 플라즈마는 EUV 방사선을 방출한다. 이와 동시에, 잔해물(예컨대, 주석 잔해물)은 확산되고, 콜렉터(36)의 특정 위치에서 축적되지 않는다. 실시예에서, 방법(100)은 콜렉터(36)의 표면 근처에 수소 가스 흐름을 도입시키는 동작을 더 포함한다. 수소 가스 흐름은 콜렉터(36)의 표면으로부터 주석 잔해물을 효과적으로 제거한다.
동작(108) 동안, 방사 소스(12)에 의해 생성된 EUV 방사선은 (조명기(14)에 의해) 마스크(18) 상에 조명되고, 추가적으로 웨이퍼(22) 상에 코팅된 레지스트층 상에 투사되어, 레지스트층 상에 잠상(latent image)을 형성한다. 몇몇의 실시예들에서, 리소그래피 노광 공정은 스캔 모드에서 구현된다.
방법(100)은 리소그래피 공정을 완료하기 위해 다른 동작들을 포함할 수 있다. 예를 들어, 방법(100)은 노광된 레지스트층을 현상하여 복수의 개구들이 정의되어 있는 레지스트 패턴을 형성하는 동작(110)을 포함할 수 있다. 구체적으로, 동작(108)에서의 리소그래피 노광 공정 이후, 웨이퍼(22)는 리소그래피 시스템(10) 밖으로 나와서 레지스트층에 대해 현상 공정을 수행하는 현상 유닛으로 이송된다. 방법(100)은 다양한 베이킹 단계들과 같은, 다른 동작들을 더 포함할 수 있다. 하나의 예시로서, 방법(100)은 동작(108)과 동작(110) 사이에 사후 노광 베이킹(post-exposure baking; PEB) 단계를 포함할 수 있다.
방법(100)은 레지스트 패턴의 개구들을 통해 웨이퍼에 대한 제조 공정을 수행하는 동작(112)과 같은, 다른 동작들을 더 포함할 수 있다. 하나의 예시에서, 제조 공정은 레지스트 패턴을 에칭 마스크로서 이용하는 웨이퍼(22)에 대한 에칭 공정을 포함한다. 다른 예시에서, 제조 공정은 레지스트 패턴을 주입 마스크로서 이용하는 웨이퍼(22)에 대한 이온 주입 공정을 포함한다.
본 발명개시의 하나 이상의 실시예들은, 제한적인 것으로 의도된 것은 아니지만, 반도체 디바이스의 제조에 대해 많은 이점들을 제공한다. 예를 들어, 본 발명개시의 실시예들은 LPP 콜렉터들의 사용 수명을 보존시키면서 EUV 방사선을 효율적으로 생성하기 위한 장치 및 방법을 제공한다. 본 발명개시의 실시예들은 기존의 EUV 리소그래피 시스템들 내에서 구현되거나 또는 이것에 통합될 수 있다.
본 발명개시의 양태들을 본 발명분야의 당업자가 보다 잘 이해할 수 있도록 앞에서는 여러 개의 실시예들의 특징들을 약술해왔다. 본 발명분야의 당업자는 여기서 소개한 실시예들의 동일한 목적들을 수행하거나 및/또는 동일한 장점들을 달성하기 위한 다른 공정들 및 구조물들을 설계하거나 또는 수정하기 위한 기초로서 본 발명개시를 자신들이 손쉽게 이용할 수 있다는 것을 알아야 한다. 본 발명분야의 당업자는 또한 이와 같은 등가적 구성들은 본 발명개시의 사상과 범위를 이탈하지 않는다는 것과, 본 발명개시의 사상과 범위를 이탈하지 않고서 당업자가 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있다는 것을 자각해야 한다.

Claims (10)

  1. 극자외선(extreme ultraviolet; EUV) 방사 소스 모듈에 있어서,
    복수의 타겟 액적(droplet)들을 생성하도록 구성된 타겟 액적 생성기;
    상기 타겟 액적들을 가열시켜서 복수의 타겟 플룸(plume)들을 생성하게 하는 복수의 제1 레이저 펄스들을 생성하도록 구성된 제1 레이저 소스로서, 상기 타겟 액적들 중 적어도 하나는 다른 타겟 액적들의 여기 포지션과는 상이한 여기 포지션에서 가열된 것인, 상기 제1 레이저 소스; 및
    상기 타겟 플룸들을 가열시켜서 EUV 방사선을 방출하는 플라즈마를 생성하게 하는 복수의 제2 레이저 펄스들을 생성하도록 구성된 제2 레이저 소스
    를 포함하고,
    상기 타겟 액적 생성기는 상기 타겟 액적들을 한 번에 하나씩 생성하도록 구성되고,
    상기 제1 레이저 소스는 상기 타겟 액적들의 생성과 일반적으로 동기화되게 상기 제1 레이저 펄스들을 한 번에 하나씩 생성하도록 구성되고, 상기 제1 레이저 펄스들 중 적어도 하나는 정규 타이밍보다 일찍 또는 늦게 생성되는 것인, 극자외선(EUV) 방사 소스 모듈.
  2. 제1항에 있어서,
    상기 EUV 방사선을 수집하고 반사시키도록 구성된 콜렉터
    를 더 포함하는 극자외선(EUV) 방사 소스 모듈.
  3. 삭제
  4. 제1항에 있어서, 상기 제1 레이저 펄스들 각각과 상기 제2 레이저 펄스들 중 대응하는 제2 레이저 펄스 사이에 고정된 시간 지연이 있도록 상기 제1 레이저 소스와 상기 제2 레이저 소스는 동기화되는 것인, 극자외선(EUV) 방사 소스 모듈.
  5. 제1항에 있어서, 상기 타겟 액적들 중 적어도 하나가 상기 제1 레이저 펄스들 또는 상기 제2 레이저 펄스들 어느 것에 의해서도 가열되지 않도록 상기 제1 레이저 소스와 상기 제2 레이저 소스가 구성된 것인, 극자외선(EUV) 방사 소스 모듈.
  6. EUV 리소그래피 시스템에 있어서,
    방사 소스로서, 상기 방사 소스는,
    복수의 타겟 액적들을 생성하도록 구성된 타겟 액적 생성기;
    상기 타겟 액적들을 가열시켜서 복수의 타겟 플룸들을 생성하게 하는 복수의 제1 레이저 펄스들을 생성하도록 구성된 제1 레이저 소스로서, 상기 타겟 액적들 중 적어도 하나는 다른 타겟 액적들의 여기 포지션과는 상이한 여기 포지션에서 가열되는 것인, 상기 제1 레이저 소스;
    상기 타겟 플룸들을 가열시켜서 EUV 방사선을 방출하는 플라즈마를 생성하게 하는 복수의 제2 레이저 펄스들을 생성하도록 구성된 제2 레이저 소스; 및
    상기 EUV 방사선을 수집하고 반사시키도록 구성된 콜렉터
    를 포함한 것인, 상기 방사 소스;
    EUV 마스크를 고정하도록 구성된 마스크 스테이지;
    반도체 웨이퍼를 고정하도록 구성된 웨이퍼 스테이지; 및
    상기 EUV 마스크 상에서 정의된 집적 회로(IC) 패턴을 상기 반도체 웨이퍼에 이미지화하기 위해 상기 방사 소스로부터의 상기 EUV 방사선을 지향시키도록 설계된 광학 모듈
    을 포함하고,
    상기 제1 레이저 펄스들과 상기 타겟 액적들의 생성이 정규 타이밍과 일반적으로 동기화되지만, 상기 제1 레이저 펄스들 중 적어도 하나는 상기 정규 타이밍과는 상이한 타이밍에서 생성되도록 상기 방사 소스가 구성된 것인, EUV 리소그래피 시스템.
  7. 삭제
  8. 제6항에 있어서,
    수소 가스를 상기 콜렉터의 반사면 근처의 공간 내에 도입시키도록 구성된 가스 공급기
    를 더 포함하는 EUV 리소그래피 시스템.
  9. 타겟을 패터닝하기 위한 극자외선(EUV) 리소그래피 공정에 있어서,
    EUV 리소그래피 시스템에 반도체 웨이퍼를 로딩(load)시키는 단계로서, 상기 EUV 리소그래피 시스템은,
    복수의 타겟 액적들을 생성하도록 구성된 타겟 액적 생성기; 상기 타겟 액적들을 가열시켜서 복수의 타겟 플룸들을 생성하게 하는 복수의 제1 레이저 펄스들을 생성하도록 구성된 제1 레이저 소스; 상기 타겟 플룸들을 가열시켜서 EUV 방사선을 방출하는 플라즈마를 생성하게 하는 복수의 제2 레이저 펄스들을 생성하도록 구성된 제2 레이저 소스; 및 상기 EUV 방사선을 수집하고 반사시키도록 구성된 콜렉터를 포함한 방사 소스;
    EUV 마스크를 홀딩하는 마스크 스테이지;
    상기 반도체 웨이퍼를 고정하도록 구성된 웨이퍼 스테이지; 및
    상기 EUV 마스크 상에서 정의된 IC 패턴을 상기 반도체 웨이퍼에 이미지화하기 위해 상기 방사 소스로부터의 상기 EUV 방사선을 지향시키도록 설계된 광학 모듈
    을 포함한 것인, 상기 반도체 웨이퍼를 로딩시키는 단계;
    상기 제1 레이저 펄스들과 상기 타겟 액적들의 생성이 정규 타이밍과 일반적으로 동기화되지만, 상기 제1 레이저 펄스들 중 적어도 하나는 상기 정규 타이밍과는 상이한 타이밍에서 생성되도록 상기 방사 소스를 프로그래밍하는 단계; 및
    상기 EUV 방사선에 의해 상기 반도체 웨이퍼를 노광시키는 단계
    를 포함하는, 극자외선(EUV) 리소그래피 공정.
  10. 제9항에 있어서,
    수소 가스 흐름을 상기 콜렉터의 반사면 근처의 공간 내에 도입시키는 단계
    를 더 포함하는 극자외선(EUV) 리소그래피 공정.
KR1020150139148A 2015-04-30 2015-10-02 극자외선 리소그래피 콜렉터 오염 감소 KR101717856B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562155111P 2015-04-30 2015-04-30
US62/155,111 2015-04-30
US14/803,849 US9625824B2 (en) 2015-04-30 2015-07-20 Extreme ultraviolet lithography collector contamination reduction
US14/803,849 2015-07-20

Publications (2)

Publication Number Publication Date
KR20160129678A KR20160129678A (ko) 2016-11-09
KR101717856B1 true KR101717856B1 (ko) 2017-03-17

Family

ID=57135667

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150139148A KR101717856B1 (ko) 2015-04-30 2015-10-02 극자외선 리소그래피 콜렉터 오염 감소

Country Status (5)

Country Link
US (1) US9625824B2 (ko)
KR (1) KR101717856B1 (ko)
CN (1) CN106094441B (ko)
DE (1) DE102015112273B4 (ko)
TW (1) TWI569689B (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170311429A1 (en) * 2016-04-25 2017-10-26 Asml Netherlands B.V. Reducing the effect of plasma on an object in an extreme ultraviolet light source
US10310380B2 (en) * 2016-12-07 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-brightness light source
US10162277B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system with debris trapper on exhaust line
CN110612482B (zh) * 2017-05-10 2022-04-26 Asml荷兰有限公司 激光产生的等离子体源
US10824083B2 (en) 2017-09-28 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Light source, EUV lithography system, and method for generating EUV radiation
US10495987B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system
KR20230006608A (ko) 2017-10-19 2023-01-10 사이머 엘엘씨 단일의 리소그래피 노광 패스로 복수의 에어리얼 이미지를 형성하는 방법
US10477663B2 (en) 2017-11-16 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Light source for lithography exposure process
US10314154B1 (en) * 2017-11-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for extreme ultraviolet source control
JP7366913B2 (ja) * 2018-03-27 2023-10-23 エーエスエムエル ネザーランズ ビー.ブイ. Euv光源内のデブリを制御するための装置及び方法
US10925142B2 (en) * 2018-07-31 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation source for lithography exposure process
US11237482B2 (en) * 2018-08-14 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Process system and operating method thereof
US11153959B2 (en) * 2018-08-17 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
DE102019124781B4 (de) 2018-09-28 2024-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen und behandeln einer fotomaske
US11360384B2 (en) 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
US10747119B2 (en) * 2018-09-28 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for monitoring reflectivity of the collector for extreme ultraviolet radiation source
US10859918B2 (en) * 2018-10-30 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same
US11499924B2 (en) 2019-06-03 2022-11-15 KLA Corp. Determining one or more characteristics of light in an optical system
US11043595B2 (en) 2019-06-14 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate in memory macro edge and middle strap
US11211116B2 (en) 2019-09-27 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM write assist circuit
US11121138B1 (en) 2020-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance pickup cells for SRAM
DE102021106289A1 (de) * 2020-05-07 2021-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. System und verfahren zum ausführen von extrem-ultraviolett-photolithografieprozessen
US11374088B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction in gate-all-around devices
US11482518B2 (en) 2021-03-26 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures having wells with protruding sections for pickup cells

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010045357A (ja) 2008-08-14 2010-02-25 Asml Netherlands Bv 放射源および放射を生成する方法
US20120091893A1 (en) * 2008-12-16 2012-04-19 Gigaphoton, Inc. Extreme ultraviolet light source apparatus
US20140368802A1 (en) * 2011-09-02 2014-12-18 Asml Netherlands B.V. Radiation source

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8653437B2 (en) * 2010-10-04 2014-02-18 Cymer, Llc EUV light source with subsystem(s) for maintaining LPP drive laser output during EUV non-output periods
CN100366129C (zh) * 2002-05-13 2008-01-30 杰特克公司 用于产生辐射的方法和装置
WO2009025557A1 (en) 2007-08-23 2009-02-26 Asml Netherlands B.V. Module and method for producing extreme ultraviolet radiation
JP2010103499A (ja) * 2008-09-29 2010-05-06 Komatsu Ltd 極端紫外光源装置および極端紫外光生成方法
US8138487B2 (en) * 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
JP5603135B2 (ja) 2009-05-21 2014-10-08 ギガフォトン株式会社 チャンバ装置におけるターゲット軌道を計測及び制御する装置及び方法
EP2480936B1 (en) 2009-09-25 2015-03-18 ASML Netherlands BV Source collector apparatus, lithographic apparatus and device manufacturing method
US8872142B2 (en) * 2010-03-18 2014-10-28 Gigaphoton Inc. Extreme ultraviolet light generation apparatus
US9072153B2 (en) * 2010-03-29 2015-06-30 Gigaphoton Inc. Extreme ultraviolet light generation system utilizing a pre-pulse to create a diffused dome shaped target
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
JP2012199512A (ja) * 2011-03-10 2012-10-18 Gigaphoton Inc 極端紫外光生成装置及び極端紫外光生成方法
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
WO2014090480A1 (en) 2012-12-12 2014-06-19 Asml Netherlands B.V. Power source for a lithographic apparatus, and lithographic apparatus comprising such a power source
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US9127981B2 (en) 2013-08-06 2015-09-08 Cymer, Llc System and method for return beam metrology with optical switch
EP3045022B1 (de) * 2013-09-12 2017-11-08 TRUMPF Lasersystems for Semiconductor Manufacturing GmbH Strahlführungseinrichtung und euv-strahlungserzeugungsvorrichtung mit einer überlagerungseinrichtung
CN103513519A (zh) 2013-09-13 2014-01-15 华中科技大学 一种极紫外光刻机光源中液滴靶空间位置的监控系统
US9232623B2 (en) * 2014-01-22 2016-01-05 Asml Netherlands B.V. Extreme ultraviolet light source

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010045357A (ja) 2008-08-14 2010-02-25 Asml Netherlands Bv 放射源および放射を生成する方法
US20120091893A1 (en) * 2008-12-16 2012-04-19 Gigaphoton, Inc. Extreme ultraviolet light source apparatus
US20140368802A1 (en) * 2011-09-02 2014-12-18 Asml Netherlands B.V. Radiation source

Also Published As

Publication number Publication date
CN106094441B (zh) 2018-09-28
DE102015112273A1 (de) 2016-11-03
US9625824B2 (en) 2017-04-18
CN106094441A (zh) 2016-11-09
KR20160129678A (ko) 2016-11-09
TWI569689B (zh) 2017-02-01
DE102015112273B4 (de) 2017-10-26
TW201639417A (zh) 2016-11-01
US20160320708A1 (en) 2016-11-03

Similar Documents

Publication Publication Date Title
KR101717856B1 (ko) 극자외선 리소그래피 콜렉터 오염 감소
US9869934B2 (en) Collector in an extreme ultraviolet lithography system with optimal air curtain protection
TWI791646B (zh) 極紫外線輻射源、極紫外線輻射源模組、極紫外線微影系統與極紫外線微影的方法
US11789355B2 (en) Extreme ultraviolet mask and method of manufacturing the same
JP5878120B2 (ja) Euv放射システムおよびリソグラフィ装置
US11333983B2 (en) Light source, EUV lithography system, and method for generating EUV radiation
JP2005235959A (ja) 光発生装置及び露光装置
US11979971B2 (en) EUV light source and apparatus for lithography
WO2013041323A1 (en) Radiation source
US10871647B2 (en) Apparatus and method for prevention of contamination on collector of extreme ultraviolet light source
US10859928B2 (en) EUV light source and apparatus for lithography
JP6395832B2 (ja) 放射源用コンポーネント、関連した放射源およびリソグラフィ装置
US10802405B2 (en) Radiation source for lithography exposure process
US10925142B2 (en) EUV radiation source for lithography exposure process
US10859918B2 (en) Semiconductor apparatus and method of operating the same
CN115524929A (zh) 极紫外光源设备及其操作方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200228

Year of fee payment: 4