CN106094441A - 远紫外光刻收集器污染减少 - Google Patents

远紫外光刻收集器污染减少 Download PDF

Info

Publication number
CN106094441A
CN106094441A CN201510800502.7A CN201510800502A CN106094441A CN 106094441 A CN106094441 A CN 106094441A CN 201510800502 A CN201510800502 A CN 201510800502A CN 106094441 A CN106094441 A CN 106094441A
Authority
CN
China
Prior art keywords
euv
object droplet
laser pulse
light emitter
radiation source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510800502.7A
Other languages
English (en)
Other versions
CN106094441B (zh
Inventor
卢彦丞
陈政宏
吴善德
陈子祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106094441A publication Critical patent/CN106094441A/zh
Application granted granted Critical
Publication of CN106094441B publication Critical patent/CN106094441B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/006X-ray radiation generated from plasma being produced from a liquid or gas details of the ejection system, e.g. constructional details of the nozzle
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Public Health (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • X-Ray Techniques (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

远紫外(EUV)辐射源模块包括目标液滴发生器、第一激光源和第二激光源。目标液滴发生器配置为生成多个目标液滴。第一激光源配置为生成多个第一激光脉冲,第一激光脉冲在相应的激发位置处加热目标液滴,从而生成多个目标羽流。目标液滴的至少一个在与其他目标液滴不同的激发位置处被加热。第二激光源配置为生成多个第二激光脉冲,第二激光脉冲加热目标羽流,从而生成发射EUV辐射的等离子体。本发明的实施例还涉及远紫外光刻收集器污染减少。

Description

远紫外光刻收集器污染减少
优先权数据
本申请要求2015年4月30日提交的标题为“Extreme UltravioletLithography Collector Contamination Reduction”的美国临时申请第62/155,111号的权益,其全部内容结合于此作为参考。
技术领域
本发明的实施例涉及远紫外光刻,更具体地,涉及远紫外光刻收集器污染减少。
背景技术
半导体集成电路(IC)工业已经经历了指数增长。IC材料和设计中的技术进步已经产生了多代IC,其中,每一代IC都具有比前一代更小和更复杂的电路。在IC演化的过程中,功能密度(即,每芯片面积的互连器件的数量)通常已经增大,而几何尺寸(即,使用制造工艺可以产生的最小组件(或线))已经减小。这种按比例缩小工艺通常通过提高生产效率和降低相关成本来提供益处。这些按比例缩小也已经增大了IC处理和制造的复杂度。
例如,实施更高分辨率的光刻工艺的需求增长。一种光刻技术是远紫外光刻(EUVL)。EUVL采用使用远紫外(EUV)区的光的扫描仪,远紫外区具有约1-100nm的波长。一些EUV扫描仪提供类似于一些光学扫描仪的4X缩小投影印刷,除了EUV扫描仪使用反射光学而不是折射光学之外,即,反射镜而不是透镜。一种类型的EUV光源是激光等离子体(LPP)。LPP技术通过将高功率激光束聚焦在小锡液滴目标上以形成发射EUV辐射的高度电离的等离子体而产生EUV光,EUV辐射具有13.5nm的最大发射的峰。然后EUV光由LPP收集器收集并且通过光学组件朝着光刻目标(例如,晶圆)反射。由于颗粒、离子、辐射的碰撞和更严重的锡沉积,LPP收集器经受损坏和退化。
发明内容
本发明的实施例提供了一种远紫外(EUV)辐射源模块,包括:目标液滴发生器,配置为生成多个目标液滴;第一激光源,配置为生成多个第一激光脉冲,所述第一激光脉冲加热所述目标液滴,从而生成多个目标羽流,其中,所述目标液滴的至少一个在与其他目标液滴不同的激发位置处被加热;以及第二激光源,配置为生成多个第二激光脉冲,所述第二激光脉冲加热所述目标羽流,从而生成发射EUV辐射的等离子体。
本发明的另一实施例提供了一种EUV光刻系统,包括:辐射源,其中,所述辐射源包括:目标液滴发生器,配置为生成多个目标液滴;第一激光源,配置为生成多个第一激光脉冲,所述第一激光脉冲加热所述目标液滴,从而生成多个目标羽流,其中,所述目标液滴的至少一个在与其他目标液滴不同的激发位置处被加热;第二激光源,配置为生成多个第二激光脉冲,所述第二激光脉冲加热所述目标羽流,从而生成发射EUV辐射的等离子体;和收集器,配置为收集和反射所述EUV辐射;掩模工作台,配置为固定EUV掩模;晶圆工作台,配置为固定半导体晶圆;以及光学模块,设计为导向来自所述辐射源的所述EUV辐射以将限定在所述EUV掩模上的集成电路(IC)图案成像至所述半导体晶圆。
本发明的又一实施例提供了一种用于图案化目标的远紫外(EUV)光刻工艺,包括:将半导体晶圆装载至EUV光刻系统,所述EUV光刻系统包括:辐射源,所述辐射源包括:目标液滴发生器,配置为生成多个目标液滴;第一激光源,配置为生成多个第一激光脉冲,所述第一激光脉冲加热所述目标液滴,从而生成多个目标羽流;第二激光源,配置为生成多个第二激光脉冲,所述第二激光脉冲加热所述目标羽流,从而生成发射EUV辐射的等离子体;和收集器,配置为收集和反射所述EUV辐射;掩模工作台,保持EUV掩模;晶圆工作台,配置为固定所述半导体晶圆;以及光学模块,设计为导向来自所述辐射源的所述EUV辐射以将限定在所述EUV掩模上的IC图案成像至所述半导体晶圆;编程所述辐射源,使得所述目标液滴和所述第一激光脉冲的生成与正常时序大体同步,但是所述第一激光脉冲的至少一个在与所述正常时序不同的时序处生成;以及通过所述EUV辐射曝光所述半导体晶圆。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据一些实施例构建的具有激光等离子体(LPP)EUV辐射源的EUV光刻系统的示意图。
图2是根据一些实施例构建的图1的EUV光刻系统中的EUV辐射源的图解视图。
图3示出了撞击目标液滴的不同激发位置的预脉冲激光,目标液滴可以配置在图2的EUV辐射源中。
图4是根据一些实施例构建的图1的EUV光刻系统中的EUV辐射源的图解视图。
图5示出了根据一些实施例构建的通过图4的EUV辐射源的激光预脉冲的不同配置。
图6是根据一些实施例构建的光刻工艺的流程图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。此外,本发明可在各个实例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
而且,为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等的空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作相应的解释。
本发明通常涉及远紫外(EUV)光刻系统和方法。更具体地,涉及用于减轻激光等离子体(LPP)EUV辐射源中的收集器上的污染的装置和方法。收集器(也称为LPP收集器或EUV收集器)是LPP EUV辐射源的重要组件。它收集和反射EUV辐射并且有助于整体EUV转换效率。然而,由于颗粒、离子、辐射的碰撞和碎片沉积,收集器经受损坏和退化。本发明的目标针对减少LPP收集器上的碎片沉积,从而增加其使用寿命。
图1是根据一些实施例构建的光刻系统10的示意图和图解视图。光刻系统10也可以一般地称为扫描仪,该扫描仪可用于以相应的辐射源和曝光模式实施光刻曝光工艺。在本实施例中,光刻系统10是设计为通过EUV光(或EUV辐射)曝光光刻胶层的远紫外(EUV)光刻系统。光刻胶层是对EUV光敏感的材料。EUV光刻系统10采用辐射源12以生成EUV光,诸如具有介于约1nm和约100nm的范围内的波长的EUV光。在一个特定实例中,辐射源12生成波长集中在约13.5nm的EUV光。因此,辐射源12也称为EUV辐射源12。在本实施例中,EUV辐射源12利用双脉冲激光等离子体(LPP)的机制以生成EUV辐射,这将在后面进一步描述。
光刻系统10也采用照明器14。在各个实施例中,照明器14包括各种折射光学组件,诸如单个透镜或具有多个透镜(波带片)的透镜系统,或可选地反射光学组件(用于EUV光刻系统),诸如单个反射镜或具有多个反射镜的反射镜系统以将光从辐射源12导向至掩模工作台16上,特别地是固定在掩模工作台16上的掩模18。在本实施例中,其中辐射源12生成EUV波长范围内的光,照明器14采用反射光学组件。
光刻系统10也包括配置为固定掩模18的掩模工作台16。在一些实施例中,掩模工作台16包括静电卡盘(e-卡盘)以固定掩模18。这是因为气体分子吸收EUV光,并且用于EUV光刻图案化的光刻系统保持在真空环境中以避免EUV强度损失。在本发明中,掩模、光掩模和中间掩模的术语可以互换使用。在本发明中,光刻系统10是EUV光刻系统,并且掩模18是反射掩模。掩模18的一个示例性结构包括具有合适的材料的衬底,诸如低热膨胀材料(LTEM)或熔融石英。在各个实例中,LETM包括TiO2掺杂的SiO2或具有低热膨胀的其他合适的材料。掩模18包括沉积在衬底上的多个反射多层(ML)。ML包括多个膜对,诸如钼-硅(Mo/Si)膜对(例如,在每个膜对中,钼层位于硅层之上或之下)。可选地,ML可以包括钼-铍(Mo/Be)膜对或可配置为高度反射EUV光的其他合适的材料。掩模18还可以包括设置在ML上用于保护的诸如钌(Ru)的覆盖层。掩模18还包括设置在ML上方的吸收层,诸如氮化钽硼(TaBN)层。图案化吸收层以限定集成电路(IC)的层。可选地,另一反射层可以沉积在ML上方并且被图案化以限定集成电路的层,从而形成EUV相移掩模。
光刻系统10也包括用于将掩模18的图案成像在半导体衬底22上的投影光学模块(或投影光学盒(POB))20,半导体衬底22固定在光刻系统10的衬底工作台24上。在各个实施例中,POB 20具有折射光学组件(诸如用于UV光刻系统)或可选地反射光学组件(诸如用于EUV光刻系统)。从掩模18导向的光携带限定在掩模上的图案的图像,该光由POB 20收集。照明器14和POB 20共同称为光刻系统10的光学模块。
在本实施例中,半导体衬底22是半导体晶圆,诸如硅晶圆或将被图案化的其他类型的晶圆。在本实施例中,半导体衬底22涂布有对EUV光敏感的光刻胶层。包括上述那些的各种组件集成在一起并且可用于实施光刻曝光工艺。
光刻系统10还可以包括其他模块或与其他模块集成(或连接)。在本实施例中,光刻系统10包括设计为将氢气提供至辐射源12的气体供应模块26。氢气帮助减少辐射源12中的污染。
图2示出根据一些实施例的辐射源12的图解视图。辐射源12采用双脉冲激光等离子体(LPP)机制以生成等离子体和由等离子体进一步生成EUV光。
参照图2,辐射源12包括目标液滴发生器30、第一激光源40、第二激光源50和LPP收集器36。目标液滴发生器30生成多个目标液滴32。在实施例中,目标液滴32是锡(Sn)液滴。在实施例中,每个锡液滴32具有约30微米(μm)的直径。在实施例中,锡液滴32以约50千赫(kHz)的频率生成并且以约70米每秒(m/s)的速度引入到辐射源12中的激发区31。其他材料也可以用于目标液滴32,例如,含锡液体材料,诸如包含锡、锂(Li)和氙(Xe)的共晶合金。
第一激光源40产生激光脉冲42。第二激光源50产生激光脉冲52。在本实施例中,激光脉冲42具有比激光脉冲52小的强度和小的光斑尺寸。激光脉冲42用于加热(或预热)目标液滴32以产生低密度目标羽流34,目标羽流34随后被激光脉冲52加热(或重新加热),从而生成EUV光的增大的发射。在本发明中,激光脉冲42也称为预脉冲,并且激光脉冲52是主脉冲。在各个实施例中,预脉冲42具有约100μm或更小的光斑尺寸,并且主脉冲52具有约200-300μm的光斑尺寸,诸如225μm。激光脉冲42和52生成为具有特定驱动功率以实现晶圆批量生产,诸如每小时125个晶圆的生产量。在实施例中,第一激光脉冲42配备有约2千瓦(kW)驱动功率,而第二激光脉冲52配备有约19kW驱动功率。在各个实施例中,第一激光脉冲42和第二激光脉冲52的总驱动功率为至少20kW,诸如27kW。在实施例中,第一激光源40是二氧化碳(CO2)激光源。在另一实施例中,第一激光源40是钕掺杂的钇铝柘榴石(Nd:YAG)激光源。在实施例中,第二激光源50是CO2激光源。
预脉冲42和主脉冲52通过窗口(或透镜)44和54分别导向至激发区31内。窗口44和54采用对相应的激光束基本上透明的合适的材料。预脉冲42和主脉冲52的生成与目标液滴32的生成同步。当目标液滴32移动通过激发区31时,预脉冲42加热目标液滴32并且将它们转化成低密度目标羽流34。控制预脉冲42和主脉冲52之间的延迟以允许目标羽流34形成和扩展至最佳尺寸和几何结构。当主脉冲52加热目标羽流34时,生成高温等离子体。等离子体发射EUV辐射38,EUV辐射38由收集器36收集。收集器36还反射和聚焦EUV辐射38以用于光刻曝光工艺。在实施例中,液滴捕集器(未示出)安装在与目标液滴发生器30相对的位置处。液滴捕集器用于捕集过量的目标液滴32。例如,一些目标液滴32可能由激光脉冲42和52故意地错过。
收集器36设计为具有适当的涂层材料和形状,用作用于EUV收集、反射和聚焦的反射镜。在一些实施例中,收集器36设计为具有椭圆几何结构。在一些实施例中,收集器36的涂层材料类似于EUV掩模18的反射多层。在一些实例中,收集器36的涂层材料包括ML(诸如多个Mo/Si膜对)并且还可以包括涂布在ML上的覆盖层(诸如Ru)以基本上反射EUV光。在一些实施例中,收集器36还可以包括设计为有效散射导向在收集器36上的激光束的光栅结构。例如,氮化硅层涂布在收集器36上并且被图案化为具有光栅结构。
在EUV光刻系统中,诸如EUV光刻系统10,EUV转换效率是关键因素。由此,预脉冲42和主脉冲52以适当的角度导向目标液滴32和目标羽流34以用于最佳EUV转换效率。例如,预脉冲42可以对准以离位几度(例如,5度)的角度与目标液滴32相互作用。主脉冲52也与目标羽流34适当地对准以用于最大转换效率。
EUV光刻系统10中的另一因素是收集器36的使用寿命。在以上工艺期间,收集器36的反射表面经受各种颗粒、离子和辐射的碰撞。随着时间的进行,由于颗粒累积、离子损坏、氧化、起泡等,收集器36的反射率退化。其中,颗粒(例如,锡碎片)沉积是主要因素。
减小收集器36的污染的一种方法是将氢气引入激发区31内和收集器36的反射表面附近的空间内。在实施例中,通过气体供应模块26(图1)提供氢气。氢气具有对EUV辐射的较少的吸收。到达收集器36的涂层表面(以及窗口44和54)的氢气与锡化学反应以形成锡烷(SnH4),EUV生成工艺本身的气体副产物。然后锡烷被抽出、丢弃。可以可选地或额外地使用其他合适的气体。然而,使用氢气流不完全防止污染。本发明的发明人已经观察到,锡沉积趋于在收集器36上的特定斑点处发生,诸如图2中的斑点37。这可以解释如下。预脉冲42在特定位置处激发目标液滴32(称为激发位置)。因此,通常为煎饼形状的目标羽流34定向为特定方向。由目标羽流34的特定定向生成的碎片在收集器表面上的特定斑点37处溅射相对较厚的锡碎片。在斑点37处的锡沉积的速率超出通过氢气流的锡去除的速率,即使氢气流可能足以保护收集器36的其他区域。结果,锡碎片在收集器36的特定斑点37处的反射表面上累积,从而产生低收集器反射率和显著减小的收集器使用寿命。在以下部分中,公开了方法和装置以进一步减小锡污染。
参照图3,其中示出了目标液滴32上的激发位置和产生的目标羽流34的定向之间的相关性。本发明的发明人发现,通过在不同的位置(相对于正常位置)处加热目标液滴32,可以控制产生的目标羽流34的定向。例如,当预脉冲42B在目标液滴32B的中心处(激发位置60B约处于正常位置处)加热目标液滴32B时,产生的目标羽流34B横向定向(它的头和尾处于正常位置)。当预脉冲42A在目标液滴32A的中心之上(激发位置60A位于60B之上)加热目标液滴32A时,产生的目标羽流34A头朝下并且尾向上定向。当预脉冲42C在目标滴32C的中心之下(激发位置60C位于60B之下)加热目标液滴32C时,产生的目标羽流34C头朝上并且尾向下定向。当然,如本文中使用的“上”、“下”、“之上”和“之下”是相对的并且为了便于描述。
以上构思用于和体现在根据本发明的各个方面构建的EUV辐射源12A(图4)中。参照图4,EUV辐射源12A类似于EUV辐射源12。一个差别是EUV辐射源12A产生多重定向的目标羽流34。换句话说,不是所有的目标羽流34都定向为相同的方向。至少一个目标羽流34A与其他目标羽流34不同地定向。结果,当主脉冲52加热目标羽流34A时,由此生成的颗粒(例如,锡碎片)趋于以与由目标羽流34生成的那些不同的方向落下。这具有使颗粒“散开”的作用,从而限制了收集器36上的任何特定斑点处的颗粒沉积的速率。结合该技术与气流清洗方法,本发明的实施例能够进一步降低收集器36上的污染。
在实施例中,通过协调目标液滴发生器30和第一激光源40使得预脉冲42在不同的激发位置处加热目标液滴32来生成多重定向的目标羽流34。在实施例中,目标液滴32以固定频率(例如,50kHz)生成并且以固定的速度(例如,70m/s)移动通过激发区31。第一激光源40是时控的,使得预脉冲42与目标液滴32大体同步,但是至少一个预脉冲42在比正常时序更早或更迟的时序生成。这在图5中进一步示出。
参照图5,在实施例中,目标液滴32一次一个地生成,并且一连串的目标液滴32移动通过激发区31。如图所示,第一目标液滴在时间0处进入激发区(相对来说),第二目标液滴在时间“t”,时间t是两个目标液滴之间的正常延迟,第三目标液滴在时间“2t”,以此类推。在进一步的实施例中,第一激光源40配置为与目标液滴大体同步地生成预脉冲42。图5中示出了三个场景。
在场景A中,预脉冲42与目标液滴32完全同步,并且两个预脉冲42之间的延迟也是“t”。所有预脉冲42在相同的激发位置处加热相应的目标液滴32。当然,激发位置可以处于正常位置或离位。然而,产生的目标羽流34是均匀地定向的(见图3)。
在场景B中,预脉冲42与目标液滴32大体同步,但是一个预脉冲42D比正常时序早生成时间Δt1。当预脉冲42D加热相应的目标液滴时,产生的目标羽流将与其他目标羽流不同地定向(见图3)。
在场景C中,预脉冲42与目标液滴32大体同步,但是一个预脉冲42E比正常时序迟生成时间Δt2。当预脉冲42E加热相应的目标液滴时,产生的目标羽流将与其他目标羽流不同地定向(见图3)。
在实施例中,Δt1和Δt2的范围由目标液滴32的尺寸限制。它们可以受到目标羽流34期望定向的范围的进一步限制,Δt1和Δt2的范围最终影响EUV辐射源12(和12A)的转换效率。在实施例中,Δt1和Δt2的范围均限制于小于约100纳秒(ns)。在实施例中,一些预脉冲42特意地配置在范围之外,使得它们不加热目标液滴32。相应的目标液滴32也不被主脉冲52加热,并且被保留用于EUV剂量边缘的目的。这些目标液滴32可以由以上描述的目标液滴捕集器收集。
在实施例中,第二激光源50配置为与第一激光源40完全同步,即,在预脉冲42和相应的主脉冲52之间存在固定的时间延迟。例如,如果第一激光源40配置为生成如场景B中所示的预脉冲42,则第二激光源50配置为使得与预脉冲42D相应的主脉冲也比正常时序早生成Δt1。这确保主脉冲52适当地加热目标羽流。
在实施例中,通过激光源40和50外部的一个或多个时序发生器控制生成预脉冲42和主脉冲52的时序。在另一实施例中,这样的时序控制器内置于(集成于)激光源40和50。
在实施例中,可以通过将延迟Δt1和Δt2分级在它们的相应的范围内来识别各个可接受的激发位置。在每个梯级中,可以实施验收测试。验收测试可以考虑诸如EUV转换效率、碎片沉积的量、碎片沉积的尺寸和方向等的测量。在可接受的激发位置中,一些可以共同地选择用于EUV光刻系统10。用于选择多个激发位置的一个标准是整体EUV转换效率是可接受的(即使它可能不是最大的)并且收集器36的污染是足够低的。在实施例中,选择的激发位置与预脉冲42和主脉冲52的时序直接相关。
图6是根据一些实施例构建的由EUV光刻系统10实施的EUV光刻工艺的方法100的流程图。可以在方法100之前、期间和之后提供额外的操作,并且对于方法的额外的实施例,可以替换、消除或移动描述的一些操作。方法100是实例,并且不旨在将本发明限制于权利要求中明确列举的。
方法100包括操作102,操作102将诸如掩模18的EUV掩模装载到可用于实施EUV光刻曝光工艺的光刻系统10。掩模18包括将转印至诸如晶圆22的半导体衬底的IC图案。操作102还可以包括多个步骤,诸如将掩模18固定在掩模工作台16上以及实施对准。
方法100包括操作104,操作104将晶圆22装载到光刻系统10。晶圆22涂布有光刻胶层。在本实施例中,光刻胶层对来自光刻系统10的辐射源12的EUV辐射敏感。
方法100包括操作106,操作106编程EUV辐射源12以产生多重定向的目标羽流。操作106包括配置目标液滴发生器30,配置第一激光源40和配置第二激光源50。目标液滴发生器30配置为生成具有适当的材料、适当的尺寸、适当的频率和适当的移动速度和方向的目标液滴32。第一激光源40配置为与目标液滴32的生成大体同步地生成预脉冲42。然而,一些预脉冲42在时间上延迟或提前,使得它们将在不同的激发位置处加热相应的目标液滴32。第二激光源50配置为与第一激光源40同步。在每个预脉冲42和相应的主脉冲52之间存在适当的延迟。
方法100包括操作108,在光刻系统10对晶圆22实施光刻曝光工艺。在操作108中,目标液滴发生器30和激光源40与50导通并且根据操作106中的配置操作。产生的目标羽流34具有多种定向。由于主脉冲52加热多重定向的目标羽流34,生成等离子体,等离子体发射EUV辐射。同时,碎片(例如,锡碎片)散开,不累积在收集器36的特定位置处。在实施例中,方法100还包括在收集器36的表面附近引入氢气流。氢气流有效地从收集器36的表面去除锡碎片。
在操作108期间,由辐射源12生成的EUV辐射照射在掩模18上(通过照明器14),并且进一步投射在涂布在晶圆22上的光刻胶层上(通过POB 20),从而在光刻胶层上形成潜像。在一些实施例中,以扫描模式实施光刻曝光工艺。
方法100可以包括其他操作以完成光刻工艺。例如,方法100可以包括操作110,显影曝光的光刻胶层以形成具有限定在其上的多个开口的光刻胶图案。特别地,在操作108中的光刻曝光工艺之后,晶圆22转移出光刻系统10至显影单元以对光刻胶层实施显影工艺。方法100还可以包括其他操作,诸如多个烘烤步骤。作为一个实例,方法100可以包括操作108和110之间的曝光后烘烤(PEB)步骤。
方法100还可以包括其他操作,诸如操作112,通过光刻胶图案的开口对晶圆实施制造工艺。在一个实例中,制造工艺包括将光刻胶图案用作蚀刻掩模,对晶圆22的蚀刻工艺。在另一实例中,制造工艺包括将光刻胶图案用作注入掩模,对晶圆22的离子注入工艺。
虽然不旨在限制,本发明的一个或多个实施例提供了制造半导体器件的许多益处。例如,本发明的实施例提供了有效地生成EUV辐射同时保持LPP收集器的使用寿命的装置和方法。本发明的实施例可以在现有的EUV光刻系统中实施或集成到现有的EUV光刻系统中。
在一个示例性方面,本发明针对远紫外(EUV)辐射源模块。EUV辐射源模块包括目标液滴发生器、第一激光源和第二激光源。目标液滴发生器配置为生成多个目标液滴。第一激光源配置为生成多个第一激光脉冲,第一激光脉冲加热目标液滴,从而生成多个目标羽流,其中,目标液滴的至少一个在与其他目标液滴不同的激发位置处被加热。第二激光源配置为生成多个第二激光脉冲,第二激光脉冲加热目标羽流,从而生成发射EUV辐射的等离子体。
在上述EUV辐射源模块中,还包括:收集器,配置为收集和反射所述EUV辐射。
在上述EUV辐射源模块中,其中:所述目标液滴发生器配置为一次一个地生成所述目标液滴;以及所述第一激光源配置为一次一个地生成所述第一激光脉冲,所述第一激光脉冲与所述目标液滴的生成大体同步,其中,所述第一激光脉冲的至少一个比正常时序更早地生成。
在上述EUV辐射源模块中,其中:所述目标液滴发生器配置为一次一个地生成所述目标液滴;以及所述第一激光源配置为一次一个地生成所述第一激光脉冲,所述第一激光脉冲与所述目标液滴的生成大体同步,其中,所述第一激光脉冲的至少一个比正常时序更迟地生成。
在上述EUV辐射源模块中,其中,所述第一激光源和所述第二激光源是同步的,使得在每个所述第一激光脉冲和相应的一个所述第二激光脉冲之间存在固定的时间延迟。
在上述EUV辐射源模块中,其中,所述第一激光源和所述第二激光源配置为使得所述目标液滴的至少一个不被所述第一激光源或所述第二激光源加热。
在上述EUV辐射源模块中,其中,所述目标液滴包括锡(Sn)。
在上述EUV辐射源模块中,其中,所述第一激光脉冲和所述第二激光脉冲的驱动功率为至少20kW。
在上述EUV辐射源模块中,其中,所述第一激光脉冲和所述第二激光脉冲的至少一个是CO2激光。
在另一示例性方面,本发明针对一种EUV光刻系统。EUV光刻系统包括辐射源、掩模工作台、晶圆工作台和光学模块。辐射源包括目标液滴发生器、第一激光源、第二激光源和收集器。目标液滴发生器配置为生成多个目标液滴。第一激光源配置为生成多个第一激光脉冲,第一激光脉冲加热目标液滴,从而生成多个目标羽流,其中,目标液滴的至少一个在与其他目标液滴不同的激发位置处被加热。第二激光源配置为生成多个第二激光脉冲,第二激光脉冲加热目标羽流,从而生成发射EUV辐射的等离子体。收集器配置为收集和反射EUV辐射。掩模工作台配置为固定EUV掩模。晶圆工作台配置为固定半导体晶圆。光学模块设计为导向来自辐射源的EUV辐射以将限定在EUV掩模上的集成电路(IC)图案成像至半导体晶圆。
在上述EUV光刻系统中,其中,所述辐射源配置为使得所述目标液滴和所述第一激光脉冲的生成与正常时序大体同步,但是所述第一激光脉冲的至少一个在与所述正常时序不同的时序处生成。
在上述EUV光刻系统中,其中,所述辐射源配置为使得所述目标液滴和所述第一激光脉冲的生成与正常时序大体同步,但是所述第一激光脉冲的至少一个在与所述正常时序不同的时序处生成,所述第一激光脉冲的至少一个比所述正常时序更早地生成。
在上述EUV光刻系统中,其中,所述辐射源配置为使得所述目标液滴和所述第一激光脉冲的生成与正常时序大体同步,但是所述第一激光脉冲的至少一个在与所述正常时序不同的时序处生成,所述第一激光脉冲的至少一个比所述正常时序更迟地生成。
在上述EUV光刻系统中,其中,所述第一激光源和所述第二激光源是同步的,使得在每个所述第一激光脉冲和相应的一个所述第二激光脉冲之间存在固定的时间延迟。
在上述EUV光刻系统中,其中,所述目标液滴包括锡(Sn)或含锡材料。
在上述EUV光刻系统中,其中,所述第一激光脉冲和所述第二激光脉冲的至少一个是CO2激光。
在上述EUV光刻系统中,还包括:气体供应器,配置为将氢气引入至所述收集器的反射表面附近的空间内。
在另一示例性方面,本发明针对用于图案化目标的一种远紫外(EUV)光刻工艺。EUV光刻工艺包括将半导体晶圆装载至EUV光刻系统。EUV光刻系统包括生成EUV辐射的辐射源、保持EUV掩模的掩模工作台、配置为固定半导体晶圆的晶圆工作台以及设计为导向来自辐射源的EUV辐射以将限定在EUV掩模上的IC图案成像至半导体晶圆的光学模块。辐射源包括目标液滴发生器、第一激光源、第二激光源和收集器。目标液滴发生器配置为生成多个目标液滴。第一激光源配置为生成多个第一激光脉冲,第一激光脉冲加热目标液滴,从而生成多个目标羽流。第二激光源配置为生成多个第二激光脉冲,第二激光脉冲加热目标羽流,从而生成发射EUV辐射的等离子体。收集器配置为收集和反射EUV辐射。EUV光刻工艺还包括编程辐射源,使得目标液滴和第一激光脉冲的生成与正常时序大体同步,但是第一激光脉冲的至少一个在与正常时序不同的时间处生成。EUV光刻工艺还包括通过EUV辐射曝光半导体晶圆。
在上述EUV光刻工艺中,还包括:编程所述辐射源,使得所述第一激光脉冲和所述第二激光脉冲的生成是同步的。
在上述EUV光刻工艺中,还包括:将氢气流引入至所述收集器的反射表面附近的空间内。
上面概述了若干实施例的特征,使得本领域技术人员可以更好地理解本发明的方面。本领域技术人员应该理解,他们可以容易地使用本发明作为基础来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其他工艺和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种远紫外(EUV)辐射源模块,包括:
目标液滴发生器,配置为生成多个目标液滴;
第一激光源,配置为生成多个第一激光脉冲,所述第一激光脉冲加热所述目标液滴,从而生成多个目标羽流,其中,所述目标液滴的至少一个在与其他目标液滴不同的激发位置处被加热;以及
第二激光源,配置为生成多个第二激光脉冲,所述第二激光脉冲加热所述目标羽流,从而生成发射EUV辐射的等离子体。
2.根据权利要求1所述的EUV辐射源模块,还包括:
收集器,配置为收集和反射所述EUV辐射。
3.根据权利要求1所述的EUV辐射源模块,其中:
所述目标液滴发生器配置为一次一个地生成所述目标液滴;以及
所述第一激光源配置为一次一个地生成所述第一激光脉冲,所述第一激光脉冲与所述目标液滴的生成大体同步,其中,所述第一激光脉冲的至少一个比正常时序更早地生成。
4.根据权利要求1所述的EUV辐射源模块,其中:
所述目标液滴发生器配置为一次一个地生成所述目标液滴;以及
所述第一激光源配置为一次一个地生成所述第一激光脉冲,所述第一激光脉冲与所述目标液滴的生成大体同步,其中,所述第一激光脉冲的至少一个比正常时序更迟地生成。
5.根据权利要求1所述的EUV辐射源模块,其中,所述第一激光源和所述第二激光源是同步的,使得在每个所述第一激光脉冲和相应的一个所述第二激光脉冲之间存在固定的时间延迟。
6.根据权利要求1所述的EUV辐射源模块,其中,所述第一激光源和所述第二激光源配置为使得所述目标液滴的至少一个不被所述第一激光源或所述第二激光源加热。
7.根据权利要求1所述的EUV辐射源模块,其中,所述目标液滴包括锡(Sn)。
8.根据权利要求1所述的EUV辐射源模块,其中,所述第一激光脉冲和所述第二激光脉冲的驱动功率为至少20kW。
9.一种EUV光刻系统,包括:
辐射源,其中,所述辐射源包括:
目标液滴发生器,配置为生成多个目标液滴;
第一激光源,配置为生成多个第一激光脉冲,所述第一激光脉冲加热所述目标液滴,从而生成多个目标羽流,其中,所述目标液滴的至少一个在与其他目标液滴不同的激发位置处被加热;
第二激光源,配置为生成多个第二激光脉冲,所述第二激光脉冲加热所述目标羽流,从而生成发射EUV辐射的等离子体;和
收集器,配置为收集和反射所述EUV辐射;
掩模工作台,配置为固定EUV掩模;
晶圆工作台,配置为固定半导体晶圆;以及
光学模块,设计为导向来自所述辐射源的所述EUV辐射以将限定在所述EUV掩模上的集成电路(IC)图案成像至所述半导体晶圆。
10.一种用于图案化目标的远紫外(EUV)光刻工艺,包括:
将半导体晶圆装载至EUV光刻系统,所述EUV光刻系统包括:
辐射源,所述辐射源包括:
目标液滴发生器,配置为生成多个目标液滴;
第一激光源,配置为生成多个第一激光脉冲,所述第一激光脉冲加热所述目标液滴,从而生成多个目标羽流;
第二激光源,配置为生成多个第二激光脉冲,所述第二激光脉冲加热所述目标羽流,从而生成发射EUV辐射的等离子体;和
收集器,配置为收集和反射所述EUV辐射;
掩模工作台,保持EUV掩模;
晶圆工作台,配置为固定所述半导体晶圆;以及
光学模块,设计为导向来自所述辐射源的所述EUV辐射以将限定在所述EUV掩模上的IC图案成像至所述半导体晶圆;
编程所述辐射源,使得所述目标液滴和所述第一激光脉冲的生成与正常时序大体同步,但是所述第一激光脉冲的至少一个在与所述正常时序不同的时序处生成;以及
通过所述EUV辐射曝光所述半导体晶圆。
CN201510800502.7A 2015-04-30 2015-11-19 远紫外光刻收集器污染减少 Active CN106094441B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562155111P 2015-04-30 2015-04-30
US62/155,111 2015-04-30
US14/803,849 US9625824B2 (en) 2015-04-30 2015-07-20 Extreme ultraviolet lithography collector contamination reduction
US14/803,849 2015-07-20

Publications (2)

Publication Number Publication Date
CN106094441A true CN106094441A (zh) 2016-11-09
CN106094441B CN106094441B (zh) 2018-09-28

Family

ID=57135667

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510800502.7A Active CN106094441B (zh) 2015-04-30 2015-11-19 远紫外光刻收集器污染减少

Country Status (5)

Country Link
US (1) US9625824B2 (zh)
KR (1) KR101717856B1 (zh)
CN (1) CN106094441B (zh)
DE (1) DE102015112273B4 (zh)
TW (1) TWI569689B (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109799683A (zh) * 2017-11-16 2019-05-24 台湾积体电路制造股份有限公司 在微影曝光制程中产生光的方法及光源
CN109839804A (zh) * 2017-11-29 2019-06-04 台湾积体电路制造股份有限公司 极紫外线辐射源模块
CN110612482A (zh) * 2017-05-10 2019-12-24 Asml荷兰有限公司 激光产生的等离子体源
CN110967940A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 用于极紫外(euv)辐射源的数据匹配模块控制反馈系统的方法
CN111903195A (zh) * 2018-03-27 2020-11-06 Asml荷兰有限公司 控制euv光源中的碎片的装置和方法
CN113267963A (zh) * 2020-05-07 2021-08-17 台湾积体电路制造股份有限公司 用于执行极紫外光刻工艺的系统和方法

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170311429A1 (en) * 2016-04-25 2017-10-26 Asml Netherlands B.V. Reducing the effect of plasma on an object in an extreme ultraviolet light source
US10310380B2 (en) * 2016-12-07 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-brightness light source
US10162277B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system with debris trapper on exhaust line
US10495987B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system
US10824083B2 (en) 2017-09-28 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Light source, EUV lithography system, and method for generating EUV radiation
CN111433674B (zh) * 2017-10-19 2024-01-09 西默有限公司 在单次光刻曝光通过过程中形成多个空间图像
US10925142B2 (en) * 2018-07-31 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation source for lithography exposure process
US11237482B2 (en) * 2018-08-14 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Process system and operating method thereof
US11153959B2 (en) * 2018-08-17 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
DE102019124781B4 (de) 2018-09-28 2024-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen und behandeln einer fotomaske
US11360384B2 (en) 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
US10859918B2 (en) * 2018-10-30 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same
US11499924B2 (en) 2019-06-03 2022-11-15 KLA Corp. Determining one or more characteristics of light in an optical system
US11043595B2 (en) 2019-06-14 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate in memory macro edge and middle strap
US11211116B2 (en) 2019-09-27 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM write assist circuit
US11121138B1 (en) 2020-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance pickup cells for SRAM
US11374088B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction in gate-all-around devices
US11482518B2 (en) 2021-03-26 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures having wells with protruding sections for pickup cells
US12009246B2 (en) * 2021-03-26 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate holder and methods of use

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1663326A (zh) * 2002-05-13 2005-08-31 杰特克公司 用于产生辐射的方法和装置
US20100181503A1 (en) * 2008-12-16 2010-07-22 Tatsuya Yanagida Extreme ultraviolet light source apparatus
US20120223257A1 (en) * 2010-03-18 2012-09-06 Gigaphoton, Inc. Extreme ultraviolet light generation apparatus
US20120228525A1 (en) * 2011-03-10 2012-09-13 Gigaphoton Inc. System and method for generating extreme ultraviolet light
US20120305811A1 (en) * 2010-03-29 2012-12-06 Osamu Wakabayashi Extreme ultraviolet light generation system

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8653437B2 (en) * 2010-10-04 2014-02-18 Cymer, Llc EUV light source with subsystem(s) for maintaining LPP drive laser output during EUV non-output periods
JP5191541B2 (ja) 2007-08-23 2013-05-08 エーエスエムエル ネザーランズ ビー.ブイ. 極端紫外線を生成するモジュールおよび方法、並びにリソグラフィ投影装置
ATE536567T1 (de) 2008-08-14 2011-12-15 Asml Netherlands Bv Strahlungsquelle und verfahren zur strahlungserzeugung
JP2010103499A (ja) * 2008-09-29 2010-05-06 Komatsu Ltd 極端紫外光源装置および極端紫外光生成方法
US8138487B2 (en) * 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
JP5603135B2 (ja) 2009-05-21 2014-10-08 ギガフォトン株式会社 チャンバ装置におけるターゲット軌道を計測及び制御する装置及び方法
JP5693587B2 (ja) 2009-09-25 2015-04-01 エーエスエムエル ネザーランズ ビー.ブイ. 放射源コレクタ装置、リソグラフィ装置およびデバイス製造方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US9366967B2 (en) 2011-09-02 2016-06-14 Asml Netherlands B.V. Radiation source
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
WO2014090480A1 (en) 2012-12-12 2014-06-19 Asml Netherlands B.V. Power source for a lithographic apparatus, and lithographic apparatus comprising such a power source
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US9127981B2 (en) 2013-08-06 2015-09-08 Cymer, Llc System and method for return beam metrology with optical switch
WO2015036024A1 (de) * 2013-09-12 2015-03-19 Trumpf Laser- Und Systemtechnik Gmbh Strahlführungseinrichtung und euv-strahlungserzeugungsvorrichtung mit einer überlagerungseinrichtung
CN103513519A (zh) 2013-09-13 2014-01-15 华中科技大学 一种极紫外光刻机光源中液滴靶空间位置的监控系统
US9232623B2 (en) * 2014-01-22 2016-01-05 Asml Netherlands B.V. Extreme ultraviolet light source

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1663326A (zh) * 2002-05-13 2005-08-31 杰特克公司 用于产生辐射的方法和装置
US20100181503A1 (en) * 2008-12-16 2010-07-22 Tatsuya Yanagida Extreme ultraviolet light source apparatus
US20120223257A1 (en) * 2010-03-18 2012-09-06 Gigaphoton, Inc. Extreme ultraviolet light generation apparatus
US20120305811A1 (en) * 2010-03-29 2012-12-06 Osamu Wakabayashi Extreme ultraviolet light generation system
US20120228525A1 (en) * 2011-03-10 2012-09-13 Gigaphoton Inc. System and method for generating extreme ultraviolet light

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110612482A (zh) * 2017-05-10 2019-12-24 Asml荷兰有限公司 激光产生的等离子体源
CN109799683A (zh) * 2017-11-16 2019-05-24 台湾积体电路制造股份有限公司 在微影曝光制程中产生光的方法及光源
US10993308B2 (en) 2017-11-16 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd Light source for lithography exposure process
CN109799683B (zh) * 2017-11-16 2022-05-03 台湾积体电路制造股份有限公司 在微影曝光制程中产生光的方法及光源
US11483918B2 (en) 2017-11-16 2022-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Light source for lithography exposure process
CN109839804A (zh) * 2017-11-29 2019-06-04 台湾积体电路制造股份有限公司 极紫外线辐射源模块
CN111903195A (zh) * 2018-03-27 2020-11-06 Asml荷兰有限公司 控制euv光源中的碎片的装置和方法
CN110967940A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 用于极紫外(euv)辐射源的数据匹配模块控制反馈系统的方法
CN110967940B (zh) * 2018-09-28 2024-04-12 台湾积体电路制造股份有限公司 极紫外辐射源的数据匹配模块控制反馈系统的方法及装置
CN113267963A (zh) * 2020-05-07 2021-08-17 台湾积体电路制造股份有限公司 用于执行极紫外光刻工艺的系统和方法

Also Published As

Publication number Publication date
US20160320708A1 (en) 2016-11-03
DE102015112273A1 (de) 2016-11-03
TWI569689B (zh) 2017-02-01
CN106094441B (zh) 2018-09-28
KR20160129678A (ko) 2016-11-09
DE102015112273B4 (de) 2017-10-26
KR101717856B1 (ko) 2017-03-17
TW201639417A (zh) 2016-11-01
US9625824B2 (en) 2017-04-18

Similar Documents

Publication Publication Date Title
CN106094441A (zh) 远紫外光刻收集器污染减少
TWI597579B (zh) 輻射源
TW201925923A (zh) 極紫外線輻射源模組
CN104854511B (zh) 光刻设备和方法
TWI464540B (zh) 微影裝置及器件製造方法
US11709435B2 (en) Method of manufacturing a semiconductor device and apparatus for manufacturing the semiconductor device
TW201316842A (zh) 輻射源
JP2016509363A (ja) Euvリソグラフィ装置用ビーム搬送装置
US10506698B2 (en) EUV source generation method and related system
TW201313075A (zh) 輻射源及微影裝置
TWI398900B (zh) 用於產生輻射之方法及源、器件製造方法及微影系統
US11119421B2 (en) Extreme ultraviolet light condensation mirror, extreme ultraviolet light generation apparatus, and electronic device manufacturing method
US10859918B2 (en) Semiconductor apparatus and method of operating the same
CN106575085B (zh) 光刻设备和制造器件的方法
US10802405B2 (en) Radiation source for lithography exposure process
KR20160047553A (ko) 방사선 소스 및 리소그래피 장치
US12013641B2 (en) Method of reducing undesired light influence in extreme ultraviolet exposure
US11605477B1 (en) EUV lithography apparatus
US11754928B2 (en) Lithography exposure method with debris removing mechanism
JP2010056361A (ja) 露光装置及び露光方法
TW202244630A (zh) 圖案形成之方法
NL2007864A (en) Radiation source.

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant