DE102015112273A1 - Verringerung der Kontamination eines Extrem-Ultraviolett Lithografie-Kollektors - Google Patents

Verringerung der Kontamination eines Extrem-Ultraviolett Lithografie-Kollektors Download PDF

Info

Publication number
DE102015112273A1
DE102015112273A1 DE102015112273.1A DE102015112273A DE102015112273A1 DE 102015112273 A1 DE102015112273 A1 DE 102015112273A1 DE 102015112273 A DE102015112273 A DE 102015112273A DE 102015112273 A1 DE102015112273 A1 DE 102015112273A1
Authority
DE
Germany
Prior art keywords
euv
target
laser
laser pulses
radiation source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102015112273.1A
Other languages
English (en)
Other versions
DE102015112273B4 (de
Inventor
Yen-Cheng Lu
Jeng-Horng Chen
Shun-Der Wu
Tzu-Hsiang Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102015112273A1 publication Critical patent/DE102015112273A1/de
Application granted granted Critical
Publication of DE102015112273B4 publication Critical patent/DE102015112273B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70025Production of exposure light, i.e. light sources by lasers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/006X-ray radiation generated from plasma being produced from a liquid or gas details of the ejection system, e.g. constructional details of the nozzle
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Abstract

Ein Extrem-Ultraviolett-(EUV)Strahlungsquellenmodul umfasst einen Targettröpfchengenerator, eine erste Laserquelle und eine zweite Laserquelle. Der Targettröpfchengenerator ist zum Generieren mehrerer Targettröpfchen konfiguriert. Die erste Laserquelle ist zum Generieren mehrerer erster Laserpulse konfiguriert, die die Targettröpfchen an entsprechenden Erregungspositionen erwärmen, wodurch mehrere Target-Plumes generiert werden. Zumindest eines der Targettröpfchen wird an einer Erregungsposition erwärmt, die sich von jener anderer Targettröpfchen unterscheidet. Die zweite Laserquelle ist zum Generieren mehrerer zweiter Laserpulse konfiguriert, die die Target-Plumes erwärmen, wodurch ein Plasma generiert wird, das EUV-Strahlung ausstrahlt.

Description

  • PRIORITÄTSDATEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US Anmeldung Nr. 62/155,111 mit dem Titel ”Extreme Ultraviolet Lithography Collector Contamination Reduction”, eingereicht am 30. April 2015, auf die hier in ihrer Gesamtheit der Bezug genommen wird.
  • HINTERGRUND
  • Die IC-Industrie (integrierte Halbleiterschaltungen-Industrie) hat ein exponentiales Wachstum erfahren. Technologische Fortschritte in IC-Materialien und Design haben Generationen von ICs erzeugt, wobei jede Generation kleinere und komplexere Schaltungen als die vorangehende Generation erzielt. Im Verlauf der IC-Entwicklung hat die Funktionsdichte (d. h., die Anzahl miteinander verbundener Vorrichtungen pro Chipfläche) allgemein zugenommen, während die Geometriegröße (d. h., die kleinste Komponente (oder Leitung), die mit einem Herstellungsprozess erzeugt werden kann) abgenommen hat. Dieser Abwärtsskalierungsprozess bietet im Allgemeinen Vorteile durch eine Erhöhung der Produktionseffizienz und Senkung der damit verbundenen Kosten. Ein solches Abwärtsskalieren hat auch die Komplexität einer IC-Bearbeitung und -Herstellung erhöht.
  • Zum Beispiel wächst der Bedarf, Lithografieprozesse mit höherer Auflösung durchzuführen. Eine Lithografietechnik ist die Extrem-Ultraviolett-Lithografie (EUVL). Die EUVL verwendet Scanner, die Licht im Extrem-Ultraviolett-(EUV)Bereich, mit einer Wellenlänge von etwa 1–100 nm, verwenden. Einige EUV-Scanner ermöglichen einen Projektionsdruck mit vierfacher Verkleinerung, ähnlich einigen optischen Scannern, mit der Ausnahme, dass die EUV-Scanner eher reflektierende als brechende Optik, d. h., Spiegel anstelle von Linsen, verwenden. Eine Art von EUV-Lichtquelle ist Laser-erzeugtes Plasma (LPP; Laser produced plasma). Die LPP-Technologie erzeugt EUV-Licht durch Fokussieren eines Hochleistungslaserstrahls auf kleine Zinntröpfchen-Targets zur Bildung hoch ionisierten Plasmas, das EUV-Strahlung mit einer Spitze einer maximalen Emission bei 13,5 nm ausstrahlt. Das EUV-Licht wird dann von einem LPP-Kollektor gesammelt und durch Optik zu einem Lithografie-Target, z. B. einen Wafer, reflektiert. Der LPP-Kollektor erfährt durch den Aufprall der Partikel, Ionen, Strahlung und vor allem die Zinnabscheidung Beschädigungen und Verschlechterungen.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die vorliegende Offenbarung wird am besten aus der folgenden ausführlichen Beschreibung verständlich, wenn diese mit den beiliegenden Figuren gelesen wird. Es wird betont, dass gemäß der Standardpraxis in der Industrie verschiedene Merkmale nicht im Maßstab gezeichnet sind. Tatsächlich können die Dimensionen der verschiedenen Merkmale der deutlichen Besprechung wegen beliebig vergrößert oder verkleinert sein.
  • 1 ist eine schematische Ansicht eines EUV-Lithografiesystems mit einer Laser-produzierten Plasma-(LPP)EUV-Strahlungsquelle, das gemäß einigen Ausführungsformen konstruiert ist.
  • 2 ist eine diagrammatische Ansicht der EUV-Strahlungsquelle im EUV-Lithografiesystem von 1, das gemäß einigen Ausführungsformen konstruiert ist.
  • 3 zeigt einen Vorpulslaser, der verschiedene Erregungspositionen von Targettröpfchen trifft, der in der EUV-Strahlungsquelle von 2 konfiguriert sein kann.
  • 4 ist eine diagrammatische Ansicht der EUV-Strahlungsquelle im EUV-Lithografiesystem von 1, das gemäß einigen Ausführungsformen konstruiert ist.
  • 5 zeigt verschiedene Konfigurationen von Laser-Vorpulsen durch die EUV-Strahlungsquelle von 4, die gemäß einigen Ausführungsformen konstruiert ist.
  • Figur ist ein Ablaufdiagramm eines Verfahrens, das gemäß einigen Ausführungsformen konstruiert ist.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele zur Ausführung verschiedener Merkmale des bereitgestellten Gegenstandes vor. Spezielle Beispiele von Komponenten und Anordnungen sind in der Folge zur Vereinfachung der vorliegenden Offenbarung beschrieben. Diese sind natürlich nur Beispiele und nicht als Einschränkung gedacht. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen enthalten, in welchen die ersten und zweiten Merkmale in direktem Kontakt gebildet sind und kann auch Ausführungsformen enthalten, in welchen zusätzliche Merkmale zwischen den ersten und zweiten Merkmalen gebildet sein können, so dass die ersten und zweiten Merkmale nicht in direktem Kontakt sein mögen. Zusätzlich kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und legt selbst kein Verhältnis zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen fest.
  • Ferner können raumbezogene Begriffe, wie ”unterhalb”, ”unter”, ”niedriger”, ”über”, ”oberer” und dergleichen hier zur einfachen Beschreibung verwendet werden, um ein Verhältnis eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmale(en) zu beschreiben, die in den Figuren dargestellt sind. Die raumbezogenen Begriffe sollen unterschiedliche Orientierungen der Vorrichtung in Verwendung oder Betrieb zusätzlich zu der in den Figuren dargestellten Orientierung beinhalten. Die Vorrichtung kann anders orientiert (90 Grad oder in anderen Orientierungen gedreht) sein und die raumbezogenen Deskriptoren, die hier verwendet werden, können ebenso dementsprechend interpretiert werden.
  • Die vorliegende Offenbarung betrifft im Allgemeinen ein Extrem-Ultraviolett-(EUV-)Lithografiesystem und -verfahren. Insbesondere betrifft sie eine Vorrichtung und Verfahren zum Abschwächen einer Kontamination auf einem Kollektor in einer Laser-produzierten Plasma (LPP) EUV-Strahlungsquelle. Der Kollektor, auch als LPP-Kollektor oder EUV-Kollektor bezeichnet, ist eine wichtige Komponente der LPP EUV-Strahlungsquelle. Er sammelt und reflektiert EUV-Strahlung und trägt zur gesamten EUV-Umsetzungseffizienz bei. Er erfährt jedoch durch den Aufprall der Partikel, Ionen, Strahlung und Teilchenabscheidung Beschädigungen und Verschlechterungen. Eine Aufgabe der vorliegenden Offenbarung betrifft eine Verringerung einer Teilchenabscheidung auf dem LPP-Kollektor, wodurch dessen Gebrauchsdauer verlängert wird.
  • 1 ist eine schematische und diagrammatische Ansicht eines Lithografiesystems 10, das gemäß einigen Ausführungsformen konstruiert ist. Das Lithografiesystem 10 kann auch generisch als Scanner bezeichnet werden, der bedienbar ist, um Lithografiebelichtungsprozesse mit entsprechender Strahlungsquelle und entsprechendem Belichtungsmodus auszuführen. In der vorliegenden Ausführungsform ist das Lithografiesystem 10 ein Extrem-Ultraviolett-(EUV)Lithografiesystem, das zum Belichten einer Fotolackschicht mit EUV-Licht (oder EUV-Strahlung) gestaltet ist. Die Fotolackschicht ist ein Material, das für das EUV-Licht empfindlich ist. Das EUV-Lithografiesystem 10 verwendet eine Strahlungsquelle 12 zum Erzeugen von EUV-Licht, wie EUV-Licht mit einer Wellenlänge im Bereich von etwa 1 nm bis etwa 100 nm. In einem besonderen Beispiel generiert die Strahlungsquelle ein EUV-Licht mit einer Wellenlänge, die um etwa 13,5 nm zentriert ist. Daher wird die Strahlungsquelle 12 auch als EUV-Strahlungsquelle 12 bezeichnet. In der vorliegenden Ausführungsform verwendet die EUV-Strahlungsquelle 12 einen Mechanismus von Doppelpulslaser-produziertem Plasma (LPP) zum Generieren der EUV-Strahlung, der später beschrieben wird.
  • Das Lithografiesystem 10 verwendet auch einen Illuminator 14. In verschiedenen Ausführungsformen enthält der Illuminator 14 verschiedene brechende Optikkomponenten, wie eine einzelne Linse oder ein Linsensystem mit mehreren Linsen (Zonenplatten) oder aber eine reflektierende Optik (für ein EUV-Lithografiesystem), wie einen einzelnen Spiegel oder ein Spiegelsystem mit mehreren Spiegeln, um Licht von der Strahlungsquelle 12 auf eine Maskenstufe 16 zu lenken, insbesondere auf eine Maske 18, die auf der Maskenstufe 16 befestigt ist. In der vorliegenden Ausführungsform, wo die Strahlungsquelle 12 Licht im EUV-Wellenlängenbereich generiert, wird eine reflektierende Optik verwendet.
  • Das Lithografiesystem 10 enthält auch die Maskenstufe 16, die zum Befestigen einer Maske 18 konfiguriert ist. In einigen Ausführungsformen enthält die Maskenstufe 16 ein elektrostatisches Spannfutter (e-Spannfutter) zum Befestigen der Maske 18. Der Grund dafür ist, dass Gasmoleküle EUV-Licht absorbieren und das Lithografiesystem für die EUV-Lithografiestrukturierung in einer Vakuumumgebung gehalten wird, um den EUV-Intensitätsverlust zu vermeiden. In der vorliegenden Offenbarung werden die Begriffe Maske, Fotomaske und Fadenkreuz untereinander austauschbar verwendet. In der vorliegenden Ausführungsform ist das Lithografiesystem 10 ein EUV-Lithografiesystem und die Maske 18 ist eine reflektierende Maske. Eine beispielhafte Struktur der Maske 18 enthält eine Trägerschicht mit einem geeigneten Material, wie einem Material mit geringer Wärmeausdehnung (Low Thermal Expansion Material, LTEM) oder Quarzglas. In verschiedenen Beispielen enthält das LTEM TiO2 dotiertes SiO2 oder andere geeignete Materialien mit geringer Wärmeausdehnung. Die Maske 18 enthält mehrere reflektierende Mehrfachschichten (ML), die auf der Trägerschicht abgeschieden sind. Die ML enthält mehrere Filmpaare, wie Molybdän-Silizium-(Mo/Si)Filmpaare (z. B. eine Molybdänschicht über oder unter einer Siliziumschicht in jedem Filmpaar). Alternativ kann die ML Molybdän-Beryllium-(Mo/Be)Filmpaare oder andere geeignete Materialien enthalten, die so gestaltet werden können, dass sie das EUV-Licht stark reflektieren. Die Maske 18 kann ferner eine Deckschicht, wie Ruthenium (Ru), enthalten, die auf der ML zum Schutz angeordnet ist. Die Maske 18 enthält ferner eine Absorptionsschicht, wie eine Tantalbornitrid-(TaBN)Schicht, die über der ML abgeschieden ist. Die Absorptionsschicht ist so strukturiert, dass sie eine Schicht einer integrierten Schaltung (IC) definiert. Alternativ kann eine andere reflektierende Schicht über der ML abgeschieden und strukturiert werden, um eine Schicht einer integrierten Schaltung zu definieren, wodurch eine EUV-Phasenverschiebungsmaske gebildet wird.
  • Das Lithografiesystem 10 enthält auch ein Projektionsoptikmodul (oder eine Projektionsoptikbox (POB)) zur Abbildung der Struktur der Maske 18 auf eine Halbleiterträgerschicht 22, die auf einer Trägerschichtstufe 24 des Lithografiesystems 10 befestigt ist. In verschiedenen Ausführungsformen hat die POB 20 eine beugende Optik (wie für ein UV-Lithografiesystem) oder aber eine reflektierende Optik (wie für ein EUV-Lithografiesystem). Das Licht, das von der Maske 18 gelenkt wird, das das Bild der Struktur trägt, die auf der Maske definiert ist, wird von der POB 20 gesammelt. Der Illuminator 14 und die POB 20 werden gemeinsam als optisches Modul des Lithografiesystems 20 bezeichnet.
  • In der vorliegenden Ausführungsform ist die Halbleiterträgerschicht 22 ein Halbleiterwafer, wie ein Siliziumwafer oder eine andere Art von Wafer, der zu strukturieren ist. Die Halbleiterträgerschicht 22 wird in der vorliegenden Ausführungsform mit einer Fotolackschicht beschichtet, die für EUV-Licht empfindlich ist. Verschiedene Komponenten, einschließlich der oben beschriebenen, sind gemeinsam integriert und bedienbar, um einen Lithografiebelichtungsprozess auszuführen.
  • Das Lithografiesystem 10 kann ferner andere Module enthalten oder mit anderen Modulen integriert (oder gekoppelt) sein. In der vorliegenden Ausführungsform enthält das Lithografiesystem 10 ein Gaszuleitungsmodul 26, das zum Bereitstellen von Wasserstoffgas für die Strahlungsquelle 12 gestaltet ist. Das Wasserstoffgas trägt zur Verringerung einer Kontamination in der Strahlungsquelle 12 bei.
  • 2 zeigt die Strahlungsquelle 12 in einer diagrammatischen Ansicht gemäß einigen Ausführungsformen. Die Strahlungsquelle 12 verwendet einen Doppelpulslaser-produziertes Plasma-(LPP)Mechanismus zum Generieren von Plasma und ferner Generieren von EUV-Licht aus dem Plasma.
  • Unter Bezugnahme auf 2 enthält die Strahlungsquelle 12 einen Targettröpfchengenerator 30, eine erste Laserquelle 40, eine zweite Laserquelle 50 und einen LLP-Kollektor 36. Der Targettröpfchengenerator 30 generiert mehrere Targettröpfchen 32. In einer Ausführungsform sind die Targettröpfchen 32 Zinn-(Sn)Tröpfchen. In einer Ausführungsform haben die Zinntröpfchen 32 jeweils einen Durchmesser von etwa 30 Mikrometern (μm). In einer Ausführungsform werden die Zinntröpfchen bei einer Rate von etwa 50 Kilohertz (kHz) generiert und werden in eine Erregungszone 31 in der Strahlungsquelle 12 bei einer Geschwindigkeit von etwa 70 Metern pro Sekunde (m/s) eingeleitet. Es kann auch ein anderes Material für die Targettröpfchen verwendet werden, zum Beispiel ein Zinnmaterial, das flüssiges Material wie eine eutektische Legierung enthält, die Zinn, Lithium (Li) und Xenon (Xe) enthält.
  • Die erste Laserquelle 40 erzeugt Laserpulse 42. Die zweite Laserquelle 50 erzeugt Laserpulse 52. In der vorliegenden Ausführungsform haben die Laserpulse 42 weniger Intensität und eine kleinere Punktgröße als die Laserpulse 52. Der Laserpuls 42 wird zum Erwärmen (oder Vorwärmen) der Targettröpfchen 32 verwendet, um eine Target-Plume 34 geringer Dichte zu erzeugen, die anschließend durch den Laserpuls 52 erwärmt (oder wieder erwärmt) wird, wodurch eine verstärkte Emission von EUV-Licht erzeugt wird. In der vorliegenden Offenbarung werden die Laserpulse 42 auch als die Vorpulse bezeichnet und die Laserpulse 52 als die Hauptpulse. In verschiedenen Ausführungsformen haben die Vorpulse 42 eine Punktgröße von etwa 100 μm oder weniger und die Hauptpulse 52 haben eine Punktgröße von etwa 200–300 μm – wie 225 μm. Die Laserpulse 42 und 52 werden so erzeugt, dass sie gewisse Antriebsenergien haben, um eine Wafer-Volumenproduktion zu erfüllen, wie einen Durchsatz von 125 Wafern pro Stunde. In einer Ausführungsform ist der erste Laserpuls mit etwa 2 Kilowatt (kW) Antriebsenergie versehen und der zweite Laserpuls ist mit etwa 19 kW Antriebsenergie versehen. In verschiedenen Ausführungsformen ist die Gesamtantriebsenergie des ersten und zweiten Laserpulses 42 und 52 zumindest 20 kW, wie 27 kW. In einer Ausführungsform ist die erste Laserquelle 40 eine Kohlendioxid-(CO2)Laserquelle. In einer anderen Ausführungsform ist die erste Laserquelle 40 eine Neodym-dotierte Ytrrium-Aluminium-Granat-(Nd:YAG)Laserquelle. In einer Ausführungsform ist die zweite Laserquelle 50 eine CO2-Laserquelle.
  • Die Vorpulse 42 und Hauptpulse 52 werden durch Fenster (oder Linsen) 44 bzw. 54 in die Erregungszone 31 geleitet. Die Fenster 44 und 54 enthalten ein geeignetes Material, das für die jeweiligen Laserstrahlen im Wesentlichen transparent ist. Das Generieren der Vorpulse 42 und der Hauptpulse 52 ist mit dem Generieren der Targettröpfchen 32 synchronisiert. Wenn sich die Targettröpfchen 32 durch die Erregungszone 31 bewegen, erwärmen die Vorpulse 2 die Targettröpfchen 32 und transformieren diese in Target-Plumes 34 geringer Dichte. Eine Verzögerung zwischen dem Vorpuls 42 und dem Hauptpuls 52 wird so gesteuert, dass sich die Target-Plume 34 bildet und zu einer optimalen Größe und Geometrie ausdehnt. Wenn der Hauptpuls 52 die Target-Plume 34 erwärmt, wird ein Hochtemperaturplasma generiert. Das Plasma strahlt EUV-Strahlung 38 aus, die vom Kollektor 36 gesammelt wird. Der Kollektor 36 reflektiert und fokussiert ferner die EUV-Strahlung 38 für die Lithografiebelichtungsprozesse. In einer Ausführungsform ist ein Tröpfchenfänger (nicht dargestellt) gegenüber dem Targettröpfchengenerator 30 installiert. Der Tröpfchenfänger wird zum Fangen überschüssiger Targettröpfchen 32 verwendet. Zum Beispiel können einige Targettröpfchen 32 absichtlich von beiden Laserpulsen 42 und 52 verfehlt werden.
  • Der Kollektor 36 ist mit einem passenden Beschichtungsmaterial und in passender Form gestaltet und dient als Spiegel für eine EUV-Sammlung, -Reflexion und -Fokussierung. In einigen Ausführungsformen ist der Kollektor 36 so gestaltet, dass er eine ellipsenförmige Geometrie aufweist. In einigen Ausführungsformen ist das Beschichtungsmaterial des Kollektors 36 ähnlich der reflektierenden Mehrfachschicht der EUV-Maske 18. In einigen Beispielen enthält das Beschichtungsmaterial des Kollektors 36 eine ML (wie mehrere Mo/Si-Filmpaare) und kann ferner eine Deckschicht (wie Ru) enthalten, die auf die ML aufgetragen ist, um das EUV-Licht im Wesentlichen zu reflektieren. In einigen Ausführungsformen kann der Kollektor 36 ferner eine Gitterstruktur enthalten, die für ein effektives Streuen des Laserstrahls gestaltet ist, der auf den Kollektor 36 gerichtet ist. Zum Beispiel ist eine Siliziumnitridschicht auf den Kollektor 36 aufgetragen und so strukturiert, dass sie eine Gitterstruktur aufweist.
  • In einem EUV-Lithografiesystem, wie dem EUV-Lithografiesystem 10, ist die EUV-Umsetzungseffizienz eine Schlüsselüberlegung. Als solches werden die Vorpulse 42 und Hauptpulse 52 in richtigen Winkeln für eine optimale EUV-Umsetzungseffizienz zu den Targettröpfchen 32 und Target-Plumes 34 gelenkt. Zum Beispiel können die Vorpulse 42 ausgerichtet sein, um mit den Targettröpfchen 32 in einem Winkel von einigen Graden (z. B. 5 Grad) außerhalb der Normale zu interagieren. Die Hauptpulse 52 sind auch für eine maximale EUV-Umsetzungseffizienz mit den Target-Plumes passend ausgerichtet.
  • Eine andere Überlegung im EUV-Lithografiesystem 10 ist die Gebrauchsdauer des Kollektors 36. Während der obengenannten Prozesse wird die reflektierende Oberfläche des Kollektors 36 dem Aufprall verschiedener Partikel, Ionen und Strahlung ausgesetzt. Im Laufe der Zeit verschlechtert sich das Reflexionsvermögen des Kollektors 36 aufgrund einer Partikelansammlung, Ionenbeschädigungen, Oxidation, Blasenbildung usw. Von diesen ist die Partikel-(d. h., Zinnteilchen)Ablagerung ein dominanter Faktor.
  • Ein Verfahren zur Verringerung der Kontamination des Kollektors 36 ist das Einleiten von Wasserstoffgas in die Erregungszone 31 und in einen Raum nahe der Reflexionsfläche des Kollektors 36. In einer Ausführungsform wird das Wasserstoffgas durch das Gaszuleitungsmodul 26 (1) bereitgestellt. Wasserstoffgas hat weniger Absorptionsvermögen für die EUV-Strahlung. Wasserstoffgas, das die Beschichtungsfläche des Kollektors 36 (und auch die Fenster 44 und 54) erreicht, reagiert chemisch mit Zinn zur Bildung von Stannan (SnH4), einem gasförmigen Nebenprodukt des EUV-Generierungsprozesses selbst. Das Stannan wird ausgepumpt und dann verworfen. Es kann ein anderes geeignetes Gas alternativ oder zusätzlich verwendet werden. Die Verwendung eines Wasserstoffgasstroms verhindert die Kontamination jedoch nicht vollständig. Die Erfinder der vorliegenden Offenbarung haben beobachtet, dass eine Zinnabscheidung tendenziell an speziellen Punkten auf dem Kollektor 36 auftritt, wie bei einem Punkt 27 in 2. Dies kann wie folgt erklärt werden. Die Vorpulse 42 erregen die Targettröpfchen 32 an einer speziellen Stelle (bezeichnet als eine Erregungsposition). Die Target-Plumes 34, die typischerweise pfannkuchenförmig sind, sind daher in einer bestimmten Richtung orientiert. Die Teilchen, die durch die spezielle Orientierung der Target-Plumes 34 generiert werden, sputtern relativ dickere Zinnteilchen an den speziellen Punkten 37 auf der Kollektoroberfläche. Die Rate der Zinnabscheidung an den Punkten 37 überschreitet die Rate der Zinnentfernung durch den Wasserstoffgasstrom, auch wenn der Wasserstoffgasstrom ausreichend sein mag, um andere Flächen des Kollektors 36 zu schützen. Infolgedessen sammeln sich Zinnteilchen auf der Reflexionsfläche der speziellen Punkte 37 des Kollektors 36 an, was zu einem geringen Kollektorreflexionsvermögen und einer deutlich verringerten Gebrauchsdauer des Kollektors führt. In den folgenden Abschnitten werden Verfahren und Vorrichtungen für eine weitere Verringerung der Zinnkontamination offenbart.
  • Unter Bezugnahme auf 3 ist eine Korrelation der Erregungsposition auf dem Targettröpfchen 32 und der Orientierung der resultierenden Target-Plume 34 dargestellt. Die Erfinder der vorliegenden Offenbarung haben entdeckt, dass durch Erwärmen der Targettröpfchen 32 an verschiedenen Positionen (relativ zu einer normalen Position) die Orientierung der erhaltenen Target-Plumes 34 gesteuert werden kann. Wenn zum Beispiel der Vorpuls 42B das Targettröpfchen 32B an seinem Zentrum (die Erregungsposition 60B ist ungefähr an der normalen Position) erwärmt, ist die resultierende Target-Plume 34B horizontal orientiert (ihr Kopf und Schwanz befinden sich an einer normalen Position). Wenn der Vorpuls 42A das Targettröpfchen 32A über seinem Zentrum (die Erregungsposition 60A ist über 60B) erwärmt, ist die resultierende Target-Plume 34A mit dem Kopf nach unten und dem Schwanz nach oben orientiert. Wenn der der Vorpuls 42C das Targettröpfchen 32C unter seinem Zentrum (die Erregungsposition 60A ist unter 60B) erwärmt, ist die resultierende Target-Plume 34C mit dem Kopf nach oben und dem Schwanz nach unten orientiert. Natürlich sind ”oben”, ”unten”, ”über” und ”unter”, wie hier verwendet, relativ und dienen der einfachen Beschreibung.
  • Das obenstehende Konzept wird in einer EUV-Strahlungsquelle 12A verwendet und verkörpert (4), die gemäß verschiedenen Aspekten der vorliegenden Offenbarung konstruiert ist. Unter Bezugnahme auf 4 ist die EUV-Strahlungsquelle 12A der EUV-Strahlungsquelle 12 ähnlich. Ein Unterschied besteht darin, dass die EUV-Strahlungsquelle 12A mehrfach orientierte Target-Plumes 34 erzeugt. Mit anderen Worten, die Target-Plumes 34 sind nicht alle in derselben Richtung orientiert. Zumindest ein Target-Plume, 34A, ist anders orientiert als die anderen Target-Plumes 34. Infolgedessen, wenn der Hauptpuls 52 die Target-Plume 34A erwärmt, neigen die Partikel (z. B. Zinnteilchen), die dabei generiert werden, dazu, in eine andere Richtung zu fallen als jene, die von den Target-Plumes 34 generiert werden. Dies bewirkt einen ”Streueffekt” für die Partikel, wodurch die Rate einer Partikelabscheidung an einem speziellen Punkt auf dem Kollektor 36 begrenzt wird. Durch eine Kombination dieser Technik mit der Gasstromreinigungsmethode sind Ausführungsformen der vorliegenden Offenbarung imstande, eine Kontamination auf dem Kollektor 36 weiter zu verringern.
  • In einer Ausführungsform werden die mehrfach orientierten Target-Plumes durch Koordinieren des Targettröpfchengenerators 30 und der ersten Laserquelle 40 generiert, so dass die Vorpulse 42 die Targettröpfchen 32 an unterschiedlichen Erregungspositionen erwärmen. In einer Ausführungsform werden die Targettröpfchen 32 bei einer unveränderlichen Rate (z. B. 50 kHz) generiert und bewegen sich mit einer unveränderlichen Geschwindigkeit (z. B. 70 m/s) durch die Erregungszone 31. Die erste Laserquelle 40 wird zeitlich so gesteuert, dass die Vorpulse 42 allgemein mit den Targettröpfchen 32 synchronisiert sind, aber zumindest ein Vorpuls 42 zu einem früheren oder einem späteren Zeitpunkt als einem normalen Zeitpunkt generiert wird. Dies ist in 5 näher dargestellt.
  • Unter Bezugnahme auf 5 werden in einer Ausführungsform die Targettröpfchen 32 einzeln generiert und eine Reihe von Targettröpfchen 32 bewegt sich durch die Erregungszone 31. Wie dargestellt, tritt das erste Targettröpfchen in die Erregungszone zum Zeitpunkt 0 (relativ gesprochen) ein, das zweite Targettröpfchen zum Zeitpunkt ”t”, der eine normale Verzögerung zwischen zwei Targettröpfchen darstellt, das dritte Targettröpfchen zum Zeitpunkt ”2t” und so weiter. Zur Unterstützung dieser Ausführungsform ist die erste Laserquelle 40 zum Generieren der Vorpulse 42 im Allgemeinen synchron mit den Targettröpfchen konfiguriert. Diese Szenarien sind in 5 dargestellt.
  • In Szenario A sind die Vorpulse vollständig mit den Targettröpfchen 32 synchronisiert und die Verzögerung zwischen zwei Vorpulsen 42 ist auch ”t”. Alle Vorpulse 42 erwärmen die jeweiligen Targettröpfchen 32 an derselben Erregungsposition. Natürlich können die Erregungspositionen an der normalen Position oder außerhalb der Normale sein. Die erhaltenen Target-Plumes 34 sind jedoch gleichförmig orientiert (siehe 3).
  • In Szenario B sind die Vorpulse im Allgemeinen mit den Targettröpfchen 32 synchronisiert, wobei aber ein Vorpuls 42D um eine Zeit Δt1 früher als zum normalen Zeitpunkt generiert wird. Wenn der Vorpuls 42D das entsprechende Targettröpfchen erwärmt, ist die resultierende Target-Plume anders orientiert als andere Target-Plumes (siehe 3).
  • In Szenario C sind die Vorpulse im Allgemeinen mit den Targettröpfchen 32 synchronisiert, wobei aber ein Vorpuls 42E um eine Zeit Δt2 später als zum normalen Zeitpunkt generiert wird. Wenn der Vorpuls 42E das entsprechende Targettröpfchen erwärmt, ist die resultierende Target-Plume anders orientiert als andere Target-Plumes (siehe 3).
  • In Ausführungsformen sind die Bereiche Δt1 und Δt2 durch die Größe der Targettröpfchen 32 begrenzt. Sie können ferner durch einen Bereich gewünschter Orientierungen der Target-Plumes 34 begrenzt sein, die letztendlich die Umsetzungseffizienz der EUV-Strahlungsquelle 12 (und 12A) beeinflussen. In einer Ausführungsform sind die Bereiche von Δt1 und Δt2 beide auf kleiner als etwa 100 Nanosekunden (ns) begrenzt. In einer Ausführungsform sind einige der Vorpulse 42 absichtlich so konfiguriert, dass sie außerhalb des Bereichs liegen, so dass sie die Targettröpfchen 32 nicht erwärmen. Die entsprechenden Targettröpfchen 32 werden auch nicht von den Hauptpulsen 52 erwärmt und sind für EUV-Dosisspielraumzwecke reserviert. Diese Targettröpfchen 32 können von dem oben beschriebenen Targettröpfchenfänger gesammelt werden.
  • In Ausführungsformen ist die zweite Laserquelle 50 so konfiguriert, dass sie vollständig mit der ersten Laserquelle 40 synchronisiert ist, d. h., es gibt eine unveränderliche Zeitverzögerung zwischen einem Vorpuls 42 und einem entsprechenden Hauptpuls 52. Wenn zum Beispiel die erste Laserquelle 40 zum Generieren der Vorpulse 42 konfiguriert ist, wie in Szenario B dargestellt, ist die zweite Laserquelle 50 so konfiguriert, dass der Hauptpuls, der dem Vorpuls 42D entspricht, auch um Δt1 früher als zu einem normalen Zeitpunkt generiert wird. Dies soll garantieren, dass der Hauptpuls 52 die Target-Plumes richtig erwärmt.
  • In einer Ausführungsform wird der Zeitpunkt zum Generieren der Vorpulse 42 und der Hauptpulse 52 durch einen oder mehrere Zeitgebergenerator(en) extern der Laserquellen 40 und 50 gesteuert. In einer anderen Ausführungsform ist eine solche Zeitpunktsteuerung in die Laserquellen 40 und 50 eingebaut (mit diesen integriert).
  • In einer Ausführungsform können verschiedene annehmbare Erregungspositionen durch Abstufen der Verzögerungen Δt1 und Δt2 in ihren jeweiligen Bereichen identifiziert werden. In jeder Stufe kann ein Akzeptanztest durchgeführt werden. Der Akzeptanztest kann Messungen, wie EUV-Umsetzungseffizienz, der Menge einer Teilchenabscheidung, der Größe und Richtung einer Teilchenabscheidung, usw. berücksichtigen. Unter den annehmbaren Erregungspositionen können einige gemeinsam für das EUV-Lithografiesystem 10 gewählt werden. Ein Kriterium für die Auswahl mehrerer Erregungspositionen ist, dass die gesamte EUV-Umsetzungseffizienz annehmbar ist (auch wenn sie nicht maximal sein mag) und die Kontamination des Kollektors 36 ausreichend gering ist. In einer Ausführungsform werden die gewählten Erregungspositionen direkt mit dem Zeitpunkt der Vorpulse 42 und Hauptpulse 52 korreliert.
  • 6 ist ein Ablaufdiagramm eines Verfahrens 100 für einen EUC-Lithografieprozess, der vom EUV-Lithografiesystem 10 implementiert wird, das gemäß einigen Ausführungsformen konstruiert ist. Zusätzliche Operationen können vor, während und nach dem Verfahren 100 bereitgestellt sein und einige beschriebene Operationen können für zusätzliche Ausführungsformen des Verfahrens ersetzt, eliminiert oder verschoben werden. Das Verfahren 100 ist ein Beispiel und soll die vorliegende Offenbarung nicht über das hinaus begrenzen, was ausdrücklich in den Ansprüchen angegeben ist.
  • Das Verfahren 100 enthält eine Operation 102, die eine EUV-Maske, wie die Maske 18, in das Lithografiesystem 10 lädt, das zum Durchführen eines Lithografiebelichtungsprozesses betreibbar ist. Die Maske 18 enthält ein IC-Muster, das auf eine Halbleiterträgerschicht, wie einen Wafer 22, übertragen werden soll. Die Operation 102 kann ferner verschiedene Schritte enthalten, wie ein Befestigen der Maske 18 auf der Maskenstufe 16 und ein Durchführen einer Ausrichtung.
  • Das Verfahren 100 enthält eine Operation 104, die den Wafer 22 auf das Lithografiesystem 10 lädt. Der Wafer 22 ist mit einer Fotolackschicht beschichtet. In der vorliegenden Ausführungsform ist die Fotolackschicht für die EUV-Strahlung aus der Strahlungsquelle 12 des Lithografiesystems 10 empfindlich.
  • Das Verfahren 100 enthält eine Operation 106, die die EUV-Strahlungsquelle 12 programmiert, um mehrfach orientierte Target-Plumes zu erzeugen. Die Operation 106 enthält ein Konfigurieren des Targettröpfchengenerators 30, Konfigurieren der ersten Laserquelle 40 und Konfigurieren der zweiten Laserquelle 50. Der Targettröpfchengenerator 30 ist zum Generieren der Targettröpfchen 32 mit richtigem Material, richtiger Größe, richtiger Rate und richtiger Bewegungsgeschwindigkeit und Richtung konfiguriert. Die erste Laserquelle 40 ist zum Generieren der Vorpulse 42 im Allgemeinen synchron mit dem Generieren der Targettröpfchen 32 konfiguriert. Einige der Vorpulse 42 müssen jedoch zeitlich verzögert oder vorgezogen werden, so dass sie die entsprechenden Targettröpfchen 32 an unterschiedlichen Erregungspositionen erwärmen. Die zweite Laserquelle 50 ist so konfiguriert, dass sie mit der ersten Laserquelle 40 synchronisiert ist. Es gibt eine richtige Verzögerung zwischen jedem der Vorpulse 42 und dem entsprechenden Hauptpuls 52.
  • Das Verfahren 100 enthält eine Operation 108 zum Durchführen eines Lithografiebelichtungsprozesses an dem Wafer 22 im Lithografiesystem 10. In der Operation 108 werden der Targettröpfchengenerator 30 und die Laserquellen 40 und 50 eingeschaltet und gemäß der Konfiguration in Operation 108 betrieben. Die resultierenden Target-Plumes 34 haben verschiedene Orientierungen. Während die Hauptpulse 52 die mehrfach orientierten Target-Plumes 34 erwärmen, wird Plasma erzeugt, das EUV-Strahlung ausstrahlt. Gleichzeitig verbreiten sich die Teilchen (z. B. Zinnteilchen) und sammeln sich nicht an einer speziellen Stelle des Kollektors 36 an. In einer Ausführungsform enthält das Verfahren 100 des Weiteren ein Einleiten eines Wasserstoffgasstroms neben der Oberfläche des Kollektors 36. Der Wasserstoffgasstrom entfernt die Zinnteilchen effektiv von der Oberfläche des Kollektors 36.
  • Während der Operation 108 wird die EUV-Strahlung, die von der Strahlungsquelle 12 generiert wird, (vom Illuminator 13) auf die Maske 18 gestrahlt und weiter auf die Fotolackschicht, mit welcher der Wafer 22 beschichtet ist, (durch die POB 20) projiziert wodurch ein latentes Bild auf der Fotolackschicht gebildet wird. In einigen Ausführungsformen wird der Lithografiebelichtungsprozess in einem Abtastmodus implementiert.
  • Das Verfahren 100 kann andere Operationen zur Vollendung des Lithografieprozesses enthalten. Zum Beispiel kann das Verfahren 100 eine Operation 110 zum Entwickeln der belichteten Fotolackschicht enthalten, um eine Fotolackstruktur mit mehreren Öffnungen zu bilden, die darauf definiert sind. Insbesondere wird nach dem Lithografiebelichtungsprozess 108 der Wafer 22 aus dem Lithografiesystem 10 zu einer Entwicklungseinheit überführt, um einen Entwicklungsprozess an der Fotolackschicht auszuführen. Das Verfahren 100 kann ferner andere Operationen enthalten, wie verschiedene Backschritte. Als ein Beispiel kann das Verfahren 100 einen Nach-Belichtungsback-(PEB-)Schritt zwischen den Operationen 108 und 110 enthalten.
  • Das Verfahren 100 kann ferner andere Operationen enthalten, wie eine Operation 112 zum Durchführen eines Herstellungsprozesses an dem Wafer durch die Öffnungen des der Fotolackstruktur. In einem Beispiel enthält der Herstellungsprozess das Anwenden eines Ätzprozesses am Wafer 22 unter Verwendung der Fotolackstruktur als Ätzmaske. In einem anderen Beispiel enthält der Herstellungsprozess einen Ionenimplantierungsprozess am Wafer 22 unter Verwendung der Fotolackstruktur als Implantationsmaske.
  • Eine oder mehrere Ausführungsform(en) der vorliegenden Offenbarung stellen viele Vorteile in der Herstellung einer Halbleitervorrichtung bereit, auch wenn sie nicht als Einschränkung zu verstehen sind. Zum Beispiel stellen Ausführungsformen der vorliegenden Offenbarung Vorrichtungen und Verfahren zum effektiven Generieren einer EUV-Strahlung bereit, während die übliche Gebrauchsdauer von LPP-Kollektoren erhalten bleibt. Ausführungsformen der vorliegenden Offenbarung können in bestehenden EUV-Lithografiesystemen implementiert oder in diese integriert werden.
  • In einem beispielhaften Aspekt betrifft die vorliegende Offenbarung ein Extrem-Ultraviolett-(EUV)Strahlungsquellenmodul. Das EUV-Strahlungsquellenmodul enthält einen Targettröpfchengenerator, eine erste Laserquelle und eine zweite Laserquelle. Der Targettröpfchengenerator ist zum Generieren mehrerer Targettröpfchen konfiguriert. Die erste Laserquelle ist zum Generieren mehrerer erster Laserpulse konfiguriert, die die Targettröpfchen erwärmen, wodurch mehrere Target-Plumes generiert werden, wobei zumindest eines der Targettröpfchen an einer Erregungsposition erwärmt wird, die sich von jener anderer Targettröpfchen unterscheidet. Die zweite Laserquelle ist zum Generieren mehrerer zweiter Laserpulse konfiguriert, die die Target-Plumes erwärmen, wodurch ein Plasma generiert wird, das EUV-Strahlung ausstrahlt.
  • In einem anderen beispielhaften Aspekt betrifft die vorliegende Offenbarung ein EUV-Lithografiesystem. Das EUV-Lithografiesystem umfasst eine Strahlungsquelle, eine Maskenstufe, eine Waferstufe und ein optisches Modul. Die Strahlungsquelle umfasst einen Targettröpfchengenerator, eine erste Laserquelle, eine zweite Laserquelle und einen Kollektor. Der Targettröpfchengenerator ist zum Generieren mehrerer Targettröpfchen konfiguriert. Die erste Laserquelle ist zum Generieren mehrerer erster Laserpulse konfiguriert, die die Targettröpfchen erwärmen, wodurch mehrere Target-Plumes generiert werden, wobei zumindest eines der Targettröpfchen an einer Erregungsposition erwärmt wird, die sich von jener anderer Targettröpfchen unterscheidet. Die zweite Laserquelle ist zum Generieren mehrerer zweiter Laserpulse konfiguriert, die die Target-Plumes erwärmen, wodurch Plasma generiert wird, das EUV-Strahlung ausstrahlt. Der Kollektor ist zum Sammeln und Reflektieren der EUV-Strahlung konfiguriert. Die Maskenstufe ist zum Fixieren einer EUV-Maske konfiguriert. Die Wafer-Stufe ist zum Fixieren eines Halbleiterwafers konfiguriert. Das optische Modul ist zum Lenken der EUV-Strahlung von der Strahlungsquelle zum Halbleiterwafer gestaltet, um eine integrierte Schaltungs-(IC-)Struktur, die auf der EUV-Maske definiert ist, abzubilden.
  • In einem anderen beispielhaften Aspekt betrifft die vorliegende Offenbarung einen Extrem-Ultraviolett-(EUV)Lithografieprozess zum Strukturieren eines Targets. Der EUV-Lithografieprozess umfasst ein Laden eines Halbleiterwafers in ein EUV-Lithografiesystem. Das EUV-Lithografiesystem umfasst eine Strahlungsquelle, die eine EUV-Strahlung generiert, eine Maskenstufe, die eine EUV-Maske umfasst, eine Waferstufe, die zum Fixieren des Halbleiterwafers konfiguriert ist, und ein optisches Modul, das zum Lenken der EUV-Strahlung von der Strahlungsquelle zum Halbleiterwafer gestaltet ist, um eine IC-Struktur, die auf der EUV-Maske definiert ist, abzubilden. Die Strahlungsquelle umfasst einen Targettröpfchengenerator, eine erste Laserquelle, eine zweite Laserquelle und einen Kollektor. Der Targettröpfchengenerator ist zum Generieren mehrerer Targettröpfchen konfiguriert. Die erste Laserquelle ist zum Generieren mehrerer erster Laserpulse konfiguriert, die die Targettröpfchen erwärmen, wodurch mehrere Target-Plumes generiert werden. Die zweite Laserquelle ist zum Generieren mehrerer zweiter Laserpulse konfiguriert, die die Target-Plumes erwärmen, wodurch Plasma generiert wird, das EUV-Strahlung ausstrahlt. Der Kollektor ist zum Sammeln und Reflektieren der EUV-Strahlung konfiguriert. Der EUV-Lithografieprozess umfasst ferner ein Programmieren der Strahlungsquelle, so dass ein Generieren der Targettröpfchen und ersten Laserpulse im Allgemeinen mit einem normalen Zeitpunkt synchronisiert ist, aber zumindest eines von den ersten Laserpulsen zu einem anderen Zeitpunkt als zum normalen Zeitpunkt generiert wird. Der EUV-Lithografieprozess umfasst ferner ein Belichten des Halbleiterwafers durch die EUV-Strahlung.
  • Zuvor wurden Merkmale von mehreren Ausführungsformen umrissen, so dass Durchschnittsfachleute auf dem Gebiet die Aspekte der vorliegenden Offenbarung besser verstehen können. Durchschnittsfachleute auf dem Gebiet sollten zu schätzen wissen, dass sie die vorliegende Offenbarung sofort als Grundlage für eine Gestaltung oder Modifizierung anderer Prozesse und Strukturen zur Ausführung derselben Zwecke und/oder zum Erreichen derselben Vorteile der hier vorgestellten Ausführungsformen verwenden können. Durchschnittsfachleute auf dem Gebiet sollten auch erkennen, dass solche äquivalenten Konstruktionen nicht vom Wesen und Umfang der Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen vornehmen können, ohne vom Wesen und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Extrem-Ultraviolett-(EUV)Strahlungsquellenmodul, umfassend: einen Targettröpfchengenerator, der zum Generieren mehrerer Targettröpfchen konfiguriert ist; eine erste Laserquelle, die zum Generieren mehrerer erster Laserpulse konfiguriert ist, die die Targettröpfchen erwärmen, wodurch mehrere Target-Plumes generiert werden, wobei zumindest eines der Targettröpfchen an einer Erregungsposition erwärmt wird, die sich von jener anderer Targettröpfchen unterscheidet; und eine zweite Laserquelle, die zum Generieren mehrerer zweiter Laserpulse konfiguriert ist, die die Target-Plumes erwärmen, wodurch ein Plasma generiert wird, das EUV-Strahlung ausstrahlt.
  2. EUV-Strahlungsquellenmodul nach Anspruch 1 oder 2, des Weiteren umfassend: einen Kollektor, der zum Sammeln und Reflektieren der EUV-Strahlung konfiguriert ist.
  3. EUV-Strahlungsquellenmodul nach Anspruch 1, wobei: der Targettröpfchengenerator zum jeweils einzelnen Generieren der Targettröpfchen konfiguriert ist; und die erste Laserquelle zum jeweils einzelnen Generieren der ersten Laserpulse konfiguriert ist, was im Allgemeinen mit der Generierung der Targettröpfchen synchronisiert ist, wobei zumindest einer der ersten Laserpulse früher als zu einem normalen Zeitpunkt generiert wird.
  4. EUV-Strahlungsquellenmodul nach Anspruch 1 oder 2, wobei: der Targettröpfchengenerator zum jeweils einzelnen Generieren der Targettröpfchen konfiguriert ist; und die erste Laserquelle zum jeweils einzelnen Generieren der ersten Laserpulse konfiguriert ist, was im Allgemeinen mit der Generierung der Targettröpfchen synchronisiert ist, wobei zumindest einer der ersten Laserpulse später als zum normalen Zeitpunkt generiert wird.
  5. EUV-Strahlungsquellenmodul nach einem der vorangehenden Ansprüche, wobei die erste und die zweite Laserquelle so synchronisiert sind, dass eine unveränderliche Zeitverzögerung zwischen jedem der ersten Laserpulse und einem entsprechenden der zweiten Laserpulse vorhanden ist.
  6. EUV-Strahlungsquellenmodul nach einem der vorangehenden Ansprüche, wobei die erste und die zweite Laserquelle so konfiguriert sind, dass zumindest eines der Targettröpfchen nicht durch die ersten oder die zweiten Laserpulse erwärmt wird.
  7. EUV-Strahlungsquellenmodul nach einem der vorangehenden Ansprüche, wobei die Targettröpfchen Zinn (Sn) enthalten.
  8. EUV-Strahlungsquellenmodul nach einem der vorangehenden Ansprüche, wobei eine Antriebsenergie des ersten Laserpulses und des zweiten Laserpulses zumindest 20 kW ist.
  9. EUV-Strahlungsquellenmodul nach einem der vorangehenden Ansprüche, wobei zumindest einer von den ersten und den zweiten Laserpulsen von einem CO2-Laser stammt.
  10. EUV-Lithografiesystem, umfassend: eine Strahlungsquelle, wobei die Strahlungsquelle aufweist: einen Targettröpfchengenerator, der zum Generieren mehrerer Targettröpfchen konfiguriert ist; eine erste Laserquelle, die zum Generieren mehrerer erster Laserpulse konfiguriert ist, die die Targettröpfchen erwärmen, wodurch mehrere Target-Plumes generiert werden, wobei zumindest eines der Targettröpfchen an einer Erregungsposition erwärmt wird, die sich von jener anderer Targettröpfchen unterscheidet; eine zweite Laserquelle, die zum Generieren mehrerer zweiter Laserpulse konfiguriert ist, die die Target-Plumes erwärmen, wodurch ein Plasma generiert wird, das EUV-Strahlung ausstrahlt; und einen Kollektor, der zum Sammeln und Reflektieren der EUV-Strahlung konfiguriert ist; eine Maskenstufe, die zum Fixieren einer EUV-Maske konfiguriert ist; eine Waferstufe, die zum Fixieren eines Halbleiterwafers konfiguriert ist; und ein optisches Modul, das zum Lenken der EUV-Strahlung von der Strahlungsquelle zum Halbleiterwafer konfiguriert ist, um eine IC-Struktur, die auf der EUV-Maske definiert ist, abzubilden.
  11. EUV-Lithografiesystem nach Anspruch 10, wobei die Strahlungsquelle so konfiguriert ist, dass ein Generieren der Targettröpfchen und der ersten Laserpulse im Allgemeinen mit einem normalen Zeitpunkt synchronisiert sind, aber zumindest einer der ersten Laserpulse zu einem anderen Zeitpunkt als dem normalen Zeitpunkt generiert wird.
  12. EUV-Lithografiesystem nach Anspruch 11, wobei der zumindest eine der ersten Laserpulse früher generiert wird als zum normalen Zeitpunkt.
  13. EUV-Lithografiesystem nach Anspruch 11, wobei der zumindest eine der ersten Laserpulse später generiert wird als zum normalen Zeitpunkt.
  14. EUV-Lithografiesystem nach einem der Ansprüche 10 bis 13, wobei die erste und die zweite Laserquelle so synchronisiert sind, dass eine unveränderliche Zeitverzögerung zwischen jedem der ersten Laserpulse und einem entsprechenden der zweiten Laserpulse vorhanden ist.
  15. EUV-Lithografiesystem nach einem der Ansprüche 10 bis 14, wobei die Targettröpfchen Zinn (Sn) oder zinnhältiges Material enthalten.
  16. EUV-Lithografiesystem nach einem der Ansprüche 10 bis 14, wobei zumindest einer der ersten und zweiten Laserpulse ein CO2-Laser ist.
  17. EUV-Lithografiesystem nach einem der Ansprüche 10 bis 16, des Weiteren umfassend: eine Gaszufuhr, die zum Einleiten von Wasserstoffgas in einen Raum nahe einer reflektierenden Oberfläche des Kollektors konfiguriert ist.
  18. Extrem-Ultraviolett-(EUV)Lithografieprozess zum Strukturieren eines Targets, umfassend: Laden eines Halbleiterwafers in ein EUV-Lithografiesystem, das umfasst: eine Strahlungsquelle, die umfasst: einen Targettröpfchengenerator, der zum Generieren mehrerer Targettröpfchen konfiguriert ist; eine erste Laserquelle, die zum Generieren mehrerer erster Laserpulse konfiguriert ist, die die Targettröpfchen erwärmen, wodurch mehrere Target-Plumes generiert werden; eine zweite Laserquelle, die zum Generieren mehrerer zweiter Laserpulse konfiguriert ist, die die Target-Plumes erwärmen, wodurch ein Plasma generiert wird, das EUV-Strahlung ausstrahlt; und einen Kollektor, der zum Sammeln und Reflektieren der EUV-Strahlung konfiguriert ist; eine Maskenstufe zum Halten einer EUV-Maske; eine Waferstufe, die zum Fixieren des Halbleiterwafers konfiguriert ist; und ein optisches Modul, das zum Lenken der EUV-Strahlung von der Strahlungsquelle zum Halbleiterwafer gestaltet ist, um eine IC-Struktur, die auf der EUV-Maske definiert ist, abzubilden; Programmieren der Strahlungsquelle, so dass das Generieren der Targettröpfchen und der ersten Laserpulse im Allgemeinen mit einem normalen Zeitpunkt synchronisiert ist, aber zumindest einer der ersten Laserpulse zu einem anderen Zeitpunkt als dem normalen Zeitpunkt generiert wird; und Belichten des Halbleiterwafers durch die EUV-Strahlung.
  19. EUV-Lithografieprozess nach Anspruch 19, des Weiteren umfassend: Programmieren der Strahlungsquelle, so dass das Generieren der ersten und der zweiten Laserpulse synchronisiert ist.
  20. EUV-Lithografieprozess nach Anspruch 18 oder 19 des Weiteren umfassend: Einleiten eines Wasserstoffgasstroms in einen Raum nahe einer reflektierenden Oberfläche des Kollektors.
DE102015112273.1A 2015-04-30 2015-07-28 Verringerung der Kontamination eines Extrem-Ultraviolett Lithografie-Kollektors Active DE102015112273B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562155111P 2015-04-30 2015-04-30
US62/155,111 2015-04-30
US14/803,849 2015-07-20
US14/803,849 US9625824B2 (en) 2015-04-30 2015-07-20 Extreme ultraviolet lithography collector contamination reduction

Publications (2)

Publication Number Publication Date
DE102015112273A1 true DE102015112273A1 (de) 2016-11-03
DE102015112273B4 DE102015112273B4 (de) 2017-10-26

Family

ID=57135667

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102015112273.1A Active DE102015112273B4 (de) 2015-04-30 2015-07-28 Verringerung der Kontamination eines Extrem-Ultraviolett Lithografie-Kollektors

Country Status (5)

Country Link
US (1) US9625824B2 (de)
KR (1) KR101717856B1 (de)
CN (1) CN106094441B (de)
DE (1) DE102015112273B4 (de)
TW (1) TWI569689B (de)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170311429A1 (en) * 2016-04-25 2017-10-26 Asml Netherlands B.V. Reducing the effect of plasma on an object in an extreme ultraviolet light source
US10310380B2 (en) * 2016-12-07 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-brightness light source
US10162277B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system with debris trapper on exhaust line
CN110612482B (zh) * 2017-05-10 2022-04-26 Asml荷兰有限公司 激光产生的等离子体源
US10824083B2 (en) 2017-09-28 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Light source, EUV lithography system, and method for generating EUV radiation
US10495987B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system
KR102428750B1 (ko) * 2017-10-19 2022-08-02 사이머 엘엘씨 단일의 리소그래피 노광 패스로 복수의 에어리얼 이미지를 형성하는 방법
US10477663B2 (en) 2017-11-16 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Light source for lithography exposure process
US10314154B1 (en) 2017-11-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for extreme ultraviolet source control
WO2019185406A1 (en) * 2018-03-27 2019-10-03 Asml Netherlands B.V. Apparatus for and method of controlling debris in an euv light source
US10925142B2 (en) * 2018-07-31 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation source for lithography exposure process
US11237482B2 (en) * 2018-08-14 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Process system and operating method thereof
US11153959B2 (en) * 2018-08-17 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
US11360384B2 (en) 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
US10747119B2 (en) * 2018-09-28 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for monitoring reflectivity of the collector for extreme ultraviolet radiation source
DE102019124781A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen und behandeln einer fotomaske
US10859918B2 (en) * 2018-10-30 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same
US11499924B2 (en) 2019-06-03 2022-11-15 KLA Corp. Determining one or more characteristics of light in an optical system
US11043595B2 (en) 2019-06-14 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate in memory macro edge and middle strap
US11211116B2 (en) 2019-09-27 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM write assist circuit
US11121138B1 (en) 2020-04-24 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance pickup cells for SRAM
DE102021106289A1 (de) * 2020-05-07 2021-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. System und verfahren zum ausführen von extrem-ultraviolett-photolithografieprozessen
US11374088B2 (en) 2020-08-14 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction in gate-all-around devices
US11482518B2 (en) 2021-03-26 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structures having wells with protruding sections for pickup cells
US20220310432A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate holder and methods of use

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130256568A1 (en) * 2008-09-29 2013-10-03 Gigaphoton Inc. Extreme ultraviolet light source apparatus and method of generating ultraviolet light
WO2015036024A1 (de) * 2013-09-12 2015-03-19 Trumpf Laser- Und Systemtechnik Gmbh Strahlführungseinrichtung und euv-strahlungserzeugungsvorrichtung mit einer überlagerungseinrichtung

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8653437B2 (en) * 2010-10-04 2014-02-18 Cymer, Llc EUV light source with subsystem(s) for maintaining LPP drive laser output during EUV non-output periods
US7239686B2 (en) * 2002-05-13 2007-07-03 Jettec Ab Method and arrangement for producing radiation
KR101495208B1 (ko) 2007-08-23 2015-02-25 에이에스엠엘 네델란즈 비.브이. 극자외 방사선을 생성하는 방법 및 모듈
EP2154574B1 (de) 2008-08-14 2011-12-07 ASML Netherlands BV Strahlungsquelle und Verfahren zur Strahlungserzeugung
JP5448775B2 (ja) 2008-12-16 2014-03-19 ギガフォトン株式会社 極端紫外光源装置
US8138487B2 (en) * 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
JP5603135B2 (ja) 2009-05-21 2014-10-08 ギガフォトン株式会社 チャンバ装置におけるターゲット軌道を計測及び制御する装置及び方法
WO2011036248A1 (en) 2009-09-25 2011-03-31 Asml Netherlands B.V. Source collector apparatus, lithographic apparatus and device manufacturing method
US8872142B2 (en) * 2010-03-18 2014-10-28 Gigaphoton Inc. Extreme ultraviolet light generation apparatus
US9072153B2 (en) * 2010-03-29 2015-06-30 Gigaphoton Inc. Extreme ultraviolet light generation system utilizing a pre-pulse to create a diffused dome shaped target
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
JP2012199512A (ja) * 2011-03-10 2012-10-18 Gigaphoton Inc 極端紫外光生成装置及び極端紫外光生成方法
JP6084223B2 (ja) 2011-09-02 2017-02-22 エーエスエムエル ネザーランズ ビー.ブイ. 放射源
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
WO2014090480A1 (en) 2012-12-12 2014-06-19 Asml Netherlands B.V. Power source for a lithographic apparatus, and lithographic apparatus comprising such a power source
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same
US9127981B2 (en) 2013-08-06 2015-09-08 Cymer, Llc System and method for return beam metrology with optical switch
CN103513519A (zh) 2013-09-13 2014-01-15 华中科技大学 一种极紫外光刻机光源中液滴靶空间位置的监控系统
US9232623B2 (en) * 2014-01-22 2016-01-05 Asml Netherlands B.V. Extreme ultraviolet light source

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130256568A1 (en) * 2008-09-29 2013-10-03 Gigaphoton Inc. Extreme ultraviolet light source apparatus and method of generating ultraviolet light
WO2015036024A1 (de) * 2013-09-12 2015-03-19 Trumpf Laser- Und Systemtechnik Gmbh Strahlführungseinrichtung und euv-strahlungserzeugungsvorrichtung mit einer überlagerungseinrichtung

Also Published As

Publication number Publication date
CN106094441B (zh) 2018-09-28
CN106094441A (zh) 2016-11-09
US9625824B2 (en) 2017-04-18
DE102015112273B4 (de) 2017-10-26
TW201639417A (zh) 2016-11-01
KR20160129678A (ko) 2016-11-09
KR101717856B1 (ko) 2017-03-17
TWI569689B (zh) 2017-02-01
US20160320708A1 (en) 2016-11-03

Similar Documents

Publication Publication Date Title
DE102015112273B4 (de) Verringerung der Kontamination eines Extrem-Ultraviolett Lithografie-Kollektors
US11789355B2 (en) Extreme ultraviolet mask and method of manufacturing the same
DE102015109260B4 (de) EUV-Lithografiesystem und EUV-Lithografieprozess mit optimiertem Durchsatz und optimierter Stabilität
DE102015106624B4 (de) Verfahren zum Verringern einer Defekt-Druckbarkeit für eine 1D-Struktur
US11774844B2 (en) Extreme ultraviolet mask and method of manufacturing the same
DE102009047712A1 (de) EUV-Lichtquelle für eine Beleuchtungseinrichtung einer mikrolithographischen Projektionsbelichtungsanlage
JP5740106B2 (ja) Euv放射発生装置
US10871647B2 (en) Apparatus and method for prevention of contamination on collector of extreme ultraviolet light source
DE102015112858B4 (de) Maske mit Mehrschichtstruktur und Herstellungsverfahren unter Verwendung einer solchen
US11175597B2 (en) Pellicle structure for lithography mask
DE102019124781A1 (de) Verfahren zum herstellen und behandeln einer fotomaske
US11360384B2 (en) Method of fabricating and servicing a photomask
WO2021156380A1 (de) Vorrichtung und verfahren zum reparieren eines defekts einer optischen komponente für den extrem ultravioletten wellenlängenbereich
US10802405B2 (en) Radiation source for lithography exposure process
JP2002532895A (ja) Euv照射システム
DE102016221261A1 (de) Verfahren zur mikrolithographischen Herstellung mikrostrukturierter Bauelemente
DE102023102536A1 (de) Euv-lithografiesystem mit 3d-abtast- und abstimmungsmodulen
DE102019121624A1 (de) Fotolithografieverfahren und -vorrichtung
Volgunov et al. Nanostructure formation on an EUV lithographer stand: First results
DE102021120747A1 (de) Verfahren zur Entfernung eines Partikels von einem Maskensystem
Murakami et al. Basic technologies for extreme ultraviolet lithography
DE102013212778A1 (de) Spiegel für eine mikrolithographische Projektionslichtungsanlage sowie Verfahren zur Bearbeitung eines Spiegels

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final