DE102023102536A1 - Euv-lithografiesystem mit 3d-abtast- und abstimmungsmodulen - Google Patents

Euv-lithografiesystem mit 3d-abtast- und abstimmungsmodulen Download PDF

Info

Publication number
DE102023102536A1
DE102023102536A1 DE102023102536.8A DE102023102536A DE102023102536A1 DE 102023102536 A1 DE102023102536 A1 DE 102023102536A1 DE 102023102536 A DE102023102536 A DE 102023102536A DE 102023102536 A1 DE102023102536 A1 DE 102023102536A1
Authority
DE
Germany
Prior art keywords
euv
laser
laser beam
radiation
radiation source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102023102536.8A
Other languages
English (en)
Inventor
Tai-Yu Chen
Tzu-Jung PAN
Kuan-Hung Chen
Sheng-Kang Yu
Shang-Chieh Chien
Li-Jui Chen
Heng-Hsin Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102023102536A1 publication Critical patent/DE102023102536A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70133Measurement of illumination distribution, in pupil plane or field plane
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • X-Ray Techniques (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Die vorliegende Offenbarung stellt ein Verfahren für ein Extrem-Ultraviolett-Lithografiesystem (EUV-Lithografiesystem) bereit, das eine Strahlungsquelle aufweist, die eine Laservorrichtung aufweist, welche mit einem Mechanismus zum Generieren einer EUV-Strahlung eingerichtet ist. Das Verfahren umfasst ein Sammeln eines Laserstrahlprofils eines Laserstrahls von der Laservorrichtung in einem 3-dimensionalen Modus (3D-Modus); Sammeln einer EUV-Energieverteilung der EUV-Strahlung, die durch den Laserstrahl generiert wurde, im 3D-Modus; Durchführen einer Analyse des Laserstrahlprofils und der EUV-Energieverteilung, die zu Analysedaten führt; und Einstellen der Strahlungsquelle gemäß den Analysedaten, um die EUV-Strahlung zu verbessern.

Description

  • HINTERGRUND
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung 63/317,142 mit dem Titel „SYSTEM AND METHOD FOR LITHOGRAPHY 3D PLASMA DIAGNOSTICS“, die am 7. März 2022 eingereicht wurde und die hier durch Rückbezug in ihrer Gänze aufgenommen ist.
  • Die Industrie für integrierte Halbleiterschaltungen (IC) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte in IC-Materialien und -Design haben Generationen von ICs hervorgebracht, bei denen jede Generation kleinere und komplexere Schaltungen als die vorangegangene Generation aufweist. Die Funktionsdichte (d.h. die Anzahl miteinander verschalteter Bauelemente pro Chipfläche) ist im Laufe der IC-Entwicklung grundsätzlich gestiegen, während die geometrische Größe (d.h. die kleinste Komponente (oder Leiterbahn), die unter Verwendung eines Fertigungsprozesses erzeugt werden kann) kleiner geworden ist. Dieser Miniaturisierungsprozess (Skalierung) bringt grundsätzlich Vorteile, indem die Produktionseffizienz gesteigert und die damit verbundenen Kosten gesenkt werden. Eine derartige Miniaturisierung erhöhte außerdem die Komplexität der IC-Verarbeitung und - Herstellung. Damit diese Fortschritte umgesetzt werden, sind ähnliche Entwicklungen in der IC-Verarbeitung und -Herstellung erforderlich. Zum Beispiel wächst der Bedarf, Lithografieprozesse mit höherer Auflösung durchzuführen. Eine lithografische Technik ist die die Extrem-Ultraviolett-Lithografie (ELTVL). Die ELTVL setzt Scanner ein, die Licht im extremen Ultraviolett-Bereich (EUV-Bereich) verwenden, das eine Wellenlänge von ungefähr 1 nm bis 100 nm aufweist. EUV-Scanner verwenden eher reflektierende anstelle von lichtbrechenden Optiken, d.h. Spiegel anstelle von Linsen. Obwohl vorhandene lithografische Techniken für ihre vorgesehenen Zwecke im Allgemeinen geeignet sind, sind sie jedoch nicht in jeder Hinsicht vollständig zufriedenstellend.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Figuren gelesen wird. Es ist zu beachten, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein
    • 1 zeigt ein Blockdiagramm eines EUV-Lithografiesystems mit einem EUV-Steuerungssystem, das gemäß einigen Ausführungsformen aufgebaut ist.
    • 2 zeigt eine schematische Ansicht eines EUV-Lithografievorrichtungssystems, das mit einem 3D-Wächter eingebettet ist, aufgebaut gemäß einigen Ausführungsformen.
    • 3 ist eine schematische Ansicht der EUV-Strahlungsquelle im EUV-Lithografiesystem von 1, aufgebaut gemäß einigen Ausführungsformen.
    • 4 ist eine schematische Ansicht der EUV-Strahlungsquelle im EUV-Lithografiesystem von 1, aufgebaut gemäß einigen Ausführungsformen.
    • 5 ist eine schematische Ansicht der Zielmaterialtröpfchen, die verwendet werden, um Plasma und EUV-Strahlungsenergie aus dem Plasma im EUV-Lithografiesystem von 1 zu erzeugen, aufgebaut gemäß einigen Ausführungsformen.
    • 6 zeigt einen LLP-Prozess (LLP: laserproduziertes Plasma), der gemäß einigen Ausführungsformen aufgebaut ist.
    • 7 zeigt ein Blockdiagramm eines EUV-Steuerungssystems, das gemäß einigen Ausführungsformen aufgebaut ist.
    • 8 ist schematische Ansicht einer durch eine Korrelationsanalyseeinheit des EUV-Steuerungssystems implementierten Korrelationsanalyse, aufgebaut gemäß einigen Ausführungsformen.
    • 9 ist schematische Ansicht einer durch eine Werkzeuganpassungseinheit des EUV-Steuerungssystems implementierten Maschinenanpassungsanalyse, aufgebaut gemäß einigen Ausführungsformen.
    • 10 ist schematische Ansicht eines durch eine Modellierungseinheit des EUV-Steuerungssystems implementierten Modellierungsprozesses, aufgebaut gemäß einigen Ausführungsformen.
    • 11 zeigt schematische Ansicht eines Prozesses des maschinellen Lernens, der durch eine Einheit des maschinellen Lernens des EUV-Steuerungssystems implementiert wird, aufgebaut gemäß einigen Ausführungsformen.
    • 12 ist eine schematische Ansicht eines Prozesses zum Sammeln von Daten, Analysieren der gesammelten Daten, Extrahieren der Informationen aus der Analyse und Steuern des Lithografiesystems für verbesserte lithografische Prozesse durch das EUV-Steuerungssystem, aufgebaut gemäß einigen Ausführungsformen.
    • 13 zeigt schematische Ansicht eines Datenprozesses, der ein Sammeln von Daten, Modellieren und Analysieren der gesammelten Daten umfasst, aufgebaut gemäß einigen Ausführungsformen.
    • 14 ist ein Ablaufdiagramm eines Verfahrens, das auf das EUV-Lithografiesystem von 1 angewendet wird, aufgebaut gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die nachstehende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale bereit. Bezugszeichen und/oder - buchstaben können in den verschiedenen hier beschriebenen Beispielen wiederholt werden. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen offenbarten Ausführungsformen und/oder Ausgestaltungen vor. Außerdem sind konkrete Beispiele von Komponenten und Anordnungen nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese stellen selbstverständlich lediglich Beispiele dar und sind nicht im beschränkenden Sinne gedacht. Zum Beispiel kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element ausgebildet werden können, so dass das erste und das zweite Element möglicherweise nicht in direktem Kontakt stehen. Des Weiteren kann das Ausbilden eines Elements auf einem anderen Element, mit ihm verbunden und/oder gekoppelt, in der vorliegenden Offenbarung Ausführungsformen umfassen, in denen die Elemente in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen den Elementen ausgebildet werden können, so dass die Elemente möglicherweise nicht in direktem Kontakt stehen.
  • Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Ausgestaltungen vor. Des Weiteren kann das Ausbilden eines Elements auf einem anderen Element, mit ihm verbunden und/oder gekoppelt, in der nachstehenden Offenbarung Ausführungsformen umfassen, in denen die Elemente in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen den Elementen ausgebildet werden können, so dass die Elemente möglicherweise nicht in direktem Kontakt stehen. Außerdem werden Begriffe, die sich auf räumliche Relativität beziehen, wie zusätzlich „unterer“, „oberer“, „horizontaler“, „vertikaler“, „über“, „oberhalb“, „unter“, „unterhalb“, „oben“, „unten“, „Oberseite“, „Unterseite“ usw. so wie Ableitungen davon (z.B. „horizontal“, „nach unten“, „nach oben“ usw.) zur Einfachheit der vorliegenden Offenbarung der Beziehung eines Elements zu einem anderen verwendet. Die Begriffe, die die räumliche Relativität betreffen, sollen verschiedene Ausrichtungen der Vorrichtung, die die Elemente umfasst, abdecken. Wenn eine Zahl oder ein Bereich von Zahlen mit „ungefähr“, „etwa“ und dergleichen beschrieben wird, wird ferner beabsichtigt, dass der Begriff Zahlen umfasst, die sich innerhalb eines vernünftigen Bereichs befinden, einschließlich der beschriebenen Zahl, wie z.B. innerhalb von +/- 10 % der beschriebenen Zahl oder anderer Werte, wie sie vom Fachmann verstanden werden. Zum Beispiel umfasst der Begriff „ungefähr 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm.
  • Die vorliegende Offenbarung betrifft eine Extrem-Ultraviolett-Lithografievorrichtung (EUV-Lithografievorrichtung), die mit einem EUV-Steuerungssystem integriert ist, das zum Überwachen, Analysieren, Abstimmen und Steuern der EUV-Lithografievorrichtung für eine verbesserte Leistungsfähigkeit ausgelegt ist. Die vorliegende Offenbarung umfasst außerdem ein Verfahren, das das Steuerungssystem verwendet, um einen Laserstrahl, ein Plasma, eine Kontamination, eine EUV-Strahlung zu überwachen, 3D-Diagnosedaten davon zu sammeln, zu analysieren (einschließlich von Korrelation und maschinellem Lernen), Grundursachen zu identifizieren und Parametern der EUV-Lithografievorrichtung aktiv abzustimmen und zu steuern, so dass der Lithografieprozess verbessert wird, wenn die EUV-Lithografievorrichtung in der Herstellung von integrierten Schaltungen (IC) verwendet wird. Insbesondere stehen das Verfahren und das EUV-Steuerungssystem mit einer EUV-Lithografievorrichtung zum Strukturieren von IC-Strukturen in fortschrittlichen Technologieknoten im Zusammenhang. Die IC-Struktur kann Feldeffekttransistoren (FETs), FinFETs oder Mehrfach-Gate-Vorrichtungen, wie z.B. Gate-all-Around-Vorrichtungen (GAA-Vorrichtungen), gemäß verschiedenen Ausführungsformen aufweisen.
  • 1 ist ein Blockdiagramm eines Lithografiesystems 10, das gemäß einigen Ausführungsformen aufgebaut ist. Das Lithografiesystem 10 kann auch allgemein als ein Scanner bezeichnet werden, der zum Durchführen von lithografischen Belichtungsprozessen mit einer entsprechenden Strahlungsquelle und einem entsprechenden Belichtungsmodus betreibbar ist. In der vorliegenden Ausführungsform ist das Lithografiesystem 10 ein Extrem-Ultraviolett-Lithografiesystem (EUV-Lithografiesystem), das zum Belichten einer Fotolackschicht mit EUV-Licht ausgelegt ist. Die Fotolackschicht ist ein geeignetes Material, das für das EUV-Licht empfindlich ist. Das Lithografiesystem 10 weist eine oder mehrere EUV-Lithografievorrichtungen 12 auf, die zum Durchführen eines Belichtungsprozesses unter Verwendung von EUV-Strahlung ausgelegt sind. Eine EUV-Lithografievorrichtung 12 weist eine EUV-Quelle 14 (oder einfach als Quellengefäß 14 bezeichnet), um EUV-Strahlung 18 zu generieren, und eine Belichtungskammer 16, die zum Durchführen eines Lithografiebelichtungsprozesses unter Verwendung der EUV-Strahlung 18 ausgelegt ist, auf.
  • Die Strahlungsquelle 14 weist einen umschlossenen Raum auf, der zum Schutz und zur Verringerung der Kontamination in einer Wasserstoffumgebung gehalten wird. Die Strahlungsquelle 14 weist verschiedene Komponenten auf, die zum Generieren der EUV-Strahlung 18 eingerichtet sind. In der offenbarten Ausführungsform weist die Strahlungsquelle 14 auf: eine Laserquelle 20, um einen Laserstrahl bereitzustellen; ein LPP-Modul (LPP: laserproduziertes Plasma) 22, um Plasma unter Verwendung des Laserstrahls zu generieren; und ein EUV-Modul 24, um die durch das Plasma generierte EUV-Strahlung 18 zu sammeln und zu fokussieren. Die Belichtungskammer 16 wird in einer Vakuumumgebung gehalten, um unerwünschte Absorption der EUV-Strahlung 18 zu reduzieren. Die Belichtungskammer 16 kann gemäß verschiedenen Ausführungsformen aufweisen: einen Maskentisch 28, um eine Fotomaske (oder ein Retikel) zu befestigen, eine Waferbühne 30, um ein Halbleitersubstrat (wie z.B. einen Wafer) zu befestigen, und eine EUV-Optik 26, die dazu ausgelegt ist, die EUV-Strahlung zu modulieren, so dass ein Bild der Struktur oder ein Abschnitt davon, das/der auf der Fotomaske definiert ist, auf das Halbleitersubstrat oder insbesondere auf eine Fotolackschicht, die auf dem Halbleitersubstrat aufgeschichtet ist, gerichtet wird.
  • Das Lithografiesystem 10 weist außerdem ein Steuerungssystem (oder ein EUV-Steuerungssystem) 32 auf, das mit der EUV-Lithografievorrichtung 12 integriert ist. Das Steuerungssystem 32 ist mit Mechanismen ausgelegt, um verschiedene Parameter der EUV-Lithografievorrichtung 12 zu überwachen, 3D-Diagnosedaten davon zu sammeln, die gesammelten 3D-Daten zu analysieren, die Grundursachen jeglicher unerwünschten Probleme zu identifizieren, und Variablen der EUV-Lithografievorrichtung 12 aktiv abzustimmen und zu steuern, so dass die EUV-Lithografievorrichtung 12 und der entsprechende Prozess verbessert und erweitert werden, wenn die EUV-Lithografievorrichtung 12 in der Fertigung von integrierten Schaltungen (IC) verwendet wird.
  • Das EUV-Steuerungssystem 32 weist verschiedene Einheiten, Module und Komponenten auf, die integriert und zum Durchzuführen verschiedener Funktionen eingerichtet sind. Verschiedene Abschnitte des EUV-Steuerungssystems 32 können an verschiedenen Orten verteilt sein, wie z.B. teilweise in die EUV-Lithografievorrichtung 12 eingebettet und darin eingerichtet sein; oder teilweise eigenständig oder durch eine Internetkommunikation (wie z.B. eine Internetkabelverbindung, eine WiFi-Verbindung, eine Bluetooth-Verbindung, eine andere geeignete Verbindung oder eine Kombination davon) mit der EUV-Lithografievorrichtung 12 gekoppelt sein.
  • Das EUV-Steuerungssystem 32 weist verschiedene Wächter 34 zum Überwachen und Sammeln verschiedener Informationen auf, die mit der EUV-Lithografievorrichtung 12 oder insbesondere der Strahlungsquelle 14 der EUV-Lithografievorrichtung 12 assoziiert sind. In der offenbarten Ausführungsform weist das EUV-Steuerungssystem 32 auf: einen Laserwächter 34A , der mit einem Mechanismus zum Überwachen eines von der Laserquelle 20 generierten Laserstrahls eingerichtet und ausgelegt ist; einen Plasmawächter 34B, der mit einem Mechanismus zum Überwachen des durch den Laserstrahl generierten Plasmas eingerichtet und ausgelegt ist; und einen EUV-Wächter 34C, der mit einem Mechanismus zum Überwachen der aus dem Plasma generierten EUV-Strahlung 18 eingerichtet und ausgelegt ist. In einigen Ausführungsformen weist das EUV-Steuerungssystem 32 mehrere Sätze der vorstehenden Wächter (34A, 34B und 34C) auf, wobei jeder Satz in eine entsprechende EUV-Lithografievorrichtung 12 eingebettet ist und mit anderen Komponenten des EUV-Steuerungssystems 32 verbunden ist. Das EUV-Steuerungssystem 32 kann zusätzlich oder alternativ einen oder mehrere andere Wächter aufweisen, die zum Überwachen anderer Parameter eingerichtet und ausgelegt sind, wie z.B. einer Zieltröpfchenkontamination und Plasmastabilität, die gesammelt und beim Analysieren der Strahlungsquelle 14 verwendet werden
  • Insbesondere sind verschiedene Wächter 34 dazu ausgelegt und eingerichtet, 3D-Daten, die mit der Strahlungsquelle 14 assoziiert sind, zu sammeln, was effektiver ist, um zusätzliche und hinreichende Informationen für die Analyse der Strahlungsquelle 14 bereitzustellen. Dementsprechend werden die Wächter 34 gemeinsam als 3D-Diagnosemodul (3DDM) 34 bezeichnet. 3D bedeutet, dass das Modul 34 in der Lage ist, die Daten in drei Dimensionen oder mehr, wie z.B. zwei Raumdimensionen plus Zeitdimension, drei Raumdimensionen, oder drei Raumdimensionen plus Zeitdimension, zu überwachen und zu sammeln. Wenn die Zeitdimension betrachtet wird, werden die Daten im Verlauf einer Zeitdauer zusätzlich zu einer räumlichen Variation des entsprechenden Parameters (wie z.B. einer Laserlichtintensität, einer Plasmaintensität oder einer EUV-Strahlungsintensität) gesammelt. Das 3DDM 34 stellt einen Weg zum Aufbau eines 3D-Diagnosemodells mit ausreichenden und relevanten Daten für eine verbesserte Analyse bereit, wie z.B. eine Korrelationsanalyse zwischen dem Laserprofil, der Plasmaverteilung und der EUV-Strahlung.
  • Wie vorstehend erwähnt, weist das 3DDM 34 verschiedene Einheiten zum Überwachen und Sammeln verschiedener Signale, die mit der EUV-Quelle assoziiert sind, auf. Insbesondere ist das 3DDM 34 dazu ausgelegt, 3D-Daten zu sammeln, was mithilfe verschiedener verfügbaren oder in der Zukunft entwickelten Technologien erreicht werden kann.
  • Der Laserwächter 34A weist eine beliebige geeignete Technologie auf, die für das Laserlicht von der Laserquelle 20 empfindlich ist. In einigen Ausführungsformen weist der Laserwächter 34A eine oder mehrere Fotodioden auf, die für den Laserstrahl von der Laserquelle 20 empfindlich und dazu eingerichtet sind, den Laserstrahl zu empfangen. Insbesondere ist der Laserwächter 34A in der Lage, 3D-Daten des Laserstrahls zu sammeln. Zum Beispiel weist der Laserwächter 34A mehrere Fotodioden auf, die in einem Array mit einer Ausgestaltung eingerichtet sind, so dass der Laserstrahl von der Laserquelle 20 effektiv eingefangen und gesammelt werden kann. In anderen Ausführungsformen weist der Laserwächter 34A andere geeignete Detektoren auf (die für den Laserstrahl von der Laserquelle 20 empfindlich sind), wie z.B. Fotovervielfacher, Optoisolatoren, Elemente integrierter optischer Schaltungen (IOC-Elemente), Fotowiderstände, fotoleitende Kameraröhren, ladungsgekoppelte Bildgebungsvorrichtungen, Injektionslaserdioden, Quantenkaskadenlaser, fotoemittierende Kameraröhren oder eine Kombination davon.
  • Der Plasmawächter 34B weist eine beliebige geeignete Technologie auf, die für das durch den Laserstrahl der Laserquelle 20 durch das LPP-Modul 22 generierte Plasma empfindlich ist. In einigen Ausführungsformen weist der Plasmawächter 34B einen oder mehrere Faraday-Ringe auf, die für das durch den Laserstrahl generierte Plasma (wie z.B. die Plasmadichte) empfindlich sind und dazu eingerichtet sind, das Plasma effektiv zu überwachen. Insbesondere ist der Plasmawächter 34B in der Lage, 3D-Daten des Plasmas, wie z.B. eine Plasmadichteverteilung, zu sammeln. Zum Beispiel weist der Plasmawächter 34B mehrere Faraday-Ringe auf, die in einem Array mit einer Ausgestaltung eingerichtet sind, so dass ein vom LPP-Modul 22 generiertes Plasma effektiv gesammelt werden kann. In anderen Ausführungsformen weist der Plasmawächter 34B andere geeignete Detektoren auf (die für die Plasmadichte empfindlich sind), wie z.B. einen Lichtstreudetektor, einen Elektronenvervielfacher, oder eine Kombination davon.
  • Der EUV-Wächter 34C weist eine beliebige geeignete Technologie auf, die für die aus dem Plasma generierte EUV-Strahlung 18 empfindlich ist. In einigen Ausführungsformen weist der EUV-Wächter 34C eine oder mehrere Fotodioden auf, die für die EUV-Strahlung 18 empfindlich und dazu eingerichtet sind, die EUV-Strahlung 18 zu empfangen. Der Mechanismus der Abtasteinheit des EUV-Wächters 34C kann jenem der Abtasteinheit des Laserwächters 34A ähnlich sein, da beide Fotonen, jedoch Fotonen in verschiedenen Spektralbereichen, abtasten. In einigen Beispielen weist der EUV-Wächter 34C mehrere Fotodioden auf, die in einem Array mit einer Ausgestaltung eingerichtet sind, so dass die EUV-Strahlung 18 aus dem Plasma (z.B. insbesondere von den EUV-Kollektoren reflektiert) effektiv gesammelt werden kann. In anderen Ausführungsformen weist der EUV-Wächter 34C andere geeignete Detektoren auf (die für die EUV-Strahlung 18 empfindlich sind), wie z.B. Fotovervielfacher, Fotowiderstände, Hybrid-Pixel-Detektoren, andere geeignete Vorrichtungen, oder eine Kombination davon.
  • Unter weiterer Bezugnahme auf 1 weist das EUV-Steuerungssystem 32 ferner andere Module auf, wie z.B. ein Analysemodul 40, ein Steuerungsmodul 42 und eine Datenbank 44, die mit dem 3DDM 34 integriert sind. Die Datenbank 44 ist mit dem 3DDM 34 gekoppelt, so dass die durch das 3DDM 34 gesammelten 3D-Daten an die Datenbank 44 gesendet und darin gespeichert werden. Das Analysemodul 40 ist mit der Datenbank 44 gekoppelt, so dass auf 3D-Daten vom 3DDM 34 durch das Analysemodul 40 zugegriffen werden kann. Das Analysemodul 40 ist mit einem oder mehreren Mechanismen ausgelegt, um die 3D-Daten effektiv zu analysieren und die Grundursachen für jegliche mit der Strahlungsquelle 14 assoziierten Probleme zu finden. Das Steuerungsmodul 42 ist mit dem Analysemodul 40 gekoppelt und ist ferner mit der EUV-Lithografievorrichtung 12 gekoppelt. Das Steuerungsmodul 42 ist mit einem oder mehreren geeigneten Mechanismen ausgelegt, um die Strahlungsquelle 14 der EUV-Lithografievorrichtung 12 gemäß dem Ergebnis vom Analysemodul 40 zu steuern. In einigen Beispielen stimmt das Steuerungsmodul 42 die Strahlungsquelle 14 der EUV-Lithografievorrichtung 12 ab, so dass die EUV-Lithografievorrichtung 12 darauf abgestimmt ist, die identifizierten Probleme zu eliminieren oder zu reduzieren, und ein EUV-Belichtungsprozess, der die EUV-Lithografievorrichtung 12 verwendet, verbessert und erweitert ist.
  • 2 ist eine schematische Teilansicht verschiedener Module des Lithografiesystems 10, aufgebaut gemäß einigen Ausführungsformen. Insbesondere zeigt 2 die EUV-Lithografievorrichtung 12 und das Überwachungsmodul 34. Insbesondere ist das Überwachungsmodul 34 des EUV-Steuerungssystems 32 in die EUV-Lithografievorrichtung 12 eingebettet und damit integriert.
  • Die EUV-Lithografievorrichtung 12 wird ferner unter Bezugnahme auf 2 beschrieben. In der vorliegenden Ausführungsform ist die EUV-Lithografievorrichtung 12 ein EUV-Lithografiewerkzeug, das dazu ausgelegt ist, eine Fotolackschicht mit einer EUV-Strahlung zu belichten. Die Fotolackschicht ist ein geeignetes Material, das für die EUV-Strahlung empfindlich ist. Die Lithografievorrichtung 12 nutzt eine Strahlungsquelle 14, um EUV-Strahlung 18 zu generieren, wie z.B. ein EUV-Licht, das eine Wellenlänge im Bereich zwischen ungefähr 1 nm und 100 nm aufweist. In der dargestellten Ausführungsform generiert die Strahlungsquelle 14 ein EUV-Licht mit einer bei ungefähr 13,5 nm zentrierten Wellenlänge. Zur Förderung der Ausführungsform liegt die zentrale Wellenlänge bei 13,5 nm mit einer 1-%-Halbwertsbreite-Bandbreite (1-%-FWHM-Bandbreite). Dementsprechend wird die Strahlungsquelle 14 auch als EUV-Strahlungsquelle 14 bezeichnet. In der vorliegenden Ausführungsform nutzt die EUV-Strahlungsquelle 14 einen Mechanismus des laserproduzierten Plasmas (LPP), um die EUV-Strahlung 18 zu generieren. Insbesondere weist die Strahlungsquelle 14 eine Laserquelle 20, ein LPP-Modul 22 und ein EUV-Modul 24, wie vorstehend in 1 beschrieben, auf. Insbesondere weist die Laserquelle 20 ein oder mehrere Hochleistungs-CO2-Lasersysteme auf.
  • Die EUV-Lithografievorrichtung 12 verwendet auch eine Beleuchtungseinrichtung 50. In verschiedenen Ausführungsformen weist die Beleuchtungseinrichtung 50 auf: verschiedene optische Brechungskomponenten, wie z.B. eine einzelne Linse oder ein Linsensystem, das mehrere Linsen (Zonenplatten) aufweist, oder alternativ Reflexionsoptiken (für das EUV-Lithografiesystem), wie z.B. einen einzelnen Spiegel oder ein Spiegelsystem, das mehrere Spiegel aufweist, um Licht von der Strahlungsquelle 14 auf einen Maskentisch 28 zu lenken. In der vorliegenden Ausführungsform, in der die Strahlungsquelle 14 Licht im EUV-Wellenlängenbereich generiert, werden reflektierende Optiken verwendet.
  • Die EUV-Lithografievorrichtung 12 weist den Maskentisch 28 auf, der zum Befestigen einer Maske 52 eingerichtet ist. In einigen Ausführungsformen weist der Maskentisch 28 eine elektrostatische Spannvorrichtung (e-Chuck) auf, um die Maske 52 zu befestigen. Dies liegt daran, dass Gasmoleküle EUV-Licht absorbieren und die EUV-Belichtungskammer 16 in einer Vakuumumgebung gehalten wird, um einen EUV-Intensitätsverlust zu vermeiden. In der Offenbarung werden die Begriffe Maske, Fotomaske und Retikel verwendet, um auf den gleichen Gegenstand zu verweisen. In der vorliegenden Ausführungsform ist die EUV-Lithografievorrichtung 12 ein EUV-Lithografiesystem, und die Maske 52 ist eine Reflexionsmaske. Eine Beispielstruktur der Maske 52 ist zur Veranschaulichung bereitgestellt. Die Maske 52 weist ein Substrat mit einem geeigneten Material auf, wie z.B. einem Material mit geringer Wärmeausdehnung (low thermal expansion material, LTEM) oder Quarzglas. In verschiedenen Beispielen weist das LTEM TiO2-dotiertes SiO2 oder andere geeignete Materialien mit geringer Wärmeausdehnung auf. Die Maske 52 weist reflektierende Mehrfachschichten (ML) auf, die auf dem Substrat abgeschieden sind. Die ML weist mehrere Filmpaare auf, wie z.B. Molybdän-Silizium-Filmpaare (Mo/Si-Filmpaare) (z.B. eine Schicht aus Molybdän über oder unter einer Schicht aus Silizium in jedem Filmpaar). Alternativ kann die ML Molybdän-Beryllium -Filmpaare (Mo/Be-Filmpaare) aufweisen, oder andere geeignete Materialien, die ausgestaltet werden können, um das EUV-Licht stark zu reflektieren. Die Maske 52 kann ferner eine Abdeckschicht, wie z.B. Ruthenium (Ru), aufweisen, die auf der ML zum Schutz der ML vor einer Oxidation angeordnet ist. Die Maske 52 weist ferner eine Absorptionsschicht auf, wie z.B. eine Tantalbornitrid-Schicht (TaBN-Schicht), die über der ML abgeschieden ist. Die Absorptionsschicht wird strukturiert, um eine Schicht einer integrierten Schaltung (IC) zu definieren. Alternativ kann eine andere Reflexionsschicht über der ML abgeschieden werden und wird strukturiert, um eine Schicht einer integrierten Schaltung zu definieren, wodurch eine EUV-Phasenverschiebungsmaske ausgebildet wird.
  • Die EUV-Lithografievorrichtung 12 weist auch ein optisches Projektionsmodul (oder optische Projektionsbox (POB)) 54 für ein Abbilden der Struktur der Maske 52 auf ein auf einem Substrattisch 30 der EUV-Lithografievorrichtung 12 befestigtes Halbleitersubstrat 56 auf. In der vorliegenden Ausführungsform weist die POB 54 Reflexionsoptiken zum Projizieren des EUV-Lichts auf. Das EUV-Licht, das das Bild der auf der Maske 52 definierten Struktur trägt, wird von der Maske 52 gelenkt und wird durch die POB 54 gesammelt. Die Beleuchtungseinrichtung 50 und die POB 54 werden gemeinsam als ein optisches Modul der EUV-Lithografievorrichtung 12 bezeichnet.
  • Die EUV-Lithografievorrichtung 12 weist außerdem die Substratbühne (oder Waferbühne) 30 auf, um das Halbleitersubstrat 56 zu befestigen. In der vorliegenden Ausführungsform ist das Halbleitersubstrat 56 ein Halbleiterwafer, wie z.B. ein Siliziumwafer oder ein anderer Typ von Wafer, der strukturiert werden soll. Das Halbleitersubstrat 56 wird mit der Fotolackschicht beschichtet, die für den Strahlungsstrahl, z.B. ein EUV-Licht in der vorliegenden Ausführungsform, empfindlich ist. Verschiedene Komponenten, einschließlich jener vorstehend beschriebenen, sind gemeinsam integriert und sind betreibbar, um EUV-Lithografiebelichtungsprozesse durchzuführen.
  • In einigen Ausführungsformen ist das 3DDM 34 oder Abschnitte davon in die EUV-Lithografievorrichtung 12 eingebettet und damit mit einer Ausgestaltung und einem Mechanismus integriert, um verschiedene Parameter der Strahlungsquelle 14 zu überwachen. In verschiedenen Ausführungsformen weist das 3DDM 34 einen Laserwächter 34A, einen Plasmawächter 34B, einen EUV-Wächter 34C, andere geeignete Wächter oder eine Kombination davon auf.
  • In verschiedenen Ausführungsformen weist das Überwachungsmodul 34 den Laserwächter 34A auf, der dazu eingerichtet ist, einen Laserstrahl, wie z.B. ein (räumliches) Laserstrahlprofil und die Laserstrahlprofilschwankung im Verlauf der Zeit, zu überwachen.
  • In einigen Ausführungsformen weist das Überwachungsmodul 34 den Plasmawächter 34B auf, der dazu eingerichtet ist, ein Plasma, wie z.B. eine räumliche Plasmaverteilung und die Schwankung der Plasmaverteilung im Verlauf der Zeit, zu überwachen.
  • In verschiedenen Ausführungsformen weist das Überwachungsmodul 34 den EUV-Wächter 34C auf, der dazu eingerichtet ist, eine EUV-Strahlung, wie z.B. ein (räumliches) EUV-Strahlungsprofil und die Schwankung des EUV-Strahlungsprofils im Verlauf der Zeit, zu überwachen.
  • In einigen Ausführungsformen ist der Plasmawächter 34B mit einem Mechanismus ausgelegt, um zusätzlich oder alternativ Plasmastabilität des Plasmas zu überwachen. Der Plasmazustand der Strahlungsquelle 14 variiert im Verlauf der Zeit. Zum Beispiel wird ein Zielmaterial verwendet, um Plasma zu generieren, und der Zustand des Zielmaterials ändert sich im Verlauf der Zeit, z.B. ändert sich die Tröfpchengröße, die Ionisierungsrate vom Zielmaterial (die nachstehend beschrieben sein wird), und die Plasmakonzentration ändert sich dementsprechend. Die Schwankung des Plasmazustands verursacht außerdem die Schwankung der EUV-Intensität im Lithografiebelichtungsprozess. In einigen Beispielen überwacht ein separater Wächter, der zum Überwachen der Plasmastabilität bestimmt ist, den Plasmazustand.
  • In einigen Beispielen weist das Überwachungsmodul 34 einen Ausnutzungswächter mit einem Mechanismus zum Überwachen der Ausnutzung der Zielmaterialtröpfchen in der Dosisspanne auf. Der Ausnutzungswächter verfolgt die historischen Daten der Ausnutzung der Zielmaterialtröpfchen für die zuvor in der EUV-Lithografievorrichtung 12 verarbeiteten Halbleiterwafer. Alternativ ist der Ausnutzungswächter in den Plasmawächter 34B integriert, um verschiedene mit dem Plasma assoziierte Parameter zu überwachen. Die Dosisspanne und andere Begriffe werden später weiter beschrieben.
  • In einigen anderen Ausführungsformen kann die Funktion des Plasmawächters 34B durch den EUV-Wächter 34C implementiert werden. Zum Beispiel bezieht sich der Dosisfehler auf die Plasmainstabilität, durch Überwachen der EUV-Energie durch den EUV-Wächter 34C wird der Dosisfehler aus der überwachten EUV-Energie extrahiert. Die EUV-Lithografievorrichtung 12 kann ferner andere Module aufweisen oder mit anderen Modulen integriert (oder gekoppelt) sein.
  • In einigen Ausführungsformen weist die EUV-Lithografievorrichtung 12 ein Gasversorgungsmodul auf, das so ausgelegt ist, dass es Wasserstoffgas an die Strahlungsquelle 14 bereitstellt, das die Strahlungsquelle 14 (wie z.B. den Kollektor) wirksam vor Kontaminationen schützt. In anderen Ausführungsformen weist die EUV-Lithografievorrichtung 12 einen Magneten auf, der dazu eingerichtet ist, das Plasma durch das entsprechende Magnetfeld zu führen.
  • Insbesondere ist die Strahlungsquelle 14 ferner in 3 in einer schematischen Ansicht dargestellt, aufgebaut gemäß einigen Ausführungsformen. Die Strahlungsquelle 14 nutzt einen LPP-Mechanismus (LPP: laserproduziertes Plasma), um Plasma zu generieren und ferner EUV-Licht aus dem Plasma zu generieren. Die Strahlungsquelle 14 weist einen oder mehrere Laser 20 auf, wie z.B. einen gepulsten Kohlendioxid-Laser (CO2-Laser), um einen Laserstrahl 62 zu generieren. In einer veranschaulichenden Ausführungsform weist die Laserquelle 20 zwei Laservorrichtungen auf, eine zum Generieren eines Vorimpulsauftreffens auf ein Zielmaterial 68 und eine andere zum Generieren eines Hauptimpulsauftreffens auf das Zielmaterial 68. Der Laser 20 kann ferner einen oder mehrere Laserverstärker aufweisen, um die Leistung des Laserstrahls weiter zu verstärken. In der offenbarten Ausführungsform können zwei Laservorrichtungen jeweils einen Laserverstärker aufweisen oder alternativ eine Laserleistung gemeinsam nutzen. Der Laserstrahl 62 wird durch ein Ausgangsfenster 64 gelenkt, das mit einem Kollektor (auch als LPP-Kollektor oder EUV-Kollektor bezeichnet) 66 integriert ist. Das Ausgangsfenster 64 wendet ein geeignetes Material an, das für den Laserstrahl im Wesentlichen transparent ist. Der Kollektor 66 ist mit geeigneten Beschichtungsmaterialien und -formen ausgelegt, die als ein Spiegel für die EUV-Sammlung, -Reflexion und -Fokussierung wirken. In einigen Ausführungsformen ist der Kollektor 66 derart ausgelegt, dass er eine ellipsoidische Geometrie mit dualen Fokussen, wie z.B. einem Primärfokus und einem Zwischenfokus, aufweist. In einigen Ausführungsformen ist das Beschichtungsmaterial des Kollektors 66 ähnlich der reflektierenden Mehrfachschicht der EUV-Maske 52. In einigen Beispielen weist das Beschichtungsmaterial des Kollektors 66 eine ML (wie z.B. mehrere Mo/Si-Filmpaare) auf und kann ferner eine Abdeckschicht (wie z.B. Ru) aufweisen, die auf der ML aufgeschichtet ist, um das EUV-Licht im Wesentlichen zu reflektieren. In einigen Ausführungsformen kann der Kollektor 66 ferner eine Gitterstruktur aufweisen, die dazu ausgelegt ist, den auf den Kollektor 66 gerichteten Laserstrahl wirksam zu streuen. Zum Beispiel wird eine Siliziumnitridschicht auf den Kollektor 66 aufgeschichtet und wird derart strukturiert, dass sie eine Gitterstruktur aufweist.
  • Der Laserstrahl 62 wird gelenkt, um ein Zielmaterial 68 zu erhitzen, wodurch ein Hochtemperaturplasma generiert wird, das ferner eine EUV-Strahlung (oder ein EUV-Licht) 18 erzeugt. In der vorliegenden Ausführungsform ist das Zielmaterial 68 Zinn (Sn). Das Zielmaterial 68 wird in Tröpfchen geliefert. Jene Zielmaterialtröpfchen (wie z.B. Zinntröpfchen) werden auch einfach als Tröpfchen bezeichnet. Die EUV-Strahlung 18 wird durch den Kollektor 36 gesammelt. Der Kollektor 66 reflektiert ferner und fokussiert die EUV-Strahlung für die Lithografiebelichtungsprozesse.
  • Die Strahlungsquelle 14 wird in einem umschlossenen Raum (der als ein Quellengefäß bezeichnet wird) eingerichtet. Das Quellengefäß wird in einer Vakuumumgebung gehalten, da die Luft die EUV-Strahlung absorbiert. In einigen Ausführungsformen ist das Quellengefäß ferner zum Schutz des Quellengefäßes vor Kontaminationen mit Wasserstoff bereitgestellt. In einigen Ausführungsformen ist das 2DDM 34 in die Strahlungsquelle eingebettet und ist dazu eingerichtet, verschiedene Parameter der Strahlungsquelle zu überwachen.
  • Die Strahlungsquelle 14 kann ferner mehrere andere Komponenten aufweisen, die gemeinsam integriert sind, wie z.B. jene, die in 4 dargestellt sind. 4 ist eine schematische Ansicht einer Strahlungsquelle 14, die gemäß einigen Ausführungsformen aufgebaut ist. Die Strahlungsquelle 14 nutzt einen LPP-Mechanismus. Die Strahlungsquelle 14 weist einen Laser 20 auf, wie z.B. einen gepulsten CO2-Laser, um einen Laserstrahl 62 zu generieren. Der Laserstrahl 62 wird durch einen Strahlliefersystem 72, wie z.B. einen oder mehrere eingerichtete Spiegel, auf eine Fokussierlinse 74 gelenkt, um den Laserstrahl 62 zu fokussieren. Der Laserstrahl 62 wird ferner durch das mit dem Kollektor 66 integrierte Ausgangsfenster 64 projiziert. Der Laserstrahl 62 wird auf das Zielmaterial 68 (wie z.B. Zinntröpfchen 68) im Primärfokus des Kollektors 66 fokussiert, wodurch Hochtemperaturplasma generiert wird. Die Zinntröpfchen 68 werden durch einen Zinntröpfchengenerator 76 generiert. Ein Zinnfänger 78 ist ferner dazu eingerichtet, die Zinntröpfchen aufzufangen. Auf diese Weise generiertes Hochtemperaturplasma erzeugt ferner EUV-Strahlung 18, die durch den Kollektor 66 gesammelt wird. Der Kollektor 66 reflektiert ferner und fokussiert die EUV-Strahlung auf einen Zwischenfokus und wird weiter für EUV-Belichtungsprozesse gelenkt.
  • Die Impulse des Lasers 20 und die Tröpfchengenerierungsrate des Zinntröpfchengenerators 76 werden derart gesteuert, dass sie synchronisiert sind, so dass die Zinntröpfchen 68 konstant Spitzenleistungen von den Laserimpulsen des Lasers 20 erhalten. In einigen Beispielen liegt die Zinntröpfchengenerierungsfrequenz im Bereich von 20 kHz bis 100 kHz. Zum Beispiel weist der Laser 20 eine Laserschaltung auf, die zum Steuern der Generierung der Laserimpulse ausgelegt ist. Die Laserschaltung und der Zinntröpfchengenerator 76 sind gekoppelt, um die Generierung der Laserimpulse und die Generierungen der Zinntröpfchen 68 zu synchronisieren.
  • In einigen Ausführungsformen weist die Strahlungsquelle 14 ferner eine zentrale Verdunkelung 79 auf, die ausgelegt und dazu eingerichtet ist, den Laserstrahl 62 zu verdunkeln. Die Strahlungsquelle 14 kann ferner ein Zwischenfokus-Abdeckmodul (IF-Abdeckmodul) 80, wie z.B. ein IF-Abdeck-Schnellverbindungsmodul, aufweisen, das dazu eingerichtet ist, die EUV-Strahlung 18 zum Zwischenfokus 81 mit verbessertem Konversionsgewinn zu lenken. Das IF-Abdeckmodul 80 kann zusätzlich wirken, um den Laserstrahl 62 für eine verbesserte Leistungsfähigkeit zu verdunkeln.
  • Die Strahlungsquelle 14 kann außerdem mit anderen Einheiten/Modulen integriert oder mit ihnen gekoppelt sein. Zum Beispiel ist ein Gasversorgungsmodul mit der Strahlungsquelle 14 gekoppelt, wodurch Wasserstoffgas zu verschiedenen Schutzfunktionen, die wirksames Schützen des Kollektors 66 vor Kontaminationen durch Zinnpartikeln (Zinnrückstände) aufweisen, bereitgestellt wird.
  • Die Zielmaterialtröpfchen 68 und die EUV-Strahlung 18, und der entsprechende Mechanismus werden ferner in 5 dargestellt. Die Zielmaterialtröpfchen 68 werden in Bursts 82 gruppiert, die durch dazwischenliegende Zeit und dazwischenliegende Tröpfchen 84 getrennt sind. In den vorliegenden Ausführungsformen werden die dazwischenliegenden Tröpfchen 84 während des EUV-Belichtungsprozesses nicht durch den Laserstrahl 62 angeregt.
  • Während eines EUV-Belichtungsprozesses wird eine Reihe von Bursts 82 in der Strahlungsquelle 14 bereitgestellt. Jeder Burst 82 weist mehrere Zielmaterialtröpfchen 68 auf und ist dazu eingerichtet, eine bestimmte EUV-Energie (die als Burstzielenergie oder BTE bezeichnet wird) während des EUV-Belichtungsprozesses bereitzustellen. Wenn ein Halbleitersubstrat 56 unter Verwendung der EUV-Energie durch das Lithografiesystem 10 belichtet wird, kann die Belichtungsdosis erreicht werden, wenn jeder Burst 82 eine EUV-Energie zur Burstzielenergie beiträgt. Die Zielmaterialtröpfchen 68 in jedem Burst sind in zwei Kategorien definiert: Dosiströpfchen 86 und Randtröpfchen 88. Während eines EUV-Belichtungsprozesses sollen die Dosiströpfchen 86 in jedem Burst 82 durch den Laser angeregt werden, um Plasma und entsprechend plasmagenerierte EUV-Strahlung mit einer EUV-Energie, die die Burstzielenergie erreicht, zu generieren. Die Randtröpfchen 88 in jedem Burst 82 sind für eine Dosissteuerung reserviert und werden als eine Unterstützung für die Dosiströpfchen verwendet, um die EUV-Energie des Bursts aufrechtzuerhalten, um die Burstzielenergie zu erreichen. Die Randtröpfchen 88 werden gemeinsam als Dosisspielraum bezeichnet. Aufgrund der Instabilität der Plasmaintensität tragen nicht alle Tröpfchen zur nominellen EUV-Energie bei. Wenn zum Beispiel das lasergenerierte Plasma von einem Dosiströpfchen eine geringere Dichte aufweist, ist die von jenem Dosiströpfchen gesammelte EUV-Energie kleiner als das normale Niveau. Wenn die aus den Dosiströpfchen 86 im Burst 82 generierte EUV-Energie die Burstzielenergie nicht erreichen kann, werden die Randtröpfchen 88 oder eine Teilgruppe davon angeregt, um zusätzliche EUV-Energie beizutragen, so dass die gesamte EUV-Energie aus dem Burst 82 die Burstzielenergie erreicht. Die Anzahl von Zielmaterialtröpfchen in jedem Burst beträgt Nt. Die Anzahl von Dosiströpfchen 86 in jedem Burst ist derart ausgelegt, dass sie Nd beträgt, und die Anzahl der Randtröpfchen in jedem Burst ist derart ausgelegt, dass sie Nm beträgt. Es besteht eine Beziehung zwischen diesen Parameter, und zwar Nt = Nd +Nm. Wenn Nt gegeben ist, verringert daher ein Erhöhen des Dosisspielraums die Burstzielenergie.
  • In einigen Ausführungsformen kann die Laserquelle 20 zwei oder mehr Laservorrichtungen aufweisen, die derart eingerichtet sind, dass die entsprechenden Laserstrahlen 62 sequenziell zu einem Zielmaterialtröpfchen (z.B. einem Sn-Tröpfchen) 68 gelenkt werden, wenn sich das Tröpfchen vom Tröpfchengenerator 76 zum Tröpfchenfänger 78 bewegt. Daher werden die Energien aus Laserstrahlen der verschiedenen Laservorrichtungen kumuliert, um einen Zielwert zu erreichen, so dass das lasererzeugte Plasma in der Lage ist, die gewünschte EUV-Strahlung zu generieren. Dies wird weiter unter Bezugnahme auf 6 beschrieben. 6 ist eine schematische Ansicht des Tröpfchens 68, das mit Laserstrahlen interagiert, und eines Prozesses vom Laser zum Plasma und ferner zur EUV-Strahlung, der gemäß einigen Ausführungsformen aufgebaut ist. Die horizontale Achse 94 gibt die Zeit (nicht maßstäblich) oder den Fortschritt der EUV-Generierung über die Zeit an.
  • Wie in 6 dargestellt, wird das Zielmaterialtröpfchen 68, wie z.B. ein Zinntröpfchen, in einer kugelförmigen Flüssigkeit durch einen ersten Laserstrahl (Laser-Vorimpuls oder Laser-PP) getroffen und ändert auf seinem Pfad seine Form, z.B. eine Pfannkuchenform. Danach trifft ein zweiter Laserstrahl (Laserhauptimpuls oder Laser-MP) auf das Zielmaterialtröpfchen 68 und verwandelt es in Plasma 91 (wie z.B. Zinnplasma oder Sn-Plasma), das ferner EUV-Strahlung 18 generiert. Indessen werden auch Rückstände 92 aus dem Plasma in verschiedenen Formen, wie z.B. Sn-Partikeln, generiert und werden ferner auf der Fläche des EUV-Kollektors 66 abgelagert, wodurch Kontaminationen verursacht werden, die die Reflexionseffizienz des EUV-Kollektors 66 reduzieren, mehr Ausrüstungsausfallzeit des Lithografiesystems 10 herbeiführen, und die Herstellungskosten erhöhen. Der vorstehende Prozess der Energietransformation umfasst einen Übergang von einer Laserenergie zur Plasmaenergie, und einen Übergang von der Plasmaenergie zur EUV-Energie. Zwischen dem PP und dem MP wird, wie zwischen t1 und t2 in 6 angezeigt, das Zielmaterialtröpfchen 68 beim Laservorimpuls getroffen und sein physikalischer Zustand wird geändert, z.B. wird seine Form geändert und fragmentiert, und es werden Sn-Ionen generiert. Wie in einem Bereich zwischen t2 und t2 in 6 angezeigt, wird das Zielmaterialtröpfchen 68 durch den Laserhauptimpuls getroffen und es ändert seinen physikalischen Zustand, wie z.B. es wird verdampft und ionisiert, was zu einem Zustand eines Plasmas 91 führt. Nach dem MP weist, wie in einem Bereich zwischen t3 und t4 in 6 angezeigt, das Plasma 91 nach dem Laserhauptimpuls Abschnitte mit hinreichender EUV-Strahlung 18 und begleitende Rückstände 92, die auf der Fläche des EUV-Kollektors 66 abgelagert werden, auf. Die EUV-Strahlung 18 wird zur Fotomaske 52 für lithografische Prozesse gelenkt.
  • 7 ist ein Blockdiagramm des EUV-Steuerungssystems 32, das gemäß einigen Ausführungsformen aufgebaut ist. Das 3DDM 34 ist in 1 beschrieben. Zum Beispiel weist das 3DDM 34 den Laserwächter 34A, den Plasmawächter 34B, den EUV-Wächter 34C, und andere geeignete Wächter auf, die zum Überwachen eines entsprechenden Signals der Strahlungsquelle 14 und Sammeln der Daten davon ausgelegt sind. Es wird hier der Einfachheit halber nicht wiederholt.
  • In einigen Ausführungsformen kann das 3DDM 34 ferner einen Kontaminationswächter 34D aufweisen, der mit einem Mechanismus zum Detektieren der Kontamination vom Zielmaterial, wie z.B. Sn-Partikeln, wenn das Plasma durch den Laser generiert wird, ausgelegt ist. Jene Sn-Partikel können generiert werden, wenn das Plasma durch den Laserstrahl generiert wird, und können auf den Flächen des EUV-Kollektors 66 abgelagert werden, wodurch eine Kontamination und eine Verschlechterung des EUV-Reflexionsvermögens des EUV-Kollektors 66 verursacht wird. In einigen Ausführungsformen weist der Kontaminationswächter 34D eine oder mehrere Fotodioden auf, die für den Laserstrahl von der Laserquelle 20 empfindlich und dazu eingerichtet sind, den Laserstrahl zu empfangen. Insbesondere ist der Laserwächter 34A in der Lage, 3D-Daten des Laserstrahls zu sammeln. Zum Beispiel weist der Laserwächter 34A mehrere Fotodioden auf, die in einem Array mit einer Ausgestaltung eingerichtet sind, so dass der Laserstrahl von der Laserquelle 20 effektiv eingefangen und gesammelt werden kann. In anderen Ausführungsformen weist der Laserwächter 34A andere geeignete Detektoren auf (die für den Laserstrahl von der Laserquelle 20 empfindlich sind), wie z.B. Fotovervielfacher, Optoisolatoren, Elemente integrierter optischer Schaltungen (IOC-Elemente), Fotowiderstände, fotoleitende Kameraröhren, ladungsgekoppelte Bildgebungsvorrichtungen, Injektionslaserdioden, Quantenkaskadenlaser, fotoemittierende Kameraröhren oder eine Kombination davon.
  • Der Plasmawächter 34B weist eine beliebige geeignete Technologie auf, die für das durch den Laserstrahl der Laserquelle 20 durch das LPP-Modul 22 generierte Plasma empfindlich ist. In einigen Ausführungsformen weist der Plasmawächter 34B einen oder mehrere Faraday-Ringe auf, die für das durch den Laserstrahl generierte Plasma (wie z.B. die Plasmadichte) empfindlich sind und dazu eingerichtet sind, das Plasma effektiv zu überwachen. Insbesondere ist der Plasmawächter 34B in der Lage, 3D-Daten des Plasmas, wie z.B. eine Plasmadichteverteilung, zu sammeln. Zum Beispiel weist der Plasmawächter 34B mehrere Faraday-Ringe auf, die in einem Array mit einer Ausgestaltung eingerichtet sind, so dass ein vom LPP-Modul 22 generiertes Plasma effektiv gesammelt werden kann. In anderen Ausführungsformen weist der Plasmawächter 34B andere geeignete Detektoren auf (die für die Plasmadichte empfindlich sind), wie z.B. einen Lichtstreudetektor, einen Elektronenvervielfacher, oder eine Kombination davon.
  • Der EUV-Wächter 34C weist eine beliebige geeignete Technologie auf, die für die aus dem Plasma generierte EUV-Strahlung 18 empfindlich ist. In einigen Ausführungsformen weist der EUV-Wächter 34C eine oder mehrere Fotodioden auf, die für die EUV-Strahlung 18 empfindlich und dazu eingerichtet sind, die EUV-Strahlung 18 zu empfangen. Der Mechanismus der Abtasteinheit des EUV-Wächters 34C kann jenem der Abtasteinheit des Laserwächters 34A ähnlich sein, da beide Fotonen, jedoch Fotonen in verschiedenen Spektralbereichen, abtasten. In einigen Beispielen weist der EUV-Wächter 34C mehrere Fotodioden auf, die in einem Array mit einer Ausgestaltung eingerichtet sind, so dass die EUV-Strahlung 18 aus dem Plasma (z.B. insbesondere von den EUV-Kollektoren reflektiert) effektiv gesammelt werden kann. In anderen Ausführungsformen weist der EUV-Wächter 34C andere geeignete Detektoren auf (die für die EUV-Strahlung 18) empfindlich sind, wie z.B. Fotovervielfacher, Fotowiderstände, Hybrid-Pixel-Detektoren, andere geeignete Vorrichtungen, oder eine Kombination davon.
  • Das EUV-Steuerungssystem 32 weist ferner ein Analysemodul 40, ein Steuerungsmodul 42 und eine Datenbank 44 auf. Verschiedene Parameter der Strahlungsquelle 14 werden durch das 3DDM 34 überwacht und gesammelt, in der Datenbank 44 gespeichert, durch das Analysemodul 40 analysiert, und an das Steuerungsmodul 42 rückgekoppelt, um die Strahlungsquelle 14 für das verbesserte Lithografiesystem 10 und verbesserte lithografische Prozesse, die durch das Lithografiesystem 10 implementiert werden, zu steuern.
  • Die Datenbank 44 weist eine physische Struktur auf, wie z.B. eine Speichervorrichtung mit einem Eingang und einem Ausgang für eine Datenübertragung einwärts und auswärts. Zu Beispielen der Speichervorrichtung gehören eine nichtflüchtige Speichervorrichtung (NVM-Vorrichtung), wie z.B. eine Flash-Speichervorrichtung oder ein ferroelektrischer Direktzugriffsspeicher (RAM), ein flüchtiger Speicher, wie z.B. eine statische RAM-Vorrichtung (SRAM-Vorrichtung), eine andere geeignete Speichervorrichtung, oder eine Kombination davon. Die Datenbank 44 weist verschiedene Abschnitte zum Speichern jeweiliger Daten auf, wie z.B. eine Datenbankeinheit 44A für Laserprofildaten, eine Datenbankeinheit 44B für Zielkontaminationsdaten, eine Datenbankeinheit 44C für Plasmaverteilungsdaten, eine Datenbankeinheit 44D für EUV-Strahlungsdaten, und eine Datenbankeinheit 44E für Analysedaten. Die Datenbank 44 kann ferner andere geeignete Dateneinheiten für verschiedene Daten, die mit der Strahlungsquelle 14 oder sogar der EUV-Lithografievorrichtung 12 assoziiert sind, aufweisen.
  • Das Analysemodul 40 weist verschiedene Korrelationsanalyseeinheiten 40A auf, die die Korrelationen zwischen verschiedenen Parametern analysieren, wie z.B. eine Korrelation zwischen dem Laserstrahlprofil und der Plasmaverteilung, eine Korrelation zwischen der Plasmaverteilung und der EUV-Strahlungsenergie, eine Korrelation zwischen dem Laserstrahlprofil und den Zielmaterialrückständen, eine Korrelation zwischen dem Laserstrahlprofil und den Zielmaterialrückständen, eine Korrelation zwischen dem Laserstrahlprofil und der EUV-Energie, und andere Korrelationen.
  • Ein Korrelationsbeispiel ist in 8 dargestellt und wird nachstehend ausführlich beschrieben. 8(a) ist ein Laserstrahlprofil, das in der Intensität (I) des Laserstrahls (I) gegenüber der XY-Fläche ausgedrückt ist. Die XY-Fläche ist als eine Fläche in 3 definiert. Der Laserstrahl wird entlang einer Z-Richtung von der Laserquelle 20 zum Zielmaterial 68 gelenkt, während die X- und Y-Richtungen zwei senkrechte Richtungen sind, die in einem kartesischen Koordinatensystem definiert sind. Die XY-Fläche ist als eine flache Fläche definiert, die die Z-Achse an der Position der Zielmaterialtröpfchen 68 schneidet. Das entsprechende Profil des Laserstrahls ist ebenfalls in 8(b) in einer schematischen Ansicht dargestellt. Zwei Achsen repräsentieren X und Y, während die weitere Achse die Intensität (I) des Laserstrahls repräsentiert. Die entsprechende Verteilung oder das entsprechende Profil des Laserstrahls ist ferner in 8(c) in einer schematischen Ansicht dargestellt. Eine Achse repräsentiert X, während die andere Achse die Intensität (I) des Laserstrahls repräsentiert. Zwei Beispielprofile 96 und 98 sind in 8(c) dargestellt. Das erste Profil 96 des Laserstrahls weist eine Gaußverteilung auf und das erste Profil 96 des Laserstrahls weist eine ungleichmäßige Verteilung auf, die von der Gaußverteilung verschieden ist. In der offenbarten Ausführungsform führt das erste Profil 96 des Laserstrahls zu einem besser generierten Plasma 91 und weniger Rückständen und ferner zu einer höheren EUV-Strahlung 18 mit größerer Umwandlungseffizienz (CE); und das zweite Profil 98 des Laserstrahls führt zu einem schwach generierten Plasma 91 und mehr Rückständen, und ferner zu einer verschlechterten EUV-Strahlung 18 mit niedrigerer CE. In diesem Beispiel ist das Laserstrahlprofil mit dem Plasmaprofil, den Rückständen und der EUV-Strahlungsenergie korreliert. Eine solche Korrelation stellt Informationen und eine Angabe bereit, wie (z.B. ein Laserstrahlprofil) für eine verbesserte EUV-Strahlung und weniger Rückstände abzustimmen ist.
  • Das Analysemodul 40 weist außerdem verschiedene Werkzeuganpassungseinheiten 40B auf, die verschiedene Parameter sammeln und analysieren, wie z.B. das Laserstrahlprofil, die Plasmaverteilung, die EUV-Strahlungsenergie, und den Rückstandbetrag verschiedener Lithografiesysteme, so dass nützliche Informationen extrahiert werden , die zum Abstimmen und Steuern eines Lithografiesystems für eine verbesserte EUV-Strahlung und weniger Rückstände rückgekoppelt werden.
  • Ein Beispiel ist in 9 dargestellt und wird nachstehend ausführlich beschrieben. Daten werden von verschiedenen lithografischen Werkzeugen gesammelt und im Hinblick auf den Laserstrahl und die Plasmaverteilung vergleichen. 9 zeigt das Laserstrahlprofil für verschiedene Lithografiesysteme, wie z.B. Werkzeug A bis Werkzeug E. Insbesondere wird das Laserstrahlprofil für jedes Werkzeug aus drei verschiedenen Phasen gesammelt: dem Vorimpuls-Laserstrahl am Zielmaterial 68; dem Hauptimpuls-Laserstrahl nach dem Laserverstärker; und dem Hauptimpuls-Laserstrahl am Zielmaterial 68. Verschiedene Strahlprofile aus jeweiligen Lithografiesystemen und jeweiligen Phasen sind in 9 dargestellt. Jedes davon kann von den anderen verschieden sein, wie z.B. ein kreisförmiges, ein exzentrisches, ein erdnussartiges, ein asymmetrisches Profil und so weiter. Es ist zu beachten, dass diese lediglich Veranschaulichungszwecken dienen. Entsprechende Plasmaverteilungen werden ferner von jenen Lithografiesystemen gesammelt. EUV-Strahlungsenergie und CE können ferner von jenen Lithografiesystemen gesammelt werden. Dann werden diese Daten analysiert, um eine Beziehung zwischen dem Laserstrahlprofil und der Plasmaverteilung, oder sogar eine Beziehung zwischen der Plasmaverteilung und der EUV-Strahlung zu finden. Insbesondere wird ferner die Beziehung zwischen dem Laserstrahlprofil und der Plasmaverteilung jedem der vorstehenden der Laserstrahlprofile zugeordnet.
  • In einigen Ausführungsformen ergibt die vorstehende Analyse folgende Ergebnisse. Jedes Lithografiesystem weist ein bestimmtes Laserstrahlprofil auf, was zu einer anderen Plasmathermodynamik und einem nichtlinearen Effekt während der Laser-Plasma-Entstehung führt. Bereiche größerer Laserintensität stellen eine größere EUV-Strahlung und eine größere CE bereit. Bereiche mit geringerer Laserintensität verursachen ein unzureichendes Erhitzen und einen unzureichenden Plasmagenerierungseffekt, was zu mehr Rückständen des Zielmaterials (wie z.B. Sn) führt. Eine weitere Analyse umfasst ein Vergleichen der Ähnlichkeit von Laserstrahlen zwischen verschiedenen Lithografiesystemen; und Bilden einer Korrelation zwischen dem Laserstrahlprofil und der EUV-Strahlungsenergie. Diese Ergebnisse können ferner in einer Rückkopplungsschleife verwendet werden, um die Laserquelle zu steuern und abzustimmen, einschließlich einer Laserneuausrichtung, Fokussierung des Laserstrahls und Zeitsteuerung, um das Zielmaterialtröpfchen 68 und den Impuls der Laserquelle zu synchronisieren.
  • Das Analysemodul 40 weist außerdem verschiedene Modellierungseinheiten 40C auf, die entsprechende Modelle (wie z.B. ein Laserstrahlmodell, ein Plasmamodell, ein EUV-Strahlungsmodell, ein Zielmaterialkontaminationsmodell) aus den gesammelten Daten für eine weitere Analyse (wie z.B. eine Korrelationsanalyse) bilden. Zum Beispiel kann die Korrelationsanalyse zwei Stufen umfassen. In der ersten Stufe werden die vom 3DDM 34 gesammelten Rohdaten zuerst verarbeitet, um die irrelevanten Daten oder Rauschen auszufiltern, wodurch vorverarbeitete Daten generiert werden, die auch als Modell des Laserstrahls, des Plasmas oder der EUV-Strahlung bezeichnet werden. In der zweiten Stufe werden die vorverarbeiteten Daten an die Korrelationseinheiten 40A für eine Korrelationsanalyse gesendet, die Korrelationen zwischen dem Laserstrahlprofil, der Plasmaverteilung, der EUV-Strahlungsenergie und den Zielmaterialrückständen umfasst.
  • Ein Beispiel ist in 10 dargestellt und wird nachstehend ausführlich beschrieben. In 10(a) wird das Laserstrahlprofil in einem kartesischen Koordinatensystem um das fokussierte Zielmaterialtröpfchen herum ausgedrückt. Das Bezugszeichen 102 repräsentiert das Laserstrahlprofil, während das Bezugszeichen 104 einen effektiven Bereich repräsentiert. Der effektive Bereich 104 gibt den Bereich an, in dem das durch den Laserstrahl 62 in diesem Bereich generierte Plasma effektiv und effizient EUV-Strahlung 18 generieren und genügend EUV-Energie bei der Erzeugung aufrechterhalten kann, die z.B. größer ist als ein vordefiniertes Kriterium. In einem Beispiel besteht dieses Kriterium darin, dass die EUV-Strahlungsintensität 5 mJ/m3 beträgt. Dies ist weiter in 10(b) dargestellt. Im offenbarten Modellierungsverfahren ist lediglich der effektive Bereich 104 von Bedeutung und wird weiter analysiert werden. Zur Förderung von Ausführungsformen wird der Raum, in dem die EUV-Energie verteilt ist, weiter in dreidimensionale Gitter (3D-Gitter) unterteilt, wie z.B. ein kubisches Gitter in einem kartesischen Koordinatensystem in 3D. Diese Gitter werden unter Verwendung des Kriteriums einzeln bewertet, um den effektiven Bereich zu bestimmen. Andere Bereiche werden entfernt und verworfen, wie in 10(c) dargestellt. Die geometrische Mitte des effektiven Bereichs 104 ist mit dem Bezugszeichen 106 gekennzeichnet. 10(d) stellt weitere Beispiele des Laserstrahlprofils 102 und des entsprechenden effektiven Bereichs 104 bereit. In diesem Fall sollte der Zielmaterialtröpfchen-Laserstrahl für eine verbesserte Generierung der EUV-Strahlung in der Mitte 106 des effektiven Bereichs 104 angeordnet werden. Dies kann zum Steuern und Abstimmen des Laserstrahls 62 und Synchronisieren des Zielmaterialtröpfchens 68 rückgekoppelt werden. 10 wird nachstehend mit anderen Einheiten des Analysemoduls 40 weiter beschrieben.
  • Das Analysemodul 40 weist außerdem eine oder mehrere Einheiten 40D des maschinellen Lernens auf, die verschiedene gesammelte oder vorverarbeitete Daten unter Verwendung einer oder mehrerer Technologien des maschinellen Lernens wie z.B. eines künstlichen neuronalen Netzes, analysieren. In einigen Ausführungsformen werden diese Daten als Trainingsdaten in die Einheit 40D des maschinellen Lernens unter Verwendung der verbesserten EUV-Strahlungsenergie als der gewünschten Ausgabe eingegeben, so dass die Einheit 40D des maschinellen Lernens die optimierten Bedingungen zum Generieren der erhöhten EUV-Strahlungsenergie und der verminderten Rückstandkontamination identifiziert. Diese Bedingungen können in das Steuerungsmodul 42 rückgekoppelt werden, um das entsprechende Lithografiesystem auf die optimierten Bedingungen für verbesserte Lithografieprozesse einzustellen und abzustimmen.
  • Eine Ausführungsform des Prozesses des maschinellen Lernens durch die Einheit 40D des maschinellen Lernens wird weiter unter Bezugnahme auf 10 beschrieben. In dieser Ausführungsform wird das vordefinierte EUV-Energiekriterium als die gewünschte Ausgabe verwendet, das Laserstrahlprofil und die entsprechende EUV-Strahlungsenergie werden als Trainingsdaten verwendet, die Einheit 40D des maschinellen Lernens könnte die gewünschte Position des Zielmaterialtröpfchens 68 identifizieren. In einem Beispiel zu Veranschaulichungszwecken befindet sich die gewünschte Position des Zielmaterialtröpfchens 68 an der Position (X=0,34 µm, Y=4,5 µm, und X=-112 µm), die dem Steuerungsmodul 42 zur Einstellung zugeführt werden kann.
  • Der Prozess des maschinellen Lernens durch die Einheit 40D des maschinellen Lernens wird weiter unter Bezugnahme auf 11 beschrieben. 11 weist eine Tabelle auf, die 4 Beispiele in 4 Spalten aufweist. In der Tabelle weist die zweite Zeile Laserstrahlprofile 102 auf, die erste Zeile weist die effektiven Bereiche 104 der Laserstrahle auf, die dritte Zeile weist die Ergebnisse des maschinellen Lernens auf. Für jedes Beispiel werden die effektiven Bereiche 108, die durch den Prozess des maschinellen Lernens erlangt werden, bereitgestellt, die ähnlich den effektiven Bereichen sind, die durch das vorstehend beschriebene Modellierungsverfahren erlangt werden. Die Ähnlichkeiten 110 beider sind in der vierten Zeile bereitgestellt. Die Ergebnisse zeigten an, dass Ähnlichkeiten groß sind und beide Verfahren wirksam sind.
  • 12 zeigt einen Prozess zum Sammeln von Daten, Analysieren der gesammelten Daten, Extrahieren der Informationen aus der Analyse und Steuern des Lithografiesystems für verbesserte lithografische Prozesse, indem verschiedene Module des EUV-Steuerungssystems 32 verwendet werden. In einigen Beispielen werden die Daten durch die Wächter 34 gesammelt und die gesammelten Daten weisen Zielbestimmungsdaten (die Zielmaterialposition in Bezug auf den Fokuspunkt des Laserstrahls) und 3DDM-Daten des Laserstrahlprofils, der Plasmaverteilung und der EUV-Strahlungsenergie auf. Die Analyse wird durch das Analysemodul 40 implementiert und kann ein Modellieren, eine Korrelationsanalyse, ein Werkzeuganpassen, maschinelles Lernen oder eine Kombination davon umfassen. In einem Beispiel generiert die Analyse die Korrelation zwischen der EUV-Strahlungsenergie und der Zielbestimmungsposition. Die Korrelationsergebnisse werden ferner in das Steuerungsmodul 42 rückgekoppelt, um die Laserquelle 20 (wie z.B. Laserstrahlausrichtung und -fokus) und die Zeiteinstellung des Zielmaterialtröpfchens 68 abzustimmen, so dass die Zielbestimmungsposition auf die Position mit erhöhter oder maximierter EUV-Strahlungsenergie abgestimmt wird. Insbesondere wird der effektive Bereich 104 mit höherer Verdampfung, höherer Ionenenergie und höherer EUV-Strahlungsenergie und einer geringeren Rückstandansammlung assoziiert, während der andere Bereich mit niedrigerer Verdampfung, niedrigerer Ionenenergie und niedrigerer EUV-Strahlungsenergie und einer größeren Rückstandansammlung assoziiert wird. Die Analyse umfasst außerdem ein Vergleichen der Ähnlichkeit zwischen dem Laserstrahlprofil und dem effektiven Bereich; ein Vergleichen einer 3D-Erfassungsrate zwischen dem Strahlprofil und dem effektiven Datenbereich; und ein Aufbauen eines zeitaufgelösten effektiven Modells zum Vergleichen der Zielbestimmungskarte.
  • 13 zeigt einen anderen Datenprozess, der ein Sammeln von Daten, ein Modellieren und Analysieren der gesammelten Daten umfasst. In 13(a) wird die Verteilung des Plasmas 91 in 3D-Modus gesammelt. Dann wird ein statistisches Kriterium, wie z.B. 30, verwendet, um Streudaten auszufiltern, was zu der vorverarbeiteten Verteilung (oder vorverarbeiteten Plasmaverteilung) 112 führt, wie in 13(b) dargestellt. Der Parameter σ stellt die Standardabweichung der Normalverteilung dar. Dann wird der Datenraum 114 aus der vorverarbeiteten Verteilung 112 extrahiert, wie in 13(c) dargestellt. Der Datenraum 114 definiert den Außenumriss der vorverarbeiteten Verteilung. Der effektive Bereich 116 wird im Datenraum 114 unter Verwendung einer geeigneten Analyse, wie z.B. einer Korrelationsanalyse, des maschinellen Lernens, eines anderen geeigneten Verfahrens oder einer Kombination davon, bestimmt, wie in 13(d) und (e) dargestellt. In einem veranschaulichenden Beispiel ist der effektive Bereich der Plasmabereich, in dem eine hinreichende EUV-Strahlungsenergie generiert wird. Außerdem wird der effektive Raum 116 aus dem effektiven Bereich 114 extrahiert, wie in 13(f) dargestellt. Der effektive Raum 116 stellt Informationen zum Steuern und Abstimmen des Lithografiesystems 10 für verbesserte lithografische Prozesse bereit. Zum Beispiel wird die geometrische Mitte des effektiven Raums 116 in das Steuerungsmodul 42 rückgekoppelt, um eine Ausrichtung des Laserstrahls 62 und eine Zeiteinstellung des Zielmaterialtröpfchens 68 für eine verbesserte EUV-Strahlung einzustellen.
  • Unter erneuter Bezugnahme auf 7 wird das Steuerungsmodul 42 ferner gemäß verschiedenen Ausführungsformen beschrieben. In einigen Ausführungsformen weist das Steuerungsmodul 42 eine Laserausrichtungseinheit 42A, eine Zielbestimmungspositionssteuereinheit 42B, eine Laserimpulsverzögerungs-Einstelleinheit 42C und eine Gefäßsteuereinheit 42D auf. Die Laserausrichtungseinheit 42 weist einen Mechanismus zum Einstellen einer Ausrichtung des Laserstrahls auf, so dass das Laserstrahlprofil entsprechend abgestimmt wird. Der Mechanismus der Laserausrichtungseinheit 42A weist auf: einen Schrittmotor, ein piezoelektrisches Material, einen anderen geeigneten Mechanismus oder eine Kombination davon, um die Ausrichtung des Laserstrahls einzustellen, optische Komponenten, um den Laserstrahl zu fokussieren, so dass das Laserstrahlprofil für eine erhöhte EUV-Strahlung optimiert ist.
  • Die Zielbestimmungspositionssteuereinheit 42B weist einen Mechanismus auf, um die Lieferung des Zielmaterialtröpfchen 68 einzustellen, so dass der Laserstrahl auf die richtige Position des Zielmaterialtröpfchens 68 fokussiert wird. Der Mechanismus der Zielbestimmungspositionssteuereinheit 42B weist eine Schaltung auf, um die Lieferzeit fein abzustimmen.
  • Die Laserimpulsverzögerungs-Einstelleinheit 42C weist einen Mechanismus auf, um die Zeit des Laserimpulses einstellen, so dass der Laserimpuls (Vorimpuls oder Hauptimpuls) zu einem richtigen Zeitpunkt generiert wird, so dass der Laserimpuls mit der Lieferung des Zielmaterialtröpfchens 68 synchronisiert ist, weswegen der Vorimpuls-Laserstrahl oder der Hauptimpuls-Laserstrahl auf die richtige Position des Zielmaterialtröpfchens 68 auftreffen kann. Der Mechanismus der Laserimpulsverzögerungs-Einstelleinheit 42C weist eine Schaltung zum Feinabstimmen der Laservorrichtung auf, um einen Laserimpuls zu einem richtigen Zeitpunkt zu generieren.
  • Die Gefäßsteuereinheit 42D weist einen oder mehrere Mechanismen auf, um verschiedene Parameter, wie z.B. Gefäßdruck, Gefäßgasdurchflussrate, und Gefäßtemperatur, der Strahlungsquelle 14 einzustellen, so dass der Laserstrahl 62, das Plasma 91 und die EUV-Strahlung 18 gemäß der Rückkopplung von der Datenanalyse optimiert werden. Diese Parameter sind für die Kontamination und EUV-Strahlung von Bedeutung. Zum Beispiel ist die Gefäßtemperatur ein Parameter, um die Verdampfung des Zielmaterials zu steuern, weswegen sie die Kontamination und die EUV-Strahlungsenergie beeinflusst. In einem anderen Beispiel sind die Gefäßwasserstoff-Durchflussrate und der Druck Parameter, um die Kontamination des Zielmaterials und die EUV-Strahlungsenergie zu steuern. Der Mechanismus der Gefäßsteuereinheit 42D weist auf: eine Durchflusssteuervorrichtung, um die Durchflussrate des Gefäßgases, wie z.B. die Wasserstoff-Durchflussrate, abzustimmen, eine Schaltung, um die Lieferzeit fein abzustimmen; einen Drucksensor und eine Durchflusssteuervorrichtung, um die Durchflussrate des Gefäßgases abzustimmen, so dass der Gefäßdruck optimiert wird; und einen Wärmesensor und eine Schaltung, um die Leistung der Heizeinrichtung zu steuern, so dass die Gefäßtemperatur für eine verbesserte EUV-Strahlung optimiert wird.
  • 14 zeigt ein Ablaufdiagramm des Verfahrens 120 für einen EUV-Lithografieprozess, der durch das Lithografiesystem 10 implementiert wird, aufgebaut gemäß einigen Ausführungsformen.
  • Das Verfahren 120 umfasst einen Vorgang 122, indem eine EUV-Fotomaske 52 in das Lithografiesystem 10 geladen wird, das betreibbar ist, um einen EUV-Lithografiebelichtungsprozess durchzuführen. Die Fotomaske 52 weist eine IC-Struktur auf, die auf ein Halbleitersubstrat, wie z.B. einen Halbleiterwafer 56, übertragen werden soll. Der Vorgang 122 kann ferner verschiedene Schritte, wie z.B. ein Befestigen der Fotomaske 52 auf dem Maskentisch 28 und ein Durchführen einer Ausrichtung, umfassen.
  • Das Verfahren 120 umfasst einen Vorgang 124, indem der Wafer 56 in das Lithografiesystem 10 geladen wird. Der Wafer 56 wird mit einer Fotolackschicht beschichtet. In der vorliegenden Ausführungsform ist die Fotolackschicht für die EUV-Strahlung 18 von der Strahlungsquelle 14 des Lithografiesystems 10 empfindlich.
  • Das Verfahren 120 umfasst einen Vorgang 126, indem das Lithografiesystem 102 gesteuert wird, insbesondere die Strahlungsquelle 14 für eine verbesserte EUV-Strahlung eingestellt wird. Der Vorgang 126 umfasst ferner mehrere Schritte (oder Teilvorgänge), um das Lithografiesystem 10 für verbesserte Lithografieprozesse einzustellen und abzustimmen. In der offenbarten Ausführungsform umfasst der Vorgang 126 einen Schritt 136, um Daten der Strahlungsquelle 14 durch das Überwachungsmodul 34 zu sammeln, einen Schritt 138, um die gesammelten Daten durch das Analysemodul 40 zu analysieren; und einen Schritt 140, um die Strahlungsquelle 14 durch das Steuerungsmodul 42 gemäß den im Schritt 138 erlangten Analyseergebnissen einzustellen.
  • Insbesondere umfasst in einigen Ausführungsformen das Sammeln von Daten der Strahlungsquelle 14 durch das Überwachungsmodul 34 ein Sammeln von Daten des Laserstrahlprofils durch den Laserwächter 34A; ein Sammeln von Daten der Plasmaverteilung durch den Plasmawächter 34B; ein Sammeln von Daten der EUV-Strahlungsenergie durch den EUV-Wächter 34C; ein Sammeln von Daten über eine Sn-Kontamination durch den Sn-Kontaminationswächter 34D; oder eine Kombination davon. In einigen Ausführungsformen umfasst das Analysieren der gesammelten Daten durch das Analysemodul 40 ein Analysieren der gesammelten Daten durch die Korrelationsanalyseeinheit 40A; ein Analysieren der gesammelten Daten durch die Werkzeuganpassungseinheit 40B; ein Analysieren der gesammelten Daten durch die Modellierungseinheit 40C; ein Analysieren der gesammelten Daten durch die Einheit 40D des maschinellen Lernens; oder eine Kombination davon. Das Einstellen der Strahlungsquelle 14 durch das Steuerungsmodul 42 umfasst: Einstellen des Laserstrahlprofils durch die Laserausrichtungseinheit 42A; Einstellen der Lieferung des Zielmaterialtröpfchens durch die Zielbestimmungspositionssteuereinheit 42B; Einstellen der Synchronisation des Laserimpulses (Vorimpulses oder Hauptimpulses) durch die Laserimpulsverzögerungs-Einstelleinheit 42C; Einstellen des Gefäßdrucks, der GefäßgasDurchflussrate und/oder der Gefäßtemperatur durch die Gefäßsteuereinheit 42D; oder eine Kombination davon.
  • Das Verfahren 120 umfasst einen Vorgang 128, indem ein Lithografiebelichtungsprozess am Wafer 56 im Lithografiesystem 10 durchgeführt wird. Im Vorgang 128 werden der Laser 20 und der Zinntröpfchengenerator 68 mithilfe eines geeigneten Mechanismus, wie z.B. einer Steuerschaltung mit Timer zum Steuern und Synchronisieren von beiden, synchronisiert (insbesondere werden Laserimpulse und die Zinntröpfchengenerierung synchronisiert). Der synchronisierte Laser 20 regt die Zielmaterialtröpfchen 68 an und generiert ein Plasma, wodurch die EUV-Strahlung generiert wird. Während des Vorgangs 128 wird die generierte EUV-Strahlung auf die Fotomaske 52 (durch die Beleuchtungseinrichtung 50) eingestrahlt und wird weiter auf die auf dem Wafer 56 aufgeschichtete Fotolackschicht (durch die POB 54) projiziert, wodurch ein latentes Bild auf der Fotolackschicht gebildet wird. In der vorliegenden Ausführungsform wird der Lithografiebelichtungsprozess in einem Abtastmodus implementiert.
  • Insbesondere werden während des Lithografiebelichtungsprozesses die Zielmaterialtröpfchen 68 durch den Laserstrahl 62 angeregt, um ein Plasma 91 zu generieren und ferner die EUV-Strahlung 18 zu generieren. Verschiedene Schritte im 126 können mit dem Lithografiebelichtungsprozess gleichzeitig oder zeitüberlappend implementiert werden. Zum Beispiel das Sammeln von Daten durch die Wächter 34 beim Vorgang 136 und Analysieren der gesammelten Daten durch das Analysemodul 40 beim Vorgang 138.
  • Das Verfahren 120 kann andere Vorgänge umfassen, um den lithografischen Strukturierungsprozess zu vervollständigen. Zum Beispiel kann das Verfahren 120 einen Vorgang 130 umfassen, indem die belichtete Fotolackschicht entwickelt wird, um eine Fotolackstruktur auszubilden, die mehrere darin definierte Öffnungen aufweist. In einem Beispiel weist die Fotolackschicht einen positiven Ton auf; der belichtete Abschnitt der Fotolackschicht wird durch die Entwicklungslösung entfernt. In einem anderen Beispiel weist die Fotolackschicht einen negativen Ton auf; der belichtete Abschnitt der Fotolackschicht verbleibt; und die nicht belichteten Abschnitte werden durch die Entwicklungslösung entfernt.
  • Insbesondere wird nach dem Lithografiebelichtungsprozess beim Vorgang 128 der Wafer 56 aus dem Lithografiesystem 10 zu einer Entwicklungseinheit transferiert, um den Vorgang 130 durchzuführen. Das Verfahren 120 kann ferner weitere Vorgänge, wie z.B. verschiedene Backschritte, umfassen. Als ein Beispiel kann das Verfahren 120 einen Schritt des Backens nach dem Belichten (PEB-Schritt) zwischen den Vorgängen 128 und 130 umfassen.
  • Das Verfahren 120 kann ferner weitere Vorgänge umfassen, wie z.B. einen Vorgang 132, um einen Fertigungsprozess am Wafer 56 durch die Öffnungen der Fotolackstruktur durchzuführen. In einem Beispiel umfasst der Fertigungsprozess ein Anwenden eines Ätzprozesses auf das Halbleitersubstrat 56 oder eine Materialschicht darauf unter Verwendung der Fotolackstruktur als einer Ätzmaske. In einem anderen Beispiel umfasst der Fertigungsprozess ein Durchführen eines Ionenimplantationsprozesses am Halbleitersubstrat 56 unter Verwendung der Fotolackstruktur als einer Implantationsmaske. Nach dem Vorgang 132 kann die Fotolackschicht durch Nassstrippen oder Plasmaveraschen entfernt werden.
  • Die vorliegende Offenbarung stellt ein EUV-Lithografiesystem mit 3D-Abtast- und Abstimmungsmodulen bereit. Das EUV-Lithografiesystem weist auf: ein 3D-Diagnosemodul, das in das Strahlungsquellengefäß eingebettet ist, und Analyse- und Steuerungsmodule, um die Strahlungsquelle gemäß der Analyse der 3D-Daten der Strahlungsquelle abzustimmen. Durch Implementieren des offenbarten EUV-Lithografiesystems 10 und des darauf angewendeten Verfahrens wird das EUV-Lithografiesystem 10 fein abgestimmt, um die EUV-Strahlungsenergie zu erhöhen, Rückstandkontamination zu reduzieren, und den Lithografiebelichtungsprozess zu verbessern. Das offenbarte EUV-Lithografiesystem 10 weist ein EUV-Lithografiewerkzeug auf, das mit einem EUV-Steuerungssystem 32 integriert ist, das ferner einen Wächter 34, ein Analysemodul 40, ein Steuerungsmodul 42 und eine Datenbank 44 aufweist. Der Wächter 34 des EUV-Steuerungssystems 32 ist in die Strahlungsquelle 14 der EUV-Lithografievorrichtung 12 eingebettet. In einigen Beispielen umfasst das Verfahren ein Sammeln von Daten der Strahlungsquelle 14 durch den Wächter 34, ein Analysieren der gesammelten Daten durch das Analysemodul 40, und ein Einstellen der Strahlungsquelle 14 durch das Steuerungsmodul 42 gemäß der Analyse.
  • In einem Beispielaspekt stellt die vorliegende Offenbarung ein Verfahren für ein Extrem-Ultraviolett-Lithografiesystem (EUV-Lithografiesystem) bereit, das eine Strahlungsquelle aufweist, die eine Laservorrichtung aufweist, welche mit einem Mechanismus zum Generieren einer EUV-Strahlung eingerichtet ist. Das Verfahren umfasst ein Sammeln eines Laserstrahlprofils eines Laserstrahls von der Laservorrichtung in einem 3-dimensionalen Modus (3D-Modus); Sammeln einer EUV-Energieverteilung der EUV-Strahlung, die durch den Laserstrahl generiert wurde, im 3D-Modus; Durchführen einer Analyse des Laserstrahlprofils und der EUV-Energieverteilung, die zu Analysedaten führt; und Einstellen der Strahlungsquelle gemäß den Analysedaten, um die EUV-Strahlung zu verbessern.
  • In einem anderen Beispielaspekt stellt die vorliegende Offenbarung ein Extrem-Ultraviolett-Lithografiesystem (EUV-Lithografiesystem) bereit. Das EUV-System weist auf: eine Strahlungsquelle zum Generieren einer EUV-Strahlung, wobei die Strahlungsquelle eine Laserquelle, einen Zielmaterialtröpfchengenerator, und einen EUV-Kollektor, in einem Gefäß eingerichtet, aufweist; einen Maskentisch, der zum Befestigen einer EUV-Maske eingerichtet ist; eine Waferbühne , die zum Befestigen eines Halbleiterwafers eingerichtet ist; ein optisches Modul, das zum Lenken der EUV-Strahlung von der Strahlungsquelle, um eine auf der EUV-Maske definierte IC-Struktur auf den Halbleiterwafer in einem Lithografiebelichtungsprozess abzubilden; und ein EUV-Steuerungssystem, das mit der Strahlungsquelle integriert ist. Das EUV-Steuerungssystem weist auf: ein 3-dimensionales Diagnosemodul (3DDM), das zum Sammeln von Daten der Strahlungsquelle in 3D-Modus ausgelegt ist, ein Analysemodul, das zum Analysieren der gesammelten Daten ausgelegt ist, und ein EUV-Steuerungsmodul, das zum Abstimmen der Strahlungsquelle ausgelegt ist. Das 3DDM ist in die Strahlungsquelle eingebettet. Das Analysemodul ist mit dem 3DDM und dem EUV-Steuerungsmodul gekoppelt. Das EUV-Steuerungsmodul ist mit dem Analysemodul und der Strahlungsquelle gekoppelt.
  • In einem noch weiteren Beispielaspekt stellt die vorliegende Offenbarung ein Verfahren für ein Extrem-Ultraviolett-Lithografiesystem (EUV-Lithografiesystem) bereit, das eine Strahlungsquelle aufweist, die eine Laservorrichtung und einen Mechanismus eines lasererzeugten Plasmas aufweist, um eine EUV-Strahlung zu generieren. Das Verfahren umfasst: Sammeln 3-dimensionaler Daten (3D-Daten) der Strahlungsquelle, wobei die 3D-Daten ein Laserstrahlprofil und eine EUV-Energie der EUV-Strahlung aufweisen; Durchführen einer Analyse des Laserstrahlprofils und der EUV-Energie, die zu Korrelationsdaten führt; und Einstellen der Strahlungsquelle gemäß den Korrelationsdaten, um die EUV-Strahlung zu verbessern.
  • Das Vorstehende skizziert Merkmale mehrerer Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage zum Entwerfen oder Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erzielen. Ein Fachmann sollte ebenfalls verstehen, dass derartige äquivalente Ausführungen nicht vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifizierungen hier vornehmen kann, ohne vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63317142 [0001]

Claims (20)

  1. Verfahren für ein Extrem-Ultraviolett-Lithografiesystem (EUV-Lithografiesystem), das eine Strahlungsquelle aufweist, die eine Laservorrichtung aufweist, welche mit einem Mechanismus zum Generieren einer EUV-Strahlung eingerichtet ist, umfassend: Sammeln eines Laserstrahlprofils eines Laserstrahls von der Laservorrichtung in einem 3-dimensionalen Modus (3D-Modus), Sammeln einer EUV-Energieverteilung der EUV-Strahlung, die durch den Laserstrahl generiert wurde, im 3D-Modus, Durchführen einer Analyse des Laserstrahlprofils und der EUV-Energieverteilung, die zu Analysedaten führt, und Einstellen der Strahlungsquelle gemäß den Analysedaten, um die EUV-Strahlung zu verbessern.
  2. Verfahren nach Anspruch 1, das ferner ein Durchführen eines EUV-Lithografiebelichtungsprozesses an einem Halbleitersubstrat unter Verwendung des EUV-Lithografiesystems mit der eingestellten Strahlungsquelle umfasst.
  3. Verfahren nach Anspruch 2, ferner umfassend: nach dem Durchführen des EUV-Lithografiebelichtungsprozesses, Durchführen eines Entwicklungsprozesses am Halbleitersubstrat, wodurch eine strukturierte Fotolackschicht auf dem Halbleitersubstrat ausgebildet wird, und Durchführen eines Fertigungsprozesses am Halbleitersubstrat durch Öffnungen der strukturierten Fotolackschicht.
  4. Verfahren nach Anspruch 3, wobei der Fertigungsprozess einen von einem Ätzprozess und einem Ionenimplantationsprozess umfasst.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das EUV-Lithografiesystem eine EUV-Lithografievorrichtung aufweist, die ferner die Strahlungsquelle aufweist, das EUV-Lithografiesystem ein EUV-Steuerungssystem aufweist, das mit der EUV-Lithografievorrichtung integriert ist, und das EUV-Steuerungssystem ein Überwachungsmodul, ein Analysemodul, und ein Steuerungsmodul aufweist, wobei das Überwachungsmodul in die Strahlungsquelle eingebettet ist.
  6. Verfahren nach Anspruch 5, wobei das Überwachungsmodul einen Laserwächter, einen Plasmawächter, und einen EUV-Wächter aufweist, das Analysemodul eine Korrelationsanalyseeinheit, eine Modellierungseinheit und eine Einheit des maschinellen Lernens aufweist, und das Steuerungsmodul eine Laserausrichtungseinheit, eine Zielbestimmungspositionssteuereinheit, eine Laserimpulsverzögerungs-Einstelleinheit und eine Gefäßsteuereinheit aufweist.
  7. Verfahren nach Anspruch 6, wobei das Sammeln eines Laserstrahlprofils eines Laserstrahls von der Laservorrichtung in einem 3-dimensionalen Modus (3D-Modus) ein Sammeln des Laserstrahlprofils des Laserstrahls durch den Laserwächter umfasst, das Sammeln einer EUV-Energieverteilung der EUV-Strahlung, die durch den Laserstrahl generiert wurde, im 3D-Modus, ein Sammeln der EUV-Energieverteilung der EUV-Strahlung durch den EUV-Wächter umfasst, und das Durchführen einer Analyse des Laserstrahlprofils und der EUV-Energieverteilung ein Durchführen der Analyse des Laserstrahlprofils und der EUV-Energieverteilung durch das Analysemodul umfasst.
  8. Verfahren nach Anspruch 6 oder 7, wobei das Einstellen der Strahlungsquelle gemäß den Analysedaten, um die EUV-Strahlung zu verbessern, ein Einstellen des Laserstrahlprofils gemäß den Analysedaten durch die Laserausrichtungseinheit umfasst.
  9. Verfahren nach einem der vorhergehenden Ansprüche 6 bis 8, wobei das Einstellen der Strahlungsquelle gemäß den Analysedaten, um die EUV-Strahlung zu verbessern, ein Einstellen einer Lieferung eines Zielmaterialtröpfchens gemäß den Analysedaten durch die Zielbestimmungspositionssteuereinheit umfasst.
  10. Verfahren nach einem der vorhergehenden Ansprüche 6 bis 9, wobei das Einstellen der Strahlungsquelle gemäß den Analysedaten, um die EUV-Strahlung zu verbessern, ein Einstellen eines Generierens eines Laserimpulses von der Laservorrichtung gemäß den Analysedaten durch die Laserimpulsverzögerungs-Einstelleinheit umfasst.
  11. Verfahren nach einem der vorhergehenden Ansprüche 6 bis 10, wobei das Einstellen der Strahlungsquelle gemäß den Analysedaten, um die EUV-Strahlung zu verbessern, ein Einstellen eines Gefäßparameters durch die Gefäßsteuereinheit umfasst, und wobei der Gefäßparameter eines von einem Gefäßdruck, einer Gefäßgasdurchflussrate, und einer Gefäßtemperatur umfasst.
  12. Extrem-Ultraviolett-Lithografiesystem (EUV-Lithografiesystem), aufweisend: eine Strahlungsquelle, um eine EUV-Strahlung zu generieren, wobei die Strahlungsquelle eine Laserquelle, einen Zielmaterialtröpfchengenerator und einen EUV-Kollektor, in einem Gefäß eingerichtet, aufweist, einen Maskentisch, der zum Befestigen einer EUV-Maske eingerichtet ist, eine Waferbühne, die zum Befestigen eines Halbleiterwafers eingerichtet ist, ein optisches Modul, das zum Lenken der EUV-Strahlung von der Strahlungsquelle ausgelegt ist, um eine auf der EUV-Maske definierte IC-Struktur auf den Halbleiterwafer in einem Lithografiebelichtungsprozess abzubilden, und ein EUV-Steuerungssystem, das mit der Strahlungsquelle integriert ist, wobei das EUV-Steuerungssystem aufweist: ein 3-dimensionales Diagnosemodul (3DDM), das zum Sammeln von Daten der Strahlungsquelle im 3D-Modus ausgelegt ist, ein Analysemodul, das zum Analysieren der gesammelten Daten ausgelegt ist, und ein EUV-Steuerungsmodul, das zum Einstellen der Strahlungsquelle ausgelegt ist, wobei das 3DDM in die Strahlungsquelle eingebettet ist, wobei das Analysemodul mit dem 3DDM und dem EUV-Steuerungsmodul gekoppelt ist, und wobei das EUV-Steuerungsmodul mit dem Analysemodul und der Strahlungsquelle gekoppelt ist.
  13. EUV-Lithografiesystem nach Anspruch 12, wobei das 3DDM aufweist: einen Laserwächter zum Überwachen eines Laserstrahls von der Laserquelle, einen Plasmawächter zum Überwachen eines durch den Laserstrahl erzeugten Plasmas, und einen EUV-Wächter zum Überwachen der aus dem Plasma generierten EUV-Strahlung.
  14. EUV-Lithografiesystem nach Anspruch 13, wobei das 3DDM ferner einen Kontaminationswächter zum Überwachen einer Kontamination von Zielmaterialtröpfchen, die durch den Zielmaterialtröpfchengenerator geliefert werden, aufweist.
  15. EUV-Lithografiesystem nach Anspruch 13 oder 14, wobei das Analysemodul aufweist: eine Korrelationsanalyseeinheit, um eine Korrelation zwischen einem Laserstrahlprofil des Laserstrahls und einer EUV-Strahlungsenergie der EUV-Strahlung zu analysieren, eine Modellierungseinheit zum Extrahieren von Wirkungsdaten aus den gesammelten Daten, und eine Einheit des maschinellen Lernens, um ein effektives Laserstrahlprofil gemäß assoziierter EUV-Strahlung zu extrahieren
  16. EUV-Lithografiesystem nach einem der vorhergehenden Ansprüche 13 bis 15, wobei das Steuerungsmodul aufweist: eine Laserausrichtungseinheit mit einem Mechanismus zum Einstellen des Laserstrahlprofils des Laserstrahls gemäß einem Analyseergebnis vom Analysemodul, eine Zielbestimmungspositionssteuereinheit mit einem Mechanismus zum Einstellen einer Lieferung eines Zielmaterialtröpfchens, das durch den Zielmaterialtröpfchengenerator geliefert wird, eine Laserimpulsverzögerungs-Einstelleinheit mit einem Mechanismus zum Steuern eines Generierens eines Laserimpulses von der Laservorrichtung, um den Laserimpuls und das Zielmaterialtröpfchen zu synchronisieren, und eine Gefäßsteuerungseinheit mit Mechanismen zum Einstellen von mindestens einem von einem Gefäßdruck, einer Gefäßdurchflussrate, und einer Gefäßtemperatur des Gefäßes.
  17. Verfahren für ein Extrem-Ultraviolett-Lithografiesystem (EUV-Lithografiesystem), das eine Strahlungsquelle aufweist, die eine Laservorrichtung und einen LPP-Mechanismus (LPP: lasererzeugtes Plasma) aufweist, um eine EUV-Strahlung zu generieren, umfassend: Sammeln von 3-dimensionalen Daten (3D-Daten) der Strahlungsquelle, wobei die 3D-Daten ein Laserstrahlprofil und eine EUV-Energie der EUV-Strahlung aufweisen, Durchführen einer Analyse des Laserstrahlprofils und der EUV-Energie, die zu Korrelationsdaten führt, und Einstellen der Strahlungsquelle gemäß den Korrelationsdaten, um die EUV-Strahlung zu verbessern.
  18. Verfahren nach Anspruch 17, ferner umfassend: Durchführen eines EUV-Lithografiebelichtungsprozesses an einem Halbleitersubstrat unter Verwendung des EUV-Lithografiesystems mit der eingestellten Strahlungsquelle, nach dem Durchführen des EUV-Lithografiebelichtungsprozesses, Durchführen eines Entwicklungsprozesses am Halbleitersubstrat, wodurch eine strukturierte Fotolackschicht auf dem Halbleitersubstrat ausgebildet wird, und Durchführen eines Fertigungsprozesses am Halbleitersubstrat durch Öffnungen der strukturierten Fotolackschicht.
  19. Verfahren nach Anspruch 17 oder 18, wobei das EUV-Lithografiesystem eine EUV-Lithografievorrichtung aufweist, die ferner die Strahlungsquelle aufweist, das EUV-Lithografiesystem ein EUV-Steuerungssystem aufweist, das mit der EUV-Lithografievorrichtung integriert ist, und das EUV-Steuerungssystem ein Überwachungsmodul, ein Analysemodul, und ein Steuerungsmodul aufweist, wobei das Überwachungsmodul in die Strahlungsquelle eingebettet ist.
  20. Verfahren nach einem der vorhergehenden Ansprüche 17 bis 19, wobei das Überwachungsmodul einen Laserwächter, einen Plasmawächter, und einen EUV-Wächter aufweist, das Analysemodul eine Korrelationsanalyseeinheit, eine Modellierungseinheit und eine Einheit des maschinellen Lernens aufweist, das Steuerungsmodul eine Laserausrichtungseinheit, eine Zielbestimmungspositionssteuereinheit, eine Laserimpulsverzögerungs-Einstelleinheit und eine Gefäßsteuereinheit aufweist, das Sammeln von 3-dimensionalen Daten (3D-Daten) ein Sammeln von Daten des Laserstrahlprofils durch den Laserwächter und Daten der EUV-Energie der EUV-Strahlung durch den EUV-Wächter umfasst, das Durchführen einer Analyse des Laserstrahlprofils und der EUV-Energie ein Identifizieren einer Korrelation zwischen dem Laserstrahlprofil und der EUV-Energie der EUV-Strahlung umfasst, und das Einstellen der Strahlungsquelle gemäß den Korrelationsdaten ein Einstellen des Laserstrahlprofils durch die Laserausrichtungseinheit umfasst.
DE102023102536.8A 2022-03-07 2023-02-02 Euv-lithografiesystem mit 3d-abtast- und abstimmungsmodulen Pending DE102023102536A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263317142P 2022-03-07 2022-03-07
US63/317,142 2022-03-07
US17/805,695 US11852978B2 (en) 2022-03-07 2022-06-07 EUV lithography system with 3D sensing and tunning modules
US17/805,695 2022-06-07

Publications (1)

Publication Number Publication Date
DE102023102536A1 true DE102023102536A1 (de) 2023-09-07

Family

ID=86971996

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102023102536.8A Pending DE102023102536A1 (de) 2022-03-07 2023-02-02 Euv-lithografiesystem mit 3d-abtast- und abstimmungsmodulen

Country Status (5)

Country Link
US (2) US11852978B2 (de)
KR (1) KR20230131755A (de)
CN (1) CN116382038A (de)
DE (1) DE102023102536A1 (de)
TW (1) TW202349128A (de)

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9052595B2 (en) 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9377693B2 (en) 2014-03-13 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Collector in an extreme ultraviolet lithography system with optimal air curtain protection
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10824083B2 (en) * 2017-09-28 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Light source, EUV lithography system, and method for generating EUV radiation
US10802406B2 (en) * 2018-08-17 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation

Also Published As

Publication number Publication date
KR20230131755A (ko) 2023-09-14
US11852978B2 (en) 2023-12-26
TW202349128A (zh) 2023-12-16
US20240103378A1 (en) 2024-03-28
CN116382038A (zh) 2023-07-04
US20230280657A1 (en) 2023-09-07

Similar Documents

Publication Publication Date Title
DE102015112273B4 (de) Verringerung der Kontamination eines Extrem-Ultraviolett Lithografie-Kollektors
DE102015109260B4 (de) EUV-Lithografiesystem und EUV-Lithografieprozess mit optimiertem Durchsatz und optimierter Stabilität
DE60217771T2 (de) Belichtungssystem, Projektionsbelichtungsapparat und Verfahren zur Herstellung eines Artikels
US7187796B1 (en) Systems and methods that employ exposure compensation to provide uniform CD control on reticle during fabrication
US6647086B2 (en) X-ray exposure apparatus
CN114222949A (zh) 用于计算特征的建模方法
DE60105527T2 (de) Lithographischer Apparat und Verfahren zur Herstellung einer integrierten Schaltungsanordnung
US11630393B2 (en) Apparatus and method for generating extreme ultraviolet radiation
DE102011086949A1 (de) Beleuchtungs- und Verlagerungsvorrichtung für eine Projektionsbelichtungsanlage
WO2021165078A1 (de) Verfahren zum betreiben einer optischen anordnung für die euv-lithographie und optische anordnung für die euv-lithographie
DE60025303T2 (de) Lithographischer Projektionsapparat
DE102023102536A1 (de) Euv-lithografiesystem mit 3d-abtast- und abstimmungsmodulen
CN114641685A (zh) 具有低原子序数低温标靶的激光生成等离子体照明器
DE102019124781B4 (de) Verfahren zum herstellen und behandeln einer fotomaske
DE102020201482A1 (de) Vorrichtung und Verfahren zum Reparieren eines Defekts einer optischen Komponente für den extrem ultravioletten Wellenlängenbereich
DE102020208183A1 (de) Verfahren und vorrichtung zum bearbeiten einer lithographischen maske
DE10353901A1 (de) Verfahren und Vorrichtung zur Bildung eines Substrats für Halbleiter oder dergleichen
DE102023109493A1 (de) Lithografiesystem und verfahren, das ein thermomanagement umfasst
DE102019009170B3 (de) Verfahren zum durchführen eines lithografieprozesses und lithografieprozess-überwachungsverfahren
DE102021101906A1 (de) System und verfahren zum überwachen und steuern von extrem-ultraviolett-photolithographieverfahren
DE102019121624A1 (de) Fotolithografieverfahren und -vorrichtung
DE102011006189A1 (de) Verfahren und Vorrichtung zum Belichten einer lichtempfindlichen Schicht
DE102014006265B4 (de) Sn-dampf-euv-llp-quellsystem für die euv-lithographie
WO2024017560A1 (de) Restgasanalysator, projektionsbelichtungsanlage mit einem restgasanalysator und verfahren zur restgasanalyse
DE102021204265A1 (de) Verfahren zu Reinigung einer Oberfläche eines Elementes und Reinigungsvorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed