DE102023109493A1 - Lithografiesystem und verfahren, das ein thermomanagement umfasst - Google Patents

Lithografiesystem und verfahren, das ein thermomanagement umfasst Download PDF

Info

Publication number
DE102023109493A1
DE102023109493A1 DE102023109493.9A DE102023109493A DE102023109493A1 DE 102023109493 A1 DE102023109493 A1 DE 102023109493A1 DE 102023109493 A DE102023109493 A DE 102023109493A DE 102023109493 A1 DE102023109493 A1 DE 102023109493A1
Authority
DE
Germany
Prior art keywords
mask
reticle
temperature
thermoregulation
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102023109493.9A
Other languages
English (en)
Inventor
Chia-Wei Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102023109493A1 publication Critical patent/DE102023109493A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details
    • G03F7/70708Chucks, e.g. chucking or un-chucking operations or structural details being electrostatic; Electrostatically deformable vacuum chucks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • G03F7/70725Stages control

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

Die vorliegende Offenbarung stellt ein Verfahren für ein Lithografiesystem bereit, das einen oder mehrere Wärmesensoren aufweist, die eine Rückkopplung an eine Thermomanagementsteuerung bereitstellen. Die Thermomanagementsteuerung liefert Anweisungen an eine Thermoregulierungskomponente, wie z.B. einen Wärmetauscher und Gasstrahlen, um ein Kühlen eines im Lithografiesystem verwendeten Retikels bereitzustellen.

Description

  • HINTERGRUND
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung 62/367,284 mit dem Titel „Lithography Apparatus and Method of Performing Lithography“, die am 29. Juni 2022 eingereicht wurde und die hier durch Rückbezug in ihrer Gänze aufgenommen ist.
  • Die Industrie für integrierte Halbleiterschaltungen (IC) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte in IC-Materialien und -Design haben Generationen von ICs hervorgebracht, bei denen jede Generation kleinere und komplexere Schaltungen als die vorangegangene Generation aufweist. Die Funktionsdichte (d.h. die Anzahl miteinander verschalteter Bauelemente pro Chipfläche) ist im Laufe der IC-Entwicklung grundsätzlich gestiegen, während die geometrische Größe (d.h. die kleinste Komponente (oder Leiterbahn), die unter Verwendung eines Fertigungsverfahrens erzeugt werden kann) kleiner geworden ist. Dieser Miniaturisierungsprozess (Skalierung) bringt grundsätzlich Vorteile, indem die Produktionseffizienz gesteigert und die damit verbundenen Kosten gesenkt werden. Eine derartige Miniaturisierung erhöhte außerdem die Komplexität der Verarbeitung und Herstellung von ICs.
  • Damit diese Fortschritte umgesetzt werden, sind ähnliche Entwicklungen in der IC-Verarbeitung und -Herstellung erforderlich. Zu Beispiel wächst der Bedarf, Lithografieprozesse mit höherer Auflösung durchzuführen. Eine lithografische Technik ist die die Extrem-Ultraviolett-Lithografie (EUV-Lithografie). Die EUV-Lithografie setzt Scanner ein, die Licht im extremen Ultraviolett-Bereich verwenden, der eine Wellenlänge von ungefähr 1 nm bis 100 nm aufweist. EUV-Scanner verwenden eher reflektierende anstelle von lichtbrechenden Optiken, d.h. Spiegel anstelle von Linsen. Obwohl vorhandene lithografische Techniken für ihre vorgesehenen Zwecke im Allgemeinen geeignet sind, sind sie jedoch nicht in jeder Hinsicht vollständig zufriedenstellend.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten aus der nachstehenden ausführlichen Beschreibung verstanden, wenn sie zusammen mit den begleitenden Figuren gelesen wird. Es ist zu beachten, dass gemäß dem Standardverfahren in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein
    • 1 ist ein Blockdiagramm einer Ausführungsform eines Lithografiesystems mit einem Thermosteuerungsmodul, das gemäß einigen Ausführungsformen aufgebaut ist.
    • 2A ist eine schematische Ansicht einer EUV-Maske, die gemäß einigen Ausführungsformen hergestellt ist; 2B und 2C sind schematische Ansichten von Wechselwirkungen einer EUV-Maske mit den Umgebungsbedingungen eines Lithografieprozesses gemäß einigen Ausführungsformen.
    • 3 ist eine Darstellung von molekularen Strukturen und Wechselwirkungen, die in einer Lithografieumgebung auftreten, gemäß einigen Theorien der vorliegenden Offenbarung.
    • 4 ist ein Blockdiagramm einer Ausführungsform eines Lithografiesystems, das ein Thermosteuerungsmodul gemäß einigen Implementierungen der vorliegenden Offenbarung aufweist.
    • 5, 6, 7A, 8A und 9 sind schematische Ansichten verschiedener Ausführungsformen, die Merkmale von Thermosteuerungsmanagement gemäß einigen Aspekten der vorliegenden Offenbarung implementieren.
    • 7B und 7C sind schematische Darstellungen von Beispielen für Designdaten, die in Aspekten der vorliegenden Offenbarung verwendet werden können.
    • 8B ist eine schematische Darstellung eines Beispiels für ein thermisches Profil, das in Aspekten der vorliegenden Offenbarung implementiert wird.
    • 10 ist eine schematische Ansicht einer Ausführungsform eines EUV-Lithografiesystems gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 11A, 11B, 11C und 11D sind schematische Ansichten einer Ausführungsform eines Retikel-Miniumgebungsabschnitts eines EUV-Lithografiesystems gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 12 ist ein Ablaufdiagramm einer Ausführungsform eines Verfahrens zum Entwickeln eines Thermosteuerungsplans gemäß einigen Aspekten der vorliegenden Offenbarung.
    • 13 ist ein Ablaufdiagramm einer Ausführungsform zum Durchführen eines lithografischen Prozesses, der ein Thermomanagement gemäß Aspekten der vorliegenden Offenbarung umfasst.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die nachstehende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale bereit. Bezugszeichen und/oder - buchstaben können in den verschiedenen hier beschriebenen Beispielen wiederholt werden. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen offenbarten Ausführungsformen und/oder Ausgestaltungen vor. Außerdem sind konkrete Beispiele von Komponenten und Anordnungen nachstehend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese stellen selbstverständlich lediglich Beispiele dar und sind nicht im beschränkenden Sinne gedacht. Zum Beispiel kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element ausgebildet werden können, so dass das erste und das zweite Element möglicherweise nicht in direktem Kontakt stehen. Des Weiteren kann das Ausbilden eines Elements auf einem anderen Element, mit ihm verbunden und/oder gekoppelt, in der vorliegenden Offenbarung Ausführungsformen umfassen, in denen die Elemente in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen den Elementen ausgebildet werden können, so dass die Elemente möglicherweise nicht in direktem Kontakt stehen.
  • Außerdem kann die vorliegende Offenbarung Bezugsnummern und/oder - buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung geschieht zum Zweck der Einfachheit und Klarheit und sie schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Ausgestaltungen vor. Des Weiteren kann das Ausbilden eines Merkmals auf einem anderen Merkmal, mit ihm verbunden und/oder gekoppelt, in der nachstehenden Offenbarung Ausführungsformen umfassen, in denen das die Merkmale in direktem Kontakt ausgebildet werden, und kann ebenfalls Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen den Merkmalen ausgebildet werden können, so dass die Merkmale möglicherweise nicht in direktem Kontakt stehen. Außerdem werden Begriffe, die sich auf räumliche Relativität beziehen, wie zusätzlich „unterer“ , „oberer“, „horizontaler“, „vertikaler“, „über“, „oberhalb“, „unter“, „unterhalb“, „oben“, „unten“, „Oberseite“, „Unterseite“ usw. so wie Ableitungen davon (z.B. „horizontal“, „nach unten“, „nach oben“ usw.) zur Einfachheit der vorliegenden Offenbarung der Beziehung eines Merkmals zu einem anderen verwendet. Die Begriffe, die die räumliche Relativität betreffen, sollen verschiedene Ausrichtungen der Vorrichtung, die die Merkmale umfasst, abdecken. Wenn eine Zahl oder ein Bereich von Zahlen mit „ungefähr“, „etwa“ und dergleichen beschrieben wird, wird ferner beabsichtigt, dass der Begriff Zahlen umfasst, die sich innerhalb eines vernünftigen Bereichs befinden, einschließlich der beschriebenen Zahl, wie z.B. innerhalb von +/-10 % der beschriebenen Zahl oder anderer Werte, wie sie vom Fachmann verstanden werden. Zum Beispiel umfasst der Begriff „ungefähr 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm.
  • Die vorliegende Offenbarung umfasst Ausführungsformen, die eine Extrem-Ultraviolett-Lithografievorrichtung (EUV-Lithografievorrichtung) betreffen, die mit einem EUV-Steuerungssystem integriert ist, das zum Überwachen, Analysieren und/oder Steuern der EUV-Lithografievorrichtung und der Verfahren, die sie für eine verbesserte Leistungsfähigkeit durch Thermomanagement durchführt, ausgelegt ist. Die vorliegende Offenbarung umfasst außerdem ein Verfahren, das das Steuerungssystem verwendet, um thermische Bedingungen zu überwachen und Aspekte der EUV-Lithografievorrichtung aktiv abzustimmen und zu steuern, so dass der lithografische Prozess in einigen Implementierungen verbessert ist, wenn die EUV-Lithografievorrichtung in der Herstellung von integrierten Schaltungen (IC) verwendet wird. Insbesondere stehen das Verfahren und das EUV-Steuerungssystem mit einer EUV-Lithografievorrichtung zum Strukturieren von IC-Strukturen in fortschrittlichen Technologieknoten im Zusammenhang. Die IC-Struktur kann Feldeffekttransistoren (FETs), finnenartige FETs (FinFETs) oder Mehrfach-Gate-Vorrichtungen, wie z.B. Gate-all-Around-Vorrichtungen (GAA-Vorrichtungen), gemäß verschiedenen Ausführungsformen aufweisen.
  • Obwohl die vorliegende Offenbarung Beispielsysteme und -verfahren bereitstellt, die EUV-Lithografie implementieren, versteht es sich jedoch, dass Lithografie, die andere Wellenlängen und andere Verarbeitungsschritte verwendet, von Aspekten der vorliegenden Offenbarung profitieren kann. Daher umfasst die vorliegende Offenbarung ein Thermomanagement anderer Systeme, die andere Lithografiesysteme aufweisen.
  • 1 ist ein Blockdiagramm eines Lithografiesystems 10, das gemäß einigen Ausführungsformen aufgebaut ist. Das Lithografiesystem 10 kann auch allgemein als ein Scanner bezeichnet werden, der zum Durchführen von lithografischen Belichtungsprozessen mit einer entsprechenden Strahlungsquelle und einem entsprechenden Belichtungsmodus betreibbar ist. In einer Ausführungsform ist das Lithografiesystem 10 ein EUV-Lithografiesystem (EUV extremes Ultraviolett), das dazu ausgelegt ist, eine Zielfotolackschicht mit EUV-Licht zu belichten, wobei die Fotolackschicht ein geeignetes Material ist, das für das EUV-Licht empfindlich ist.
  • In einer Ausführungsform weist das Lithografiesystem 10 eine EUV-Quelle 14 (oder einfach als eine Quelle 14 bezeichnet) auf, um EUV-Strahlung 18 zu generieren. In einigen Implementierungen nutzt die Strahlungsquelle 14 einen LPP-Mechanismus (LPP: laserproduziertes Plasma), um Plasma zu generieren und ferner EUV-Licht aus dem Plasma zu generieren. Zum Beispiel kann die Strahlungsquelle 14 einen oder mehrere Laser aufweisen, wie z.B. einen gepulsten Kohlendioxid-Laser, um einen Laserstrahl zu generieren. In einigen Implementierungen weist die Laserquelle zwei Laservorrichtungen auf, eine zum Generieren eines Vorimpulsauftreffens auf ein Zielmaterial oder Tröpfchen und eine andere zum Generieren eines Hauptimpulsauftreffens auf das Zielmaterial. Der Laser kann ferner einen oder mehrere Laserverstärker aufweisen, um die Leistung des Laserstrahls weiter zu verstärken. In einer Implementierung wird der Laserstrahl durch ein transparentes Fenster gelenkt, das mit einem Kollektor (auch als EUV-Kollektor bezeichnet) integriert ist. Der Kollektor ist mit geeigneten Beschichtungsmaterialien und -formen ausgelegt, die als ein Spiegel für die EUV-Sammlung, -Reflexion und -Fokussierung wirken. In einigen Ausführungsformen ist das Beschichtungsmaterial des Kollektors der reflektierenden Mehrfachschicht einer EUV-Maske, wie z.B. nachstehend besprochen, ähnlich.
  • Wie vorstehend herangeführt, wird in Implementierungen der Laserstrahl gelenkt, um ein Zielmaterial oder ein Tröpfchen zu erhitzen, wodurch ein Hochtemperaturplasma generiert wird, das ferner eine EUV-Strahlung (oder ein EUV-Licht) 18 erzeugt. In einer Ausführungsform ist das Zielmaterial Zinn (Sn). Der Kollektor reflektiert und fokussiert die EUV-Strahlung 18 für die lithografischen Belichtungsprozesse, die jene umfassen, die nachstehend unter Bezugnahme auf das System 10 besprochen werden.
  • Die generierte EUV-Strahlung 18 wird durch eine Reihe von Optiken verarbeitet, die als optischer Zug bezeichnet werden, bevor sie ein Zielsubstrat erreicht. Wie hier verwendet, soll der Begriff „Optik“ derart breit ausgelegt werden, dass er, ohne notwendigerweise darauf beschränkt zu sein, eine oder mehrere Komponenten, die einfallendes Licht reflektieren und/oder transmittieren und/oder mit diesem arbeiten, aufnimmt und eine oder mehrere Linsen, Fenster, Filter, Keile, Prismen, Gitterprismen, Abstufungen, Übertragungsfasern, Etalons, Diffusoren, Homogenisatoren, Detektoren und andere Instrumentenkomponenten, Aperturen, Axicons und Spiegel, die mehrschichtige Spiegel, Spiegel für nahezu senkrechten Einfall, Spiegel für streifenden Einfall, spiegelnde Reflektoren, diffuse Reflektoren und Kombinationen davon aufweisen, umfasst, aber nicht darauf beschränkt ist. Sofern nicht anders angegeben, soll des Weiteren der Begriff „Optik“, wie hier verwendet, nicht auf Komponenten beschränkt sein, die ausschließlich in einem oder mehreren spezifischen Wellenlängenbereich(en) arbeiten, wie z.B. im EUV. Jedoch wird hier zur Erleichterung der Erläuterung eine Ausführungsform des Systems 10 besprochen, die eine EUV-Wellenlänge betrifft. Als Teil des optischen Zuges weist das System 100 eine Beleuchtungseinrichtung 20 auf. In verschiedenen Ausführungsformen, die eine EUV-Lithografie betreffen, weist die Beleuchtungseinrichtung 20 reflektierende Optiken, wie z.B. einen einzelnen Spiegel oder ein Spiegelsystem, das mehrere Spiegel aufweist, auf, um Strahlung 18 von der Strahlungsquelle 14 zu einer Maske 12 hin zu lenken. Die Beleuchtungseinrichtung 20 kann einen Feldfacettenspiegel (FF-spiegel) und einen Pupillenfacettenspiegel (PF-Spiegel) aufweisen. Die Facettenspiegel stellen optische Elemente dar, die zum Generieren einer Homogenisierung der durch die EUV-Quelle 14 generierten Strahlung 18 verwendet werden können.
  • Nach dem Durchgang durch die Beleuchtungseinrichtung 20 wird die Strahlung 18 derart bereitgestellt, dass sie auf eine freigelegte Fläche der Maske 12 einfällt. In der Offenbarung werden die Begriffe Maske, Fotomaske und Retikel verwendet, um auf die Struktur 12 zu verweisen, die ein Strukturieren der Einfallstrahlung 18 bereitstellt.
  • Das Lithografiesystem 10 weist einen Maskenhalter 16 (auch als ein Tisch oder eine Spannvorrichtung bezeichnet) auf, der zum Halten, Befestigen und Positionieren der Maske 12 eingerichtet ist. In einigen Ausführungsformen weist der Maskentisch 16 eine elektrostatische Spannvorrichtung (e-Chuck) auf, um die Maske 12 zu befestigen. Daher kann eine e-Klemme zum Befestigen der Maske 12 am Maskentisch 16 verwendet werden. In einigen Ausführungsformen weist der Maskentisch 16 eine oder mehrere Klemmen zum Befestigen der Maske 12 auf. In einer Ausführungsform weist der Maskentisch 16 eine oder mehrere Thermoregulierungskomponenten 16A auf, um eine Kühlung oder Temperaturverringerung für den Maskentisch 16, die durch den Maskentisch 16 gehaltene Maske 12 und/oder die Umgebung bereitzustellen. Die Thermoregulierungskomponente 16A kann mit einem nachstehend besprochenen Thermosteuerungssystem 30 betriebsfähig gekoppelt sein. Die Thermoregulierungskomponente 16A kann Wärmetauscher, ein Kältemittel (Gas oder Flüssigkeit), ein Feststoffkühlmodul(e), ein Thermorohrleitungsmodul(e) und/oder andere Thermoregulierungskomponenten aufweisen. In einer Ausführungsform weist die Thermoregulierungskomponente 16A ein Kältemittel auf. In einer Ausführungsform arbeitet die Thermoregulierungskomponente 16A ohne Kältemittel. Die Thermoregulierungskomponente 16A kann für eine Reduzierung einer Temperatur der Maske 12 und/oder ihrer Umgebung (Retikel-Miniumgebung) sorgen. Diese Reduzierung der Temperatur kann die Maske 12 und die darauf ausgebildeten Schichten auf einer Temperatur halten, die ein Ausgasen reduziert, zum Beispiel das Aufbrechen von Bindungen zwischen Atomen einer Schicht der Maske 12 aufgrund der Reduzierung der verfügbaren thermischen Energie reduziert. Der Maskenhalter 16 weist in einigen Ausführungsformen Temperatursensoren (z.B. Thermoelemente) auf, die verwendet werden, um eine Temperatur bereitzustellen, die zum Verständnis der Funktionsweise der Thermoregulierungskomponenten nützlich ist. In bestimmten Ausführungsformen kann das System 10 außerdem andere Thermoregulierungskomponenten, wie z.B. Gasstrahlen oder - düsen (nachstehend besprochen) aufweisen, die ein Gas in der Retikelumgebung bereitstellen.
  • In einer Ausführungsform ist die Maske 12 eine reflektierende Maske, die für ein EUV-Lithografiestrukturieren geeignet ist. Die Maske 12 des Systems 10 wird kurz besprochen. Die Maske kann ein Substrat mit einem Reflektor (oder einer reflektierenden Schicht) aufweisen, wie z.B. einen mehrschichtigen Spiegel (MLM), der auf einem Substrat angeordnet ist. Eine Absorptionsschicht kann auf dem MLM angeordnet sein. Im Allgemeinen absorbieren Gebiete der Maske, in denen die Absorptionsschicht vorhanden ist, Einfallstrahlung, während Gebiete der Maske, in denen die Absorptionsschicht nicht vorhanden ist, einfallende Strahlung zu einem Ziel reflektieren. Die Maske 12 kann ein Substrat mit einem geeigneten Material aufweisen, wie z.B. einem Material mit geringer Wärmeausdehnung (low thermal expansion material, LTEM) oder Quarzglas, auf dem der MLM und Absorptionsschichten ausgebildet sind. In einigen Ausführungsformen wird ein EUV-Pellikel über der Maske 12 angeordnet. Das EUV-Pellikel stellt eine dünne Membran bereit, die die EUV-Maske vor Kontaminationspartikeln oder anderen Dingen, die die Maske beschädigen könnten, schützt. Das EUV-Pellikel ist typischerweise über einen oder mehrere Rahmen mit der EUV-Maske gekoppelt. In einigen Ausführungsformen ist kein EUV-Pellikel vorhanden.
  • Das Lithografiesystem 10 weist außerdem eine Projektionsoptik (zuweilen als Projektionsoptikbox (POX) bezeichnet) 22 als einen Abschnitt des optischen Zuges auf. Die Projektionsoptik 22 dient dazu, die Struktur der Maske 12 auf ein Zielsubstrat 24, wie z.B. einen Halbleiterwafer, abzubilden, das auf einer Substratbühne 26 des Lithografiesystems befestigt ist. Im Fall einer EUV-Lithografie-Ausführungsform kann die Projektionsoptik 22 reflektierende optische Komponenten, einschließlich monolithischer Spiegel und/oder Spiegelarrays, aufweisen. Die Projektionsoptik kann einen Pupillenphasenmodulator aufweisen. Das EUV-Licht, das das Bild der auf der Maske 12 definierten Struktur trägt, wird von der Maske 12 gelenkt und wird durch die Projektionsoptik 22 gesammelt. Die Beleuchtungseinrichtung 20 und die Projektionsoptik 22 werden zuweilen gemeinsam als ein optisches Modul des Lithografiesystems 10 bezeichnet.
  • Nach der Projektionsoptik 22 wird der strukturierte Strahlungsstrahl dann an ein Zielsubstrat 24 geliefert. Wie der optische Zug und die Maske, die vorstehend besprochen wurden, kann ein Zielsubstrat in einer Belichtungskammer bereitgestellt werden, die ebenfalls in einer Vakuumumgebung gehalten wird, um eine unerwünschte Absorption von Strahlung 18 zu reduzieren. Die Belichtungskammer kann eine Waferbühne 26 aufweisen, um ein Halbleitersubstrat (wie z.B. einen Wafer) festzuhalten. In verschiedenen Ausführungsformen weist das Zielsubstrat 24 einen Halbleiterwafer, wie z.B. einen Siliziumwafer, einen Germaniumwafer, einen Siliziumgermaniumwafer, einen III-V-Wafer, oder einen anderen Wafertyp, auf, wie vorstehend beschrieben oder in der Technik bekannt.. Das Zielsubstrat 24 kann mit einer Fotolackschicht beschichtet werden, die für die Strahlung der Quelle 14 empfindlich ist (z.B. eine EUV-Fotolackschicht). Die auf das Substrat 24 einfallende Strahlung ist derart, dass das Bild der Struktur oder eines Abschnitts davon, die auf der Maske 12 definiert ist, auf das Halbleitersubstrat, oder insbesondere auf die Fotolackschicht (auch als Fotoresistschicht bezeichnet) gelenkt wird, die auf einer Fläche des Halbleitersubstrats aufgeschichtet ist. Abschnitte der lichtempfindlichen Fotolackschicht, die der Strahlung ausgesetzt werden, durchlaufen einen chemischen Übergang, der sie für einen Entwicklungsprozess entweder mehr oder weniger empfindlich macht.
  • In einigen Implementierungen können die Maske 12 und das Substrat 24 in einer gleichen Umgebung bereitgestellt werden (z.B. befinden sich jeweils in einer zusammenhängenden Vakuumumgebung). Das heißt, aufgrund dessen, dass diese Gasmoleküle EUV-Licht absorbieren, können die EUV-Kammer oder Abschnitte davon einer Vakuumumgebung ausgesetzt werden, um einen EUV-Intensitätsverlust zu vermeiden. Es kann eine Nähe zwischen dem Fotolack und dem Retikel vorhanden sein, die die Wahrscheinlichkeit einer Kontamination zwischen dem Substrat 24 und der Maske 12 erhöhen kann. Aspekte der vorliegenden Offenbarung können dazu dienen, die Kontamination zu reduzieren, wie hier besprochen.
  • Das Lithografiesystem 10 weist außerdem ein Thermosteuerungssystem oder - modul 30 auf, das mit dem Lithografiesystem 10 gekoppelt oder integriert ist. Das Thermosteuerungsmodul 30 ist mit Mechanismen ausgelegt, um verschiedene Parameter der EUV-Lithografievorrichtung, die Temperaturen aufweisen, zu überwachen, Informationen aus verschiedenen Datenbanken zu sammeln, die gesammelten Daten und/oder Parameter zu analysieren, Simulationen durchzuführen, und/oder Variablen des Lithografiesystems 10 aktiv abzustimmen oder zu steuern. In einigen Implementierungen stellt das Thermosteuerungsmodul 30 Anweisungen bereit, um ein Thermosteuerungsmanagement des im Lithografiesystem 10 bereitgestellten lithografischen Prozesses vorzusehen. In einigen Implementierungen stellt das Thermosteuerungsmodul 30 Anweisungen bereit, um die Thermoregulierungskomponenten, die 16A aufweisen, abzustimmen oder zu steuern. In einigen Ausführungsformen weist das Lithografiesystem 10 ein Gasversorgungsmodul auf, das zum Liefern von Gas an das System ausgelegt ist. In einer Ausführungsform kann das Gasversorgungsmodul mit dem Thermosteuerungsmodul 30 betriebsfähig gekoppelt sein. Das Gasversorgungsmodul kann angewiesen werden, Gase, die für das Thermomanagement des Lithografiesystems 10 geeignet sind, bereitzustellen.
  • Das Thermosteuerungsmodul 30 weist verschiedene Einheiten, Sensoren, Module und Komponenten auf, die integriert und dazu eingerichtet sind, verschiedene Funktionen durchzuführen, welche ein Sammeln von Daten für das Thermosteuerungsmodul 30 umfassen. In einer Ausführungsform weisen die Wärmesensoren, die Informationen an das Thermosteuerungsmodul 30 bereitstellen, ein Thermoelement, eine IR-Kamera/einen IR-Sensor, einen UV-Sensor, einen Lichtsensor, und/oder andere geeignete Komponenten auf. Verschiedene Abschnitte des Thermosteuerungsmoduls 30 können an verschiedenen Orten verteilt sein, wie z.B. teilweise in das Lithografiesystem 10 eingebettet und darin eingerichtet sein; oder teilweise eigenständig oder durch eine Internetkommunikation (wie z.B. eine Internetkabelverbindung, eine WiFi-Verbindung, eine Bluetooth-Verbindung, eine andere geeignete Verbindung oder eine Kombination davon) mit dem Lithografiesystem 10 gekoppelt sein. Das Thermosteuerungsmodul 30 kann mit anderen Steuerungssystemen des Lithografiesystems 10 integriert sein. Das Thermosteuerungsmodul 30 weist außerdem eine geeignete Computer-Hardware auf, die einen Prozessor und einen Speicher aufweist. Der Speicher weist ein Computerprogramm auf, das der Prozessor ausführt und das die Analyse des hier besprochenen Thermosteuerungsmoduls umfasst.
  • Verschiedene Komponenten, einschließlich jener vorstehend beschriebenen, sind gemeinsam integriert und sind betreibbar, um unter Verwendung des Lithografiesystems 10 EUV-Lithografiebelichtungsprozesse durchzuführen. Das Thermosteuerungsmodul 30 sorgt für die Durchführung eines Lithografieprozesses, der ein Thermomanagement aufweist, wie nachstehend ausführlicher besprochen. Das Lithografiesystem 10 kann ferner andere Module aufweisen oder mit anderen Modulen integriert (oder gekoppelt) sein.
  • In einigen Implementierungen wird das Lithografiesystem 10 zum Herstellen von integrierten Schaltungen (IC) oder Abschnitten davon verwendet. In einigen Implementierungen wird das Lithografiesystem 10 verwendet, um einen statischen Direktzugriffspeicher (SRAM) und/oder andere logische Schaltungen, passive Komponenten, wie z.B. Widerstände, Kondensatoren und Induktivitäten, und aktive Komponenten, wie z.B. pFETs (PFETs), n-FETs (NFETs), finnenartige FETs (FinFETs), Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFET), komplementäre Metall-Oxid-Halbleiter-Transistoren (CMOS-Transistoren), Biopolartransistoren, Hochvolt-Transistoren, Hochfrequenz-Transistoren, Gate-all-Around-Vorrichtungen (GAA-Vorrichtungen) und/oder andere Vorrichtungen auszubilden. Die vorliegende Offenbarung ist nicht auf konkrete Halbleitervorrichtungen beschränkt.
  • Wie vorstehend besprochen, sind Aspekte der vorliegenden Offenbarung durch ein EUV-System und/oder ein Durchführen von EUV-Lithografie veranschaulicht. Jedoch können Aspekte der vorliegenden Offenbarung auch in anderen Systemen und/oder zum Durchführen von lithografischen Prozessen bei anderen Wellenlängen bereitgestellt werden. Somit erzeugt die Quelle 14 in einigen Ausführungsformen Strahlung 18 mit einer Wellenlänge, wie z.B. einem Röntgenstrahl, einem DUV, einer I-Linie, einer G-Linie und/oder anderen verfügbaren Wellenlängen. Infolgedessen weisen die Komponenten des optischen Wegs Komponenten auf, die für die gewählte Wellenlänge geeignet sind, einschließlich von Spiegeln, Linsen, Flüssigkeitsumgebungen, Pellikel-Spiegeln, Strahlteilern, Gittern, Phasenschieberkomponenten und dergleichen. Gleichermaßen ist die Maske 12 dazu eingerichtet, die bereitgestellte Strahlung auf geeignete Weise zu strukturieren, indem zum Beispiel eine Phasenverschiebungsmaske, eine Transmissionsmaske und/oder andere geeignete Masken bereitgestellt werden.
  • Unter Bezugnahme auf 2A ist eine Beispielmaske oder ein Retikel 12 ausführlicher dargestellt. Die Maske 12 kann eine EUV-Maske sein. In einer Ausführungsform ist, wie hier beschrieben, das Lithografiesystem 10 ein EUV-Lithografiesystem, und die Maske 12 ist eine reflektierende Maske, die zum Durchführen einer EUV-Lithografie verwendet wird. Im Allgemeinen absorbieren von daher Gebiete der Maske 12, in denen die Absorptionsschicht 206 vorhanden ist, Einfallstrahlung, wie z.B. die Strahlung 18, während Gebiete der Maske, in denen die Absorptionsschicht nicht vorhanden ist, einfallende Strahlung zu einem Ziel reflektieren, wodurch eine strukturierte Strahlung 18 bereitgestellt wird.
  • Die Maske 12 weist ein Substrat 200 mit einem geeigneten Material auf, wie z.B. einem Material mit geringer Wärmeausdehnung (low thermal expansion material, LTEM) oder Quarzglas. Beispiele für Materialien mit geringer Wärmeausdehnung weisen Quarz sowie LTEM-Glas, Silizium, Siliziumkarbid, Siliziumoxid, Titanoxid, Black Diamond® (ein Markenzeichen von Applied Materials), mit TiO2 dotiertes SiO2, und/oder andere in der Technik bekannte Substanzen mit geringer Wärmeausdehnung auf. Über dem Substrat 200 befinden sich mehrere reflektierende Schichten, die eine Mehrfachschicht (ML) 202 bilden. Die ML 202 weist mehrere Filmpaare auf, wie z.B. Molybdän-Silizium-Filmpaare (Mo/Si-Filmpaare) (z.B. eine Schicht aus Molybdän über oder unter einer Schicht aus Silizium in jedem Filmpaar). Alternativ kann die ML Molybdän-Beryllium-Filmpaare (Mo/Be-Filmpaare) aufweisen, oder andere geeignete Materialien, die eingerichtet werden können, um das EUV-Licht stark zu reflektieren. Die Anzahl von Schichten, die Schichtdicke, und die Schichtmaterialien werden derart gewählt, dass sie das gewünschte Reflexionsvermögen auf der Grundlage der Belichtungsstrahlung und ihrer Eigenschaften, wie z.B. der Wellenlänge und/oder des Einfallswinkel, bereitstellen. In einer Ausführungsform werden mehrere Mo/Si-Paare (z.B. 40 Paare) ausgebildet, um die reflektierenden Schichten 202 bereitzustellen. Die ML 202 bildet einen mehrschichtigen Spiegel, der betreibbar ist, um die einfallende Strahlung zu reflektieren.
  • Die Maske 12 kann ferner eine Abdeckschicht 204 aufweisen. In einigen Implementierungen wird die Abdeckschicht 204 auf der ML 202 angeordnet, um die ML 202 vor Oxidation zu schützen. In einer Implementierung ist die Abdeckschicht 204 Ruthenium (Ru). In einer Ausführungsform beträgt eine Dicke der Abdeckschicht 204 zwischen ungefähr 2 Nanometer und 10 Nanometer (nm), wie z.B. ungefähr 3,5 Nanometer (nm). Eine Absorberschicht 206 kann über der Abdeckschicht 204 ausgebildet werden. Die Absorberschicht 205 wird gemäß der gewünschten Strukturierung des Strahlungsstrahls strukturiert, die mit dem auf dem Zielsubstrat herzustellenden Element assoziiert ist. Die Absorberschicht 206 weist eine erste Absorberschicht 206A und eine darüberliegende zweite Absorberschicht (die als eine Antireflexbeschichtung (ARC) dient) 206B auf. In einigen Implementierungen enthält die Absorberschicht 206 Bor. Beispielzusammensetzungen enthalten TaBN (z.B. 206A) und TaBO (z.B. 206B), sind aber nicht darauf beschränkt. In einigen Implementierungen enthält die Absorberschicht auch andere Elemente (z.B. Chrom). In einer Ausführungsform beträgt eine Dicke der Absorberschicht 206A zwischen ungefähr 30 nm und 120 nm, zum Beispiel beträgt die Dicke 68 nm. In einer Ausführungsform beträgt eine Dicke der ARC-Schicht zwischen 1 nm und 10 nm, wie z.B. ungefähr 2 nm.
  • In einigen Implementierungen wird eine leitfähige Rückseitenbeschichtung 208 auf der entgegengesetzten Seite des Substrats 200 bereitgestellt. Die leitfähige Rückseitenbeschichtung 208 kann verwendet werden, um die Maske 12 an einer elektrostatischen Spannvorrichtung, wie z.B. dem Tisch 16, des Lithografiesystems 10, das vorstehend unter Bezugnahme auf 1 beschrieben wurde, zu befestigen. Daher wird in einigen Implementierungen diese Beschichtung 208 als eine Aufspannschicht bezeichnet. Beispiele für elektrostatische Aufspannschichtmaterialien weisen Chromnitrid (CrN), Chromoxinitrid (CrON), Chrom (Cr), Tantalbornitrid (TaBN), Tantalsilizid (TaSi) und/oder andere geeignete Materialien auf.
  • In 2B ist die Maske 12 in einer Umgebung dargestellt, in der ein lithografischer Prozess unter Verwendung der Maske 12 durchgeführt wird. Ein EUV-Strahlungsstrahl 18 fällt auf die Maske 12, die die Absorberschicht 206 aufweist, ein. In einer Ausführungsform weist der EUV-Strahlungsstrahl 18 eine um ungefähr 13,5 nm zentrierte Wellenlänge auf. Die Maske 12 kann sich in einer Vakuumumgebung befinden. In einer weiteren Ausführungsform ist Wasserstoff in der Umgebung verfügbar, wie durch die repräsentativen H-Atome von 2B dargestellt. In einer Ausführungsform werden Wasserstoffradikale durch Strahlung generiert. Die einfallende Strahlung 18 kann in manchen Fällen Energie bereitstellen, die hinreichend ist, damit Boratome aus der Absorberschicht 206 freigesetzt werden. Die freigesetzten Boratome können sich mit dem verfügbaren Wasserstoff verbinden. In manchen Fällen bildet die Bindung BH3. Das gebildete BH3 kann gasförmig bereitgestellt werden. Andere Verbindungen können ebenfalls gebildet werden, einschließlich von Diboran, aber nicht darauf beschränkt. 2C zeigt das Ausbilden von BH3, was als Ausgasen bezeichnet wird. Somit kann das ausgegaste BH3 im Lithografiesystem 10 vorhanden sein, wie z.B. in der Vakuumkammer, die die Maske 12 aufnimmt.
  • Wie unter Bezugnahme auf 1 angezeigt, kann die Maske 12 in einer Umgebung bereitgestellt werden, die zusammenhängend ist, so dass sie sich von der Maske 12 durch die Projektionsoptik 22 zum Substrat 24 erstreckt. In einer solchen Implementierung kann sich ein Ausgasen aus dem Zielsubstrat 24 mit einem Ausgasen aus der Maske 12 vermischen. Ein Beispielausgasen aus dem Fotolack enthält kohlenstoffbasierte Komponenten, ist aber nicht darauf beschränkt. In einigen Implementierungen erzeugt eine Fotolackschicht auf dem Zielsubstrat 24 ein Ausgasen von kohlenstoffbasierten Komponenten, wie z.B. Methylamin.
  • Das aus der Maske 12 ausgegaste Material und das aus dem Fotolack des Substrats 24 ausgegaste Material können sich vermischen, um unerwünschte Verbindungen zu bilden, die Kontaminationsstoffe an das System 10 und seine Komponenten liefern. In der dargestellten Reaktion von 3 verbinden sich eine aus der Maske 12 ausgegaste Komponente (z.B. BH3, wie in 2C dargestellt) und eine aus dem Substrat 24 ausgegaste Verbindung (z.B. Methylamin, Ammoniak), um Kontaminationsstoffe, wie z.B. Methylamin-Boran und/oder Ammoniak-Boran oder Varianten davon, zu bilden. In einigen Implementierungen können die gebildeten Verbindungen aus dem Ausgasen der Maske 12 und des Substrats 24 in einer Gasphase reagieren, um eine Festphasenverbindung zu bilden. Zum Beispiel werden in einigen Implementierungen Methylamin-Boran und/oder Ammoniak-Boran in fester Phase gebildet. Die ausgegasten Materialien und die Produkte ihrer Reaktionen können Kontaminationsstoffe liefern, die am System 10 haften können, einschließlich von den Kammerseitenwänden, Spiegeln des optischen Wegs, dem Substrat 24, der Maske 12 und/oder anderen Elementen, aber nicht darauf beschränkt. In einigen Implementierungen bildet das Nebenprodukt einen Festphasenkontaminationsstoff, der sich auf einer Fläche der Maske 12 bildet, was die Reflexionsvermögeneigenschaften dieses Bereichs der Maske verändert, wodurch ein Defekt erzeugt wird, der die Strukturierung des Strahlungsstrahls unterbricht. Zum Beispiel kann ein Defekt eine unerwünschte Absorption oder Reflexion bereitstellen, wodurch ein Defekt in der Struktur erzeugt wird. Da die Struktur der Maske 12 quer über dem Substrat 24 wiederholt wird, kann ein einzelner Defekt der Maske 12 die Ausbeute von auf dem Substrat 24 ausgebildeten Vorrichtungen stark beeinträchtigen, da sich der Defekt quer über dem Substrat 24 wiederholt.
  • Die vorliegende Offenbarung stellt Systeme und Verfahren bereit, die in einigen Implementierungen darauf abzielen, die ausgasenden Komponenten aus der Maske 12 zu reduzieren, um wiederum die im System erzeugte Kontamination zu reduzieren. Um die ausgasenden Komponenten aus der Maske 12 zu reduzieren, wie z.B. eine Reduzierung der Produktion von BH3, das vorstehend besprochen wurde, wird der thermische Zustand der Maske 12 überwacht und mithilfe der hierin diskutierten Thermomanagementtechniken abgestimmt. Zum Beispiel erkennt die vorliegende Offenbarung, dass weniger Ausgasung (z.B. BH3 oder Derivate) bei einer niedrigeren Temperatur der Maske 12 erzeugt wird. Somit wird in einigen Implementierungen das während eines Lithografieprozesses erzeugte Ausgasen aus der Maske 12 reduziert, indem die thermischen Bedingungen des Maskenhalters 16, der Maske 12 selbst und/oder der Umgebung der Maske 12 verwaltet (z.B. durch Absenken der Temperatur) werden. Somit dient das Thermomanagement in einigen Implementierungen dazu, ein geringeres Ausgasen bereitzustellen, indem die Produktion von Bor in die Umgebung eingeschränkt wird, indem die Menge an aus dem Absorber freigesetztem Bor reduziert wird und/oder die Bornitridreaktion(en) reduziert wird (werden). Es ist zu beachten, dass die Beschaffenheit der EUV-Lithografie und der reflektierenden Maske 12 bedeutet, dass ein gewisser Prozentsatz der EUV-Leistung in der EUV-Maske absorbiert wird, wodurch ihre Temperatur erhöht wird. Das Thermomanagement der vorliegenden Offenbarung erkennt diese anderweitige Temperaturerhöhung und geht sie an.
  • In einigen Studien wurde gezeigt, dass mehr als die Hälfte der Defekte auf einer EUV-Maske in einem Lithografiesystem, wie z.B. dem vorstehend diskutierten System 10, von Verbindungen stammen, die Bor und Nitrid enthalten. Daher können Implementierungen der vorliegenden Ausführungsformen, die das Ausgasen von Bor reduzieren, dazu dienen, der Qualität des EUV-Lithografieprozesses zugute zu kommen, indem sie einen Reaktanten der Defekt-induzierenden Verbindungen entfernen. Es ist zu beachten, dass diese Diskussion nur zum Zwecke des Verständnisses dient und die vorliegende Offenbarung nicht an eine Theorie oder einen resultierenden Kontaminationsgrad gebunden ist, sofern dies nicht ausdrücklich durch die nachstehenden Ansprüche erfasst wird.
  • Unter Bezugnahme auf 4 ist ein Blockdiagramm eines Systems 400 dargestellt, das eine Maske 12 und einen Maskenhalter (oder Tisch oder Spannvorrichtung) 16 aufweist. Das System 400 kann in einem Lithografiesystem, wie z.B. dem Lithografiesystem 10, das vorstehend unter Bezugnahme auf 1 besprochen wurde, aufgenommen sein. In einer Ausführungsform ist die Maske 12 eine EUV-Maske, im Wesentlichen ähnlich, wie vorstehend unter Bezugnahme auf 2A besprochen.
  • Der Maskentisch 16 ist in mehrere Gebiete oder Zonen 402 getrennt. Die Zonen 402 sind Abschnitte des Maskentisches 16, die individuell abgestimmt oder eingerichtet werden können. In einer Implementierung können die Zonen 402 individuell eingerichtet werden, um eine unterschiedliche Thermosteuerung bereitzustellen. In einer Implementierung sind eine oder mehrere Thermoregulierungskomponenten in jeder Zone 402 bereitgestellt. Jede der Zonen 402 ist mit dem Thermosteuerungsmodul 30 gekoppelt. In einer Ausführungsform stellt das Thermosteuerungsmodul (oder einfach die Steuerung) 30 Anweisungen an eine gegebene Zone 402 bereit, um einen gewünschten Temperatursollwert zu erreichen. Jede Zone 402 kann eine Komponente aufweisen, die betreibbar ist, um die Temperatur der Zone zu reduzieren - eine Thermoregulierungskomponente 408 - Wärmetauscher, ein Kältemittel (Gas oder Flüssigkeit), ein Feststoffkühlmodul(e), ein Thermorohrleitungsmodul(e), und/oder andere Kühlkomponenten. Jede Zone 402 kann außerdem einen Temperatursensor 410 aufweisen. In einigen Implementierungen weist jede Zone 402 einen direkten Temperatursensor 410, wie z.B. ein Thermoelement, auf. Das Thermoelement weist eine elektrische Vorrichtung auf, die eine temperaturabhängige Spannung erzeugt, die mit einer Temperatur korreliert werden kann.
  • Der Maskentisch 16 kann eine einzelne Zone 402 oder eine beliebige Anzahl mehrerer Zonen 402 aufweisen. In einer Implementierung sind zwei Zonen 402 in einem gegebenen Tisch 16 vorhanden. In anderen Implementierungen ist ein Array von Zonen 402 bereitgestellt. Jede Zone 402 entspricht einem bestimmten physischen Abschnitt des Tisches 16 und daher einem bestimmten physischen Gebiet der durch den Tisch 16 gehaltenen Maske 12. Das Thermosteuerungsmodul 30 überwacht (z.B. unter Verwendung einer Rückkopplung vom Sensor 408, 410) jede Zone 402 individuell im Hinblick auf Temperatur, sowie steuert jede Zone einzeln auf Temperatur, indem Anweisungen an Thermoregulierungskomponenten 408 im Tisch, wie z.B. Wärmetauscher, das Kältemittel (Gas oder Flüssigkeit), das Feststoffkühlmodul(e), das Thermorohrleitungsmodul(e), und Thermoregulierungskomponenten abseits des Tisches 16, wie z.B. die nachstehend beschriebenen Gasstrahlen, bereitgestellt werden.
  • Zu diesem Zweck weist das System 400 auch einen oder mehrere Gasstrahlen (oder Düsen) 404 auf. In einer Ausführungsform weist das System 400 mehrere Gasstrahlen 404 auf, die jeweils mit dem Thermosteuerungsmodul 30 gekoppelt sind. Die Gasstrahlen 404 sind betreibbar, um eine Durchflussrate eines Gases zu liefern. Beispielgase weisen H, He, Ar, N und/oder Kombinationen davon auf, sind aber nicht darauf beschränkt. In einigen Implementierungen liefern die Gasstrahlen 404 Wasserstoff. Wasserstoff wird aufgrund seiner Antioxidations-, Kohlenstoffreinigungseigenschaften und/oder seiner hohen EUV-Transmission als ein geeignetes Gas bereitgestellt. In einer Ausführungsform liefern die Gasstrahlen 404 einen Gasstrom, der betreibbar ist, um eine oder mehrere Komponenten des Systems 400 zu kühlen. In einigen Implementierungen stellen die Gasstrahlen 404 einen Gasstrom unterhalb von Raumtemperatur bereit. In einer Ausführungsform sind mehrere Gasstrahlen 404 vorhanden, die jeweils einzeln durch das Thermosteuerungsmodul 30 abgestimmt oder eingerichtet werden können. In einer Implementierung sind die Gasstrahlen 404 einzeln eingerichtet, um ein Gas mit einer anderen Durchflussrate und/oder ein Gas mit einer anderen Temperatur bereitzustellen. In einer Ausführungsform stellt das Thermosteuerungssystem 30 eine Anweisung an die Gasstrahlen 404 bereit, die eine Durchflussrate und/oder Gastemperatur auf der Grundlage eines für die Maske 12 gewünschten Thermomanagementplans (z.B. eines Kühlens) anweist.
  • Das System 400 weist außerdem mehrere Sensoren 406 auf. Die Sensoren 406 können ein IR-Sensor (auch als eine IR-Kamera bezeichnet), ein UV-Sensor, ein Lichtsensor und/oder andere geeignete Komponenten sein. Die Sensoren 406 können aufgrund ihres fehlenden direkten Kontakts mit einem Körper, den sie messen, als eine Fern-Temperaturmessvorrichtung bezeichnet werden. Die mehreren Sensoren 406 können betreibbar sein, um eine Temperatur der Maske 12, des Tisches 16 und/oder einer oder mehrerer der Zonen 402, und/oder eine Temperatur in einer Umgebung, die die Maske 12 umgibt, die als ein Abschnitt der Maskenumgebung 401 dargestellt ist, zu bestimmen. In einer Ausführungsform sind die Sensoren 406 Infrarot-Temperatursensoren (IR-Temperatursensoren).
  • In einer Ausführungsform weist jede Zone 402 eine assoziierte Fern-Temperaturabtastvorrichtung auf, wie z.B. einen IR-Sensor, die betreibbar ist, um die mit dieser Zone 402 assoziierte Temperatur bereitzustellen. In einer Ausführungsform weist jede Zone 402 eine assoziierte direkte Temperaturabtastvorrichtung 410, wie z.B. ein Thermoelement, auf, die betreibbar ist, um die mit dieser Zone 402 assoziierte Temperatur bereitzustellen. In einer Ausführungsform ist die jeweilige Anzahl von Sensoren 406 und/oder 410 gleich der Anzahl von Zonen 402. Zum Beispiel kann die Steuerung 30 Temperaturinformationen von einem ersten Sensor 406 empfangen, die die Steuerung 30 dazu verwendet, eine Anweisung an eine Thermoregulierungskomponente und/oder die Gasstrahlen 404 einer ersten Zone 402 zu liefern, um ein thermisches Kühlen der ersten Zone bereitzustellen. Die Gasstrahlen 404, die Thermoregulierungskomponenten 408 der Zonen 402, und die Sensoren, die ferne Sensoren 406 und direkte Sensoren 410, wie z.B. Thermoelemente auf dem Tisch 16, aufweisen, stellen zusammen mit der Steuerung 30 ein Thermomanagementsystem bereit. Das Thermomanagementsystem kann in einem EUV-Lithografiesystem, wie z.B. dem in 1 beschriebenen System 10, implementiert werden. In einigen Implementierungen ist das Thermomanagementsystem betreibbar, um die Temperatur der Maske 12 zu messen und einzurichten oder abzustimmen, so dass eine Reduzierung beim Ausgasen aus der Maske 12 in die Maskenumgebung 410 während eines lithografischen Prozesses vorliegt.
  • Unter Bezugnahme auf 5, 6, 7A, 8A und 9 sind jeweils Ausführungsformen eines Systems 500, 600, 700, 800 bzw. 900 dargestellt, die ein Thermomanagement einer Maske oder eines Retikels bereitstellen. Die Systeme 500, 600, 700, 800 und 900 sind Ausführungsbeispiele von Implementierungen des unter Bezugnahme auf 4 beschriebenen Systems 400. Jedes der Systeme 500, 600, 700, 800 und 900 kann zusätzliche Komponenten aufweisen und/oder eine oder mehrere Komponenten können weggelassen sein.
  • Das in 5 dargestellte System 500 weist eine Maske 12 auf, die der vorstehend besprochenen Maske 12 im Wesentlichen ähnlich sein kann. In einer Ausführungsform wird eine obere Schicht der Maske 12 für einfallende Strahlung bereitgestellt (d.h. die Fläche, die in 5 nach unten weist). In einer Ausführungsform enthält die obere Schicht Bor.
  • In einer Ausführungsform wird die Maske 12 am Tisch 16 durch ein elektrostatisches Potential befestigt. Andere Ausführungsformen sind möglich, einschließlich von solchen, in denen eine Klemme das Retikel am Tisch 16 anbringt.
  • In einer Ausführungsform kann der Tisch 16 mithilfe eines Positionierungselements 502 in X-, Y- und/oder Z-Richtung bewegt werden. In einigen Ausführungsformen weist das Positionierungselement 502 einen oder mehrere Aktuatoren auf, die den Tisch 16 in einer vorgeschriebenen Richtung um eine vorgeschriebene Strecke bewegen können. In einigen Ausführungsformen weisen die Aktuatoren Schrittmotoren, piezoelektrische Aktuatoren, Kurzhubmotoren und/oder andere Elemente auf. In einigen Ausführungsformen ist das Positionierungselement 502 mit einer Steuerung gekoppelt oder weist diese auf, um den einen oder die mehreren Schrittmotoren und/oder Piezoaktuatoren derart zu steuern, dass eine gewünschte Bewegung des Tisches 16.
  • Der Tisch 16 weist außerdem eine Thermoregulierungskomponente 504 auf. Die Thermoregulierungskomponente 504 kann einen Wärmetauscher, Rohrleitungen oder Kanäle, die ein Kältemittel bereitstellen, ein Feststoffkühlmodul, ein Thermorohrleitungsmodul(e) und/oder andere Thermokühlkomponenten und/oder andere Thermomanagementkomponenten aufweisen. In einer Ausführungsform weist die Thermoregulierungskomponente 504 ein Kältemittel auf. Das Kältemittel kann ein Gas oder eine Flüssigkeit sein. In einer Ausführungsform arbeitet die Thermoregulierungskomponente 504 ohne Kältemittel. In der dargestellten Ausführungsform wird die Thermoregulierungskomponente 504 auf einer, von der Maske 12 aus, entgegengesetzten Seite des Positionierungselements 502 angeordnet, jedoch sind andere Ausgestaltungen möglich. Die Thermoregulierungskomponente 504 kann der Thermoregulierungskomponente 16A des Systems 10 und/oder der Thermoregulierungskomponente 408 des Systems 400 im Wesentlichen ähnlich sein.
  • Ein Thermoelement 506 wird auf dem Tisch 16 angeordnet. In einer Ausführungsform wird ein Thermistor oder eine andere Temperaturmessvorrichtung anstelle des Thermoelements 506 und/oder zusätzlich zu diesem verwendet. Das Thermoelement 506 ist betreibbar, um eine Temperatur des Tisches 16 abzutasten. Das Thermoelement 506 ist mit dem Thermosteuerungsmodul 30 gekoppelt und liefert Temperaturdaten an das Thermosteuerungsmodul 30, die mit dem Abschnitt des Tisches 16, auf dem es angeordnet ist, im Zusammenhang stehen. Anstelle von oder zusätzlich zum Thermoelement 506 kann die Temperatur durch andere direkte Sensoren (z.B. Thermistoren) oder indirekte Messkomponenten, wie z.B. eine IR-Kamera/einen IR-Sensor, einen UV-Sensor, einen Lichtsensor und/oder andere geeignete Komponenten, abgetastet werden.
  • Ein IR-Modul oder -Sensor 508 wird benachbart zum Tisch 16 und in einem Abstand davon angeordnet. Das IR-Modul 508 kann dem Sensor 406, der vorstehend unter Bezugnahme auf 4 beschrieben wurde, im Wesentlichen ähnlich sein. In einer Ausführungsform stellt das IR-Modul 508 Temperaturmesswerte der Abschnitte der Maske 12 auf dem Tisch 16 und/oder der Umgebung bereit. Das IR-Modul 508 wird mit dem Thermosteuerungsmodul 30 gekoppelt und liefert Temperaturdaten an das Thermosteuerungsmodul 30. Anstelle des IR-Moduls 508 oder zusätzlich zu diesem kann die Temperatur durch andere indirekte Abtastkomponenten abgetastet werden, wie z.B. einen UV-Sensor, einen Lichtsensor und/oder andere geeignete Komponenten, die zum Abtasten einer Temperatur aus der Ferne betreibbar sind.
  • Auf der Grundlage der vom Thermoelement 506 und dem IR-Modul 508 empfangenen Informationen, sowie anderer Informationen (z.B. lithografischer Abtast- und Zeitablaufdaten, Informationen über die Maske 12, Umgebungsbedingungsdaten, die Umgebungstemperatur aufweisen, lithografischer Parameter (wie nachstehend besprochen)) weist das Thermosteuerungsmodul 30 die Thermoregulierungskomponente 504, die damit betriebsfähig gekoppelt ist, an, die Temperatur der Maske 12 zu regulieren (z.B. zu senken), wie z.B. indem ein zusätzliches Kühlen bereitgestellt wird.
  • Das System 600 von 6 weist viele ähnliche Komponenten wie das System 500 auf, wie z.B. die Maske 12 und den Maskentisch 16. Jedoch weist der Tisch 16 im System 600 mehrere Thermoregulierungskomponenten 602 auf. Die Thermoregulierungskomponenten 602 können einen Wärmetauscher, Rohrleitungen oder Kanäle, die ein Kältemittel bereitstellen, und/oder andere Thermokühlungskomponenten aufweisen. In einer Ausführungsform des Systems 600 sind zwei Thermoregulierungskomponenten 602A und 602B bereitgestellt. Jedoch kann eine beliebige Anzahl von Thermoregulierungskomponenten bereitgestellt werden, wie vorstehend unter Bezugnahme auf die Zonen 402 besprochen. In der dargestellten Ausführungsform wird die Thermoregulierungskomponente 602 auf einer, von der Maske 12 aus, entgegengesetzten Seite des Positionierungselements 502 angeordnet, jedoch sind andere Ausgestaltungen möglich. In der dargestellten Ausführungsform wird die Thermoregulierungskomponente 602A über einer ersten Hälfte der Maske12 (z.B. links) angeordnet und die Thermoregulierungskomponente 602B wird über einer zweiten Hälfte des Retikels angeordnet (z.B. rechts).
  • Mehrere Thermoelemente 506 sind auf dem Tisch 16 angeordnet. In einer Ausführungsform werden andere Temperaturabtastvorrichtungen, wie z.B. ein Thermistor, anstelle der Thermoelemente 506 und/oder zusätzlich zu diesen verwendet. Ein erstes Thermoelement 506A wird auf einem ersten (z.B. linken) Abschnitt des Tisches 16 angeordnet und ein zweites Thermoelement 506B wird auf einem zweiten (z.B. rechten) Abschnitt des Tisches 16 angeordnet. Das Thermoelement 506A ist betreibbar, um eine Temperatur des ersten Gebiets des Tisches 16 abzutasten; das Thermoelement 506B ist betreibbar, um eine Temperatur des zweiten Gebiets des Tisches 16 abzutasten. Die Thermoelemente 506 werden jeweils mit dem Thermosteuerungsmodul 30 gekoppelt und liefern Temperaturdaten an das Thermosteuerungsmodul 30, die mit dem Abschnitt (oder der Zone) des Tisches 16, auf dem sie angeordnet sind, im Zusammenhang stehen.
  • Mehrere IR-Module 508 sind benachbart zum Tisch 16 angeordnet. Das IR-Modul 508 kann dem Sensor 406, der vorstehend unter Bezugnahme auf 4 beschrieben wurde, im Wesentlichen ähnlich sein. In einer Ausführungsform stellt das IR-Modul 508 Temperaturmesswerte der Abschnitte der Maske 12 auf dem Tisch 16 und/oder der Umgebung bereit. In einer Ausführungsform stellt das IR-Modul 508A Informationen über einen ersten Abschnitt der Maske 12, des Tisches 16 und/oder die Umgebung bereit; das IR-Modul 508B stellt Informationen über einen zweiten Abschnitt der Maske 12, des Tisches 16 und/oder der Umgebung bereit. Die IR-Module 5o8A und 5088 werden jeweils mit dem Thermosteuerungsmodul 30 gekoppelt und liefern Temperaturdaten an das Thermosteuerungsmodul 30.
  • Auf der Grundlage der vom Thermoelement 506A, dem Thermoelement 506B, dem IR-Modul 508A, dem IR-Modul 508B empfangenen Informationen sowie anderer Informationen (z.B. lithografischer Abtast- und Zeitdaten, Informationen über die Maske 12, Umgebungsbedingungsdaten, die Umgebungstemperatur aufweisen) weist die Steuerung 30 jede von der Thermoregulierungskomponente 602A und der Thermoregulierungskomponente 602B, die betriebsfähig damit gekoppelt sind, an, die Komponenten auf eine gewünschte Weise zu steuern, um die Temperatur des ersten Abschnitts und des zweiten Abschnitts der Maske 12 zu regulieren. An jede von der Thermoregulierungskomponente 602A und der Thermoregulierungskomponente 602B können unterschiedliche Anweisungen gesendet werden. Zum Beispiel weisen in einer Ausführungsform die Informationen über die Maske 12, die durch das Thermosteuerungsmodul 30 in Betracht gezogen werden, die Strukturdichte der Maske 12 auf. In einer Ausführungsform weist der erste (z.B. der linke) Abschnitt der Maske 12 eine erste Strukturdichte auf, und der zweite (z.B. der rechte) Abschnitt der Maske 12 weist eine zweite Strukturdichte auf. Zum Beispiel kann der erste Abschnitt eine größere Strukturdichte aufweisen als der zweite Abschnitt. In einer solchen Ausführungsform kann die Steuerung 30 Anweisungen an die Thermoregulierungskomponente 602A bereitstellen, um im Vergleich mit den an die Thermoregulierungskomponente 602B gelieferten Anweisungen eine zusätzliche Kühlung bereitzustellen. Mit anderen Worten stellt in einigen Ausführungsformen das Thermosteuerungsmodul 30 Anweisungen an die Thermoregulierungskomponente 602A bereit, um für eine Kühlung zu sorgen, die größer ist als die Kühlanweisungen, die an die Thermoregulierungskomponente 602B geliefert werden.
  • Das System 700 von 7A ist dem in 6 besprochenen System 600 ähnlich und weist gleichermaßen die auf dem Tisch 16 angeordnete Maske 12, die im Wesentlichen der vorstehend besprochenen ähnlich sein kann. Der Tisch 16 weist außerdem mehrere Thermoregulierungskomponenten 602 auf, in der dargestellten Ausführungsform des Systems 700 zwei Thermoregulierungskomponenten 602A und 602B. In der dargestellten Ausführungsform wird die Thermoregulierungskomponente 602A über einem Abschnitt 12' der Maske 12 angeordnet und die Thermoregulierungskomponente 602B wird über einem Abschnitt 12" der Maske 12 angeordnet. Mehrere Thermoelemente 506 und IR-Module 508 sind im System 700 aufgenommen. Die Thermoelemente 506 und die IR-Module 508 können den vorstehend besprochenen im Wesentlichen ähnlich sein. Obwohl zwei von den Thermoregulierungskomponenten 602, den Thermoelementen 506 und den IR-Modulen 508 dargestellt sind, ist eine beliebige Anzahl möglich.
  • Das Thermosteuerungsmodul oder die Steuerung 30 ist mit einer Datenbank 702 betriebsfähig gekoppelt. Die Datenbank 702 weist eine physische Struktur auf, wie z.B. eine Speichervorrichtung mit einem Eingang und einem Ausgang für eine Datenübertragung einwärts und auswärts. Zu Beispielen der Speichervorrichtung gehören eine nichtflüchtige Speichervorrichtung (NVM-Vorrichtung), wie z.B. eine Flash-Speichervorrichtung oder ein ferroelektrischer Direktzugriffsspeicher (RAM), ein flüchtiger Speicher, wie z.B. eine statische RAM-Vorrichtung (SRAM-Vorrichtung), eine andere geeignete Speichervorrichtung, oder eine Kombination davon.
  • Die Datenbank 702 kann mit der Maske 12 assoziierte Strukturdichteinformationen aufweisen. In einer Ausführungsform sind die Strukturdichteinformationen Designdaten, die mit der (den) unter Verwendung der Maske 12 herzustellenden Vorrichtung(en) im Zusammenhang stehen. Die Designdaten können Informationen über das Design und Layout der Chips sein, die unter Verwendung der Maske 12 ausgebildet werden, wie z.B. die in einer GDS-Datei befindlichen Designdaten. In einer Ausführungsform weist die Datenbank 702 Informationen über die Strukturdichte der Maske12 auf, wie durch Beispieldesigndaten 704 von 7B dargestellt. In einer Ausführungsform zeigen die Designdaten 704 eine größere Strukturdichte am Gebiet 12' als am Gebiet 12". In einer weiteren Ausführungsform definieren die Merkmale der Designdaten 704 aktive Gebiete, wie z.B. eine Finne eines FinFET-Transistors. 7C zeigt ein anderes Ausführungsbeispiel von Designdaten 704', die zum Ausbilden der Maske 12 verwendet werden. In einer Ausführungsform zeigen die Designdaten 704 eine größere Strukturdichte am Gebiet 12' als am Gebiet 12". In einer weiteren Ausführungsform definieren die Merkmale Kontaktelemente eines Transistors.
  • In der Implementierung einer EUV-Maske können die Merkmale der Designdaten 704 durch die strukturierte Absorberschicht definiert sein. Die Strukturdichte der Maske 12 kann durch das Verhältnis eines Absorbers, wie z.B. der Absorberschicht 206, die vorstehend unter Bezugnahme auf 2A beschrieben wurde, zur freigelegten reflektierenden ML, wie z.B. der ML 202, die ebenfalls vorstehend unter Bezugnahme auf 2A beschrieben wurde, bestimmt werden. In einer Ausführungsform ist das Thermosteuerungsmodul 30 betreibbar, um eine berechnete Strukturdichte auf der Grundlage der Designdaten, die in der Datenbank 702 gespeichert sind, bereitzustellen.
  • Auf der Grundlage der von den Thermoelementen 506, den IR-Modulen 508 und der Datenbank 702 empfangenen Informationen berechnet und sendet das Thermosteuerungsmodul 30 Anweisungen für jede von der Thermoregulierungskomponente 602A und der Thermoregulierungskomponente 602B, die damit betriebsfähig gekoppelt sind. Die Anweisungen steuern die Thermoregulierungskomponenten auf eine gewünschte Weise, um die Temperatur des ersten Abschnitts 12" und des zweiten Abschnitts 12" der Maske 12 zu regulieren (z.B. zu reduzieren). An jede von der Thermoregulierungskomponente 602A und der Thermoregulierungskomponente 602B können unterschiedliche Anweisungen gesendet werden. In einer Ausführungsform weist der Abschnitt 12' eine erste Strukturdichte (siehe 7B und 7C) auf und der zweite Abschnitt 12" weist eine zweite Strukturdichte auf (siehe 7B und 7C), die geringer ist als die erste Strukturdichte. In einer solchen Ausführungsform kann das Thermosteuerungsmodul 30 Anweisungen an die Thermoregulierungskomponente 602A bereitstellen, um im Vergleich mit der Thermoregulierungskomponente 602B eine zusätzliche Kühlung bereitzustellen.
  • Das Thermosteuerungsmodul 30 kann ein Analysemodul aufweisen, das verschiedene Korrelationsanalyseeinheiten aufweist, welche die Korrelationen zwischen verschiedenen Parametern analysieren, wie z.B. eine Korrelation zwischen der Strukturdichte und der Wärmeerzeugung. In einigen Implementierungen erkennt das Analysemodul des Thermosteuerungsmoduls 30, dass umso mehr Wärme während eines EUV-Lithografieprozesses generiert wird, je größer die Strukturdichte eines Gebiets des Retikels ist. Mit anderen Worten generiert eine Belichtung des ersten Abschnitts 12' mehr Wärme als eine Belichtung des zweiten Abschnitts 12''. Durch Bereitstellen zusätzlicher Kühlanweisungen an die Thermoregulierungskomponente 602A kann das System 700 die zusätzliche erzeugte Wärme ausgleichen. In einer Ausführungsform dient das zusätzliche Kühlen dazu, die Temperatur des ersten Abschnitts 12' zu reduzieren und dadurch die Erzeugung von Ausgasungen, wie z.B. BH3, zu verringern. Es ist zu beachten, dass die Maske 12 derart dargestellt ist, dass sie zwei Gebiete 12' und 12'' aufweist. Jedoch ist eine beliebige Anzahl von Gebieten oder Zonen möglich. In einigen Implementierungen diktiert die Anzahl von Gebieten die Anzahl von Thermoelementen 506, IR-Modulen 508 und/oder Thermoregulierungskomponenten 602.
  • Das System 800 von 8A ist dem System 700 von 7A im Wesentlichen ähnlich, und weist gleichermaßen eine auf einem Tisch 16 angeordnete Maske 12, die mehrere Thermoregulierungskomponenten aufweist, die durch die Thermoregulierungskomponenten 602A und 602B veranschaulicht sind. In der dargestellten Ausführungsform wird die Thermoregulierungskomponente 602A über einem Abschnitt 12' der Maske 12 angeordnet und die Thermoregulierungskomponente 602B wird über einem Abschnitt 12'' der Maske 12 angeordnet. Mehrere Thermoelemente 506 und IR-Module 508 sind im System 800 aufgenommen und können den vorstehend besprochenen im Wesentlichen ähnlich sein. Obwohl zwei Thermoelemente 506 und die IR-Module 508 dargestellt sind, ist auch hier eine beliebige Anzahl möglich.
  • Das Thermosteuerungsmodul 30 ist mit der Datenbank 702 betriebsfähig gekoppelt, die der vorstehend unter Bezugnahme auf 7 besprochenen im Wesentlichen ähnlich ist. In einer Ausführungsform weist, wie vorstehend besprochen, die Datenbank 702 Designdaten auf, die die Retikel-Strukturdichte anzeigen. Das Thermosteuerungsmodul 30 ist auch mit einer Datenbank 802 betriebsfähig gekoppelt. Die Datenbank 802 weist eine physische Struktur auf, wie z.B. eine Speichervorrichtung mit einem Eingang und einem Ausgang für eine Datenübertragung einwärts und auswärts. Zu Beispielen der Speichervorrichtung gehören eine nichtflüchtige Speichervorrichtung (NVM-Vorrichtung), wie z.B. eine Flash-Speichervorrichtung oder ein ferroelektrischer Direktzugriffsspeicher (RAM), ein flüchtiger Speicher, wie z.B. eine statische RAM-Vorrichtung (SRAM-Vorrichtung), eine andere geeignete Speichervorrichtung, oder eine Kombination davon. Die Datenbank 802 kann von der Datenbank 702 getrennt oder damit integriert sein.
  • Die Datenbank 802 kann Lithografieparameter aufweisen, wie z.B. Informationen über den lithografischen Prozess und/oder die Maskenverwendung des lithografischen Prozesses. In einer Ausführungsform weist die Datenbank 802 Informationen auf, die die Belichtungsparameter, die durch das System 800 zu implementieren sind, betreffen. In einer Ausführungsform weist die Datenbank 802 Belichtungszeiten für Gebiete der Maske 12 auf. In einigen Ausführungsformen weist die Datenbank 802 Scannerparameter, wie z.B. Durchtrittbedingungen (z.B. Timing) und Abtastzeit in x- oder y-Achsenrichtung, auf. In einer Ausführungsform weist die Datenbank 802 EUV-Impulsbedingungen, wie z.B. eine Impulsbreite (z.B. w Nanosekunden), Impulswiederholung oder -frequenz (z.B.fkHz), Leistung (z.B. P W/cm2) und/oder Energie (mJ/cm3), auf. Die Datenbank 802 kann Folgendes aufweisen und/oder das Thermosteuerungsmodul 20 kann zum Berechnen von Folgendem betreibbar sein: Energie (E = Pavg / R) und/oder Leistung (z.B. Ppeak = Pavg/R*tau, wobei R die Wiederholungsrate ist (z.B. 50kHz) und tau die Impulsdauer (z.B. 150 nm) eines EUV-Lithografieprozesses ist. In einer Ausführungsform weist die Datenbank 802 die Anzahl von EUV-Impulsen auf, die zum Schmelzen des Tröpfchen (z.B. Sn) erforderlich ist, was das Temperaturprofil des Systems beeinflusst. In einer Ausführungsform weist die Datenbank 802 Temperaturprofile (nachstehend besprochen) auf, die eine maximale Temperatur während der assoziierten Abtastbedingungen anzeigen.
  • Auf der Grundlage der von den Thermoelementen 506, den IR-Modulen 508, der Datenbank 702 und der Datenbank 802 empfangenen Informationen berechnet und sendet das Thermosteuerungsmodul 30 Anweisungen an jede von der Thermoregulierungskomponente 602A und der Thermoregulierungskomponente 602B, die betriebsfähig damit gekoppelt sind, um die Komponenten auf eine gewünschte Weise zu steuern, um die Temperatur des ersten Abschnitts 12'' und des zweiten Abschnitts 12'' der Maske 12 während einer Belichtung zu regulieren. An jede von der Thermoregulierungskomponente 602A und der Thermoregulierungskomponente 602B können unterschiedliche Anweisungen gesendet werden. Wie vorstehend besprochen, empfängt in einer Ausführungsform das Thermosteuerungsmodul 30 Informationen aus der Datenbank 702, dass der Retikelabschnitt 12' eine erste Strukturdichte (siehe 7B und 7C) aufweist und der zweite Abschnitt 12'' eine zweite Strukturdichte aufweist (siehe 7B und 7C), die geringer ist als die erste Strukturdichte. Die Strukturdichte kann durch das Analysemodul verwendet werden, um eine Kühlungsanforderung zu bestimmen (z.B. erfordert eine größere Strukturdichte eine stärkere Kühlung).
  • In einer Ausführungsform empfängt das Thermosteuerungsmodul 20 Informationen aus der Datenbank 802, die Temperaturprofildaten aufweisen, die mit einem lithografischen Prozess, der eine Maske 12 im System 800 verwendet, assoziiert sind. In einer Ausführungsform empfängt das Thermosteuerungsmodul 20 Informationen aus der Datenbank 802, die das Thermosteuerungsmodul 30 zum Berechnen von Temperaturprofildaten verwendet, die mit einem lithografischen Prozess, der eine Maske 12 im System 800 verwendet, assoziiert sind. In einer Ausführungsform erkennt das Thermosteuerungsmodul 30, dass der unter Verwendung des Retikels 12 durchzuführende lithografische Prozess einen Scanner-Durchtrittzustand mit einer Schrittweite von n Millisekunden aufweist. Das Analysemodul des Thermosteuerungsmoduls 30 kann eine thermische Bedingung (z.B. Kühlen), die durch die n Millisekunden verursacht wird, bestimmen, und/oder die durch die n Millisekunden verursachte thermische Bedingung wird aus der Datenbank 802 empfangen. In einer Ausführungsform erkennt das Thermosteuerungsmodul 30, dass der unter Verwendung der Maske 12 durchzuführende lithografische Prozess eine Abtastdauer von m Millisekunden aufweist (z.B. aus der Datenbank 802 empfangen). Das Analysemodul des Thermosteuerungsmoduls 30 kann eine thermische Bedingung (z.B. Heizen), die durch die m Millisekunden verursacht wird, bestimmen, und/oder die durch die m Millisekunden verursachte thermische Bedingung wird aus der Datenbank 802 empfangen.
  • In einer Ausführungsform empfängt das Thermosteuerungsmodul 30 Temperaturprofildaten aus der Datenbank 802 und/oder ein Analysemodul des Thermosteuerungsmoduls 30 kann unter Verwendung von Daten aus der Datenbank 802 Temperaturprofildaten erzeugen (z.B. durch Simulation). Die Temperaturprofildaten zeigen einen thermischen Zustand einer Maske oder eines Abschnitts davon im Verlauf der Zeit, wenn die Maske oder ähnlich eingerichtete Masken dem lithografischen Prozess, der durch die in der Datenbank 802 gespeicherte Parameter definiert ist, ausgesetzt wird/werden. In einer Ausführungsform empfängt das Thermosteuerungsmodul 30 zuvor erfasste Daten (z.B. durch das System 800) aus der Datenbank 802, die einen Temperaturmesswert im Verlauf der Zeit veranschaulichen, wenn die Maske 12 oder ähnlich eingerichtete Retikel belichtet wird/werden. In einer Ausführungsform weist das Thermosteuerungsmodul 30 ein Analysemodul auf, das zum Entwickeln eines Temperaturprofils betreibbar ist, welches eine Temperatur im Verlauf der Zeit beim Belichten der Maske 12 aufweist. Ein Beispiel für Temperaturprofildaten 804 ist in 8B dargestellt. Die Temperaturprofildaten 804 können durch das Analysemodul des Thermosteuerungsmoduls 30 verwendet werden, um einen Kühlbedarf im Verlauf eines gegebenen Zeitraums während des Belichtungsprozesses zu bestimmen. In einer Ausführungsform liefert das Thermosteuerungsmodul 39 Anweisungen für die Thermoregulierungskomponente 602A, um ein erstes Kühlverhalten während einer ersten Dauer einer EUV-Abtastung der Maske 12 und ein zweites Kühlverhalten während einer auf die erste Dauer folgenden zweiten Dauer der EUV-Abtastung der Maske 12 bereitzustellen.
  • Daher kann das Thermosteuerungsmodul 30 im System 800 ein Analysemodul aufweisen, das verschiedene Korrelationsanalyseeinheiten, die die Korrelationen zwischen verschiedenen Parametern, wie z.B. eine Korrelation zwischen der Strukturdichte und der Wärmeerzeugung, analysieren, aufweist und in seine Analyse Daten implementiert, die mit Temperaturprofilen (z.B. durch Simulation, experimentelle Ergebnisse, vorherige Produktserien, ähnliche Retikel oder dergleichen erhalten) assoziiert sind, die mit den Abtastbedingungen der Maske 12 assoziiert sind. In einigen Implementierungen erkennt das Analysemodul des Thermosteuerungsmoduls 30, dass umso mehr Wärme während des EUV-Prozesses generiert wird, je größer die Strukturdichte eines Gebiets des Retikels ist. Mit anderen Worten generiert eine Belichtung des Retikelabschnitts 12' mehr Wärme als eine Belichtung des Retikelabschnitts 12''. Durch Bereitstellen zusätzlicher Kühlanweisungen an die Thermoregulierungskomponente 602A kann das System die zusätzliche erzeugte Wärme ausgleichen. In einigen Implementierungen erkennt das Analysemodul des Thermosteuerungsmoduls 30, dass die höheren Temperaturen der Maske 12 während eines bestimmten Zeitraums während des EUV-Prozesses bereitgestellt werden. Durch Bereitstellen zusätzlicher Kühlanweisungen an die Thermoregulierungskomponente 602 kann das System die zusätzliche erzeugte Wärme während der geeigneten Zeiträume ausgleichen. In einer Ausführungsform dient das durch das Thermosteuerungsmodul 30 angewiesene Kühlen dazu, die Temperatur des Maskenabschnitts 12 zu reduzieren und dadurch die Erzeugung von Ausgasungen, wie z.B. BH3, zu verringern.
  • Das System 900 von 9 ist dem System 800 von 8 ähnlich, einschließlich der Tatsache, dass die Maske 12 auf dem Tisch 16, der Thermoregulierungskomponenten 602A und 602B aufweist, angeordnet ist. In der dargestellten Ausführungsform wird die Thermoregulierungskomponente 602A über einem Abschnitt 12' der Maske 12 angeordnet und die Thermoregulierungskomponente 602B wird über einem Abschnitt 12'' der Maske 12 angeordnet. Jedoch kann die Maske 12 auch hier in eine beliebige Anzahl von Abschnitten oder Zonen unterteilt werden.
  • Mehrere Thermoelemente 506 und IR-Module 508 sind im System 900 aufgenommen. Die Thermoelemente 506 und die IR-Module 508 können den vorstehend besprochenen im Wesentlichen ähnlich sein. Obwohl zwei Thermoelemente 506 und die IR-Module 508 gezeigt sind, ist eine beliebige Anzahl möglich. Das Thermosteuerungsmodul 30 ist mit der Datenbank 702, die der vorstehend unter Bezugnahme auf 7A, 7B, 7C besprochenen im Wesentlichen ähnlich ist, und der Datenbank 802, die der vorstehend unter Bezugnahme auf 8A und 8B besprochenen im Wesentlichen ähnlich ist, betriebsfähig gekoppelt. In einer Ausführungsform weist, wie vorstehend besprochen, die Datenbank 702 Designdaten, die die Maskenstrukturdichte anzeigen. In einer Ausführungsform weist, wie vorstehend besprochen, die Datenbank 802 Temperaturprofildaten auf, die das beim Abtasten der Maske 12 unter Verwendung des Systems 900 erwartete Temperaturprofil anzeigen. Eine oder mehrere dieser Komponenten können in Ausführungsformen des Systems 900 weggelassen sein.
  • Das System 900 weist ferner einen ersten Gasstrom 902 und einen zweiten Gasstrom 904 auf. In einer Ausführungsform wird der erste Gasstrom 902 aus einem ersten Gasstrahl bereitgestellt und der zweite Gasstrom 904 wird aus einem zweiten Gasstrahl bereitgestellt. Die Gasstrahlen können den Gasstrahlen 404, die vorstehend unter Bezugnahme auf 4 besprochen wurden, im Wesentlichen ähnlich sein. Der erste Gasstrom 902 wird in einem ersten Abstand von der Maske 12 bereitgestellt. Der zweite Gasstrom 904 wird in einem zweiten Abstand, der größer als der erste Abstand sein kann, von der Maske 12 bereitgestellt. In einer Ausführungsform weist einer oder mehrere von den Gasströmen 902, 904 eine laminare Strömung in der x-Richtung auf. Sowohl der Gasstrom 902 als auch 904 kann ein gleiches Gas (z.B. Wasserstoff) sein, obwohl dies nicht erforderlich ist. Der Gasstrom 902 kann sich vom Gasstrom 904 im Hinblick auf die Durchflussrate unterscheiden.
  • Der Gasstrom 902 kann sich vom Gasstrom 904 des Weiteren im Hinblick auf die Temperatur unterscheiden. Zum Beispiel kann in einer Ausführungsform der Gasstrom 902 ungefähr 2 °C bis ungefähr 20°C kühler liegen als der Gasstrom 904. In einer Ausführungsform liegt sowohl der Gasstrom 902 als auch der Gasstrom 904 unterhalb der Umgebungstemperatur (z.B. unterhalb von ungefähr 22 °C). In einer Ausführungsform liegt der Gasstrom 902 und/oder der Gasstrom 904 oberhalb von ungefähr 0 °C. In einer Ausführungsform liegt der Gasstrom 904 ungefähr auf Raumtemperatur (z.B. ungefähr 22°C) und der Gasstrom 902 weist eine niedrigere Temperatur auf als der Gasstrom 904, wie z.B. mindestens 3 °C niedriger). In einer Ausführungsform werden der Gasstrom 902 und 904 bei einer Temperatur bereitgestellt, bei der keine Kondensation auftritt. In einigen Implementierungen ist der Retikelspannvorrichtungsdruck derart, dass keine Kondensation bei der bereitgestellten reduzierten Temperatur des Gasstroms 902, 904 auftritt. In einer Ausführungsform kann der Retikelspannvorrichtungsdruck zwischen ungefähr 5 Pa und 100 Pa betragen. In einer weiteren Ausführungsform enthält der Gasstrom ein Gas mit einem Phasenübergangstripelpunkt, der bei ungefähr 1k Pa und 0 °C bereitgestellt ist. Die Gastemperatur kann derart aufrechterhalten werden, dass der Gasstrom in der gasförmigen Phase bleibt.
  • Die Gasströme 902 und 904 werden durch das Thermosteuerungsmodul 30 gesteuert. In einer Ausführungsform stellt das Thermosteuerungsmodul 30 Anweisungen bereit, die mit der Durchflussrate und/oder Temperatur der Gasströme 902 und 904 im Zusammenhang stehen. Das Thermosteuerungsmodul 30 bestimmt die Anweisungen an die Gasströme 902, 904 unter Verwendung der aus der Datenbanken 702 und/oder 902, von den Thermoelementen 506 und IR-Modulen 508 bereitgestellten Informationen. Das Thermosteuerungsmodul 30 kann ein gewünschtes Kühlen für die Maske 12 bestimmen, das durch eine Kombination der Gasströme 902, 904 und der Thermoregulierungskomponenten 602 erreicht wird.
  • Daher kann das Thermosteuerungsmodul 30 im System 900 ein Analysemodul aufweisen, das verschiedene Korrelationsanalyseeinheiten, die die Korrelationen zwischen verschiedenen Parametern, wie z.B. eine Korrelation zwischen der Strukturdichte und der Wärmeerzeugung, analysieren, bereitstellt und in seine Analyse Daten vorheriger Temperaturprofile (z.B. durch Simulation, experimentelle Ergebnisse, vorherige Produktserien, ähnliche Retikel oder dergleichen erhalten) implementiert, die mit den Abtastbedingungen der Maske 12 assoziiert sind. In einigen Implementierungen erkennt das Analysemodul des Thermosteuerungsmoduls 30, dass umso mehr Wärme während des EUV-Prozesses generiert wird, je größer die Strukturdichte eines Gebiets des Retikels ist. Mit anderen Worten generiert eine Belichtung des Retikelabschnitts 12'' mehr Wärme als eine Belichtung des Retikelabschnitts 12''. Durch Bereitstellen zusätzlicher Kühlanweisungen an die Thermoregulierungskomponente 602 und die Gasströme 902 und 904 kann das System 904 die zusätzliche erzeugte Wärme ausgleichen. In einigen Implementierungen erkennt das Analysemodul des Thermosteuerungsmoduls 30, dass das größere Erwärmen der Maske 12 während eines bestimmten Zeitraums während des EUV-Prozesses generiert wird. Durch Bereitstellen zusätzlicher Kühlanweisungen an die Thermoregulierungskomponente 602 und/oder die Gasströme 902 und 904 kann das System die zusätzliche erzeugte Wärme während der geeigneten Zeiträume ausgleichen. In einer Ausführungsform dient das durch die Steuerung 30 vorgeschriebene Kühlen dazu, die Temperatur des Maskenabschnitts 12 zu reduzieren und dadurch die Erzeugung von Ausgasungen, wie z.B. BH3, zu verringern.
  • 10 zeigt eine Ausführungsform eines Lithografiewerkzeugs 1000, das zur Erleichterung des Verständnisses vereinfacht wurde. In einer Ausführungsform ist das Lithografiewerkzeug 1000 ein EUV-Lithografiewerkzeug. Das Lithografiewerkzeug 1000 kann dem System 10, das vorstehend unter Bezugnahme auf 1 beschrieben wurde, im Wesentlichen ähnlich sein. Außerdem können Komponenten dem Lithografiewerkzeug 1000 hinzugefügt werden, und Komponenten können ausgelassen werden.
  • Das Lithografiewerkzeug 1000 weist eine Strahlungsquelle 14 auf, die einen Strahlungsstrahl 18 bereitstellt. In einer Ausführungsform weist der durch die Quelle 14 bereitgestellte Strahlungsstrahl 18 eine EUV-Wellenlänge auf. Die Strahlung gelangt in eine Miniumgebung 1004 innerhalb einer Hauptkammer 1002 des Werkzeugs 1000. Innerhalb der Miniumgebung 1004 sind Beleuchtungskomponenten 10008 und Projektionsoptik-Komponenten (oder POB-Komponenten) 1010 vorhanden. Die Beleuchtungskomponenten 1008 können Feldfacetten und Pupillenfacetten aufweisen, und können in einigen Implementierungen der Beleuchtungseinrichtung 20, die vorstehend unter Bezugnahme auf 1 besprochen wurde, im Wesentlichen ähnlich sein. Die Projektionsoptik-Komponenten (oder POB-Komponenten) 1010 können mehrere Spiegel aufweisen, und können in einigen Implementierungen der Projektionsoptik 22, die vorstehend unter Bezugnahme auf 1 besprochen wurde, im Wesentlichen ähnlich sein.
  • Die Miniumgebung 1004 definiert und beherbergt einen optischen Zug oder Pfad für die Strahlung 18. Komponenten 1003, die die Miniumgebung definieren, können Komponenten aufweisen, die die Strahlung 18 korrigieren, lenken, modifizieren und/oder eingrenzen. Die Miniumgebung 1004 kann eine Vakuumumgebung sein.
  • Mehrere von Gasdüsen sind im Werkzeug 1000 bereitgestellt. Ein erster Gasstrahl 1012, der auch als eine y-Düse bezeichnet wird, ist benachbart zur Unterseite der Maske 12 bereitgestellt. In einigen Implementierungen ist der Gasstrahl 1012 in den Retikelmaskierungslamellen (REMA-Lamellen) (nicht dargestellt) oder zwischen ihnen aufgenommen. Ein Gasstrom 1012A wird aus der Düse 1012 bereitgestellt. Der Gasstrom 1012A kann dem Strom aus dem Strahl 404 im System 400 von 4 im Wesentlichen ähnlich sein, und/oder der Gasstrom 1012A kann dem Strom 904, der vorstehend unter Bezugnahme auf 9 beschrieben wurde, im Wesentlichen ähnlich sein. Beispiele für Gase des aus dem Gasstrahl 1012 bereitgestellten Gasstroms 1012A weisen H, He, Ar, N und/oder Kombinationen davon auf, sind aber nicht darauf beschränkt. Wie vorstehend besprochen, kann in einer Ausführungsform der Gasstrom 1012A unterhalb von Raumtemperatur liegen.
  • Ein Gasstrahl 1014 wird in einem weiteren Abstand von der Unterseite der Maske 12 angeordnet. Der Gasstrahl 1014 kann benachbart zu den Komponenten 1003, die die Miniumgebung definieren, oder darin eingebettet sein. Ein Gasstrom 1014A wird aus dem Strahl 1014 bereitgestellt. Beispiele für Gase, die aus dem Gasstrahl 1014 bereitgestellt werden, weisen H, He, Ar, N und/oder Kombinationen davon auf, sind aber nicht darauf beschränkt. In einer Ausführungsform ist der Strahl 1014 dem Strom aus dem Strahl 404 im System 400 von 4 und/oder dem Strom 904, der vorstehend unter Bezugnahme auf 9 besprochen wurde, ähnlich. Wie vorstehend besprochen, kann in einer Ausführungsform der Gasstrom 1014A unterhalb von Raumtemperatur liegen. In einigen Implementierungen liegt der Gasstrom 1014A unterhalb der Raumtemperatur aber oberhalb der Temperatur des Gasstroms 1012A. In einigen Implementierungen liegt der Gasstrom 1014A unterhalb der Raumtemperatur aber oberhalb der Temperatur des Gasstroms 1012A um mindestens 3 Grad °C. In einer Ausführungsform wird der Gasstrom 1014A in einem Kanal der Komponente 1003 geliefert und in die Miniumgebung 1004 abgegeben, wo er einen vertikalen Strom nach oben und unten erzeugt, wobei in einigen Implementierungen der vertikale Strom nach oben größer als nach unten ist.
  • Die Maske 12 wird derart angeordnet, dass der Strahlungsstrahl auf ihre Fläche einfällt; wobei die Maske 12 durch den Maskentisch 16 gehalten wird. In einigen Implementierungen weist der Maskentisch 16 Thermoregulierungskomponenten und/oder Wärmesensoren auf, wie vorstehend besprochen.
  • 11 zeigt eine Ausführungsform einer Retikel-Miniumgebung 1100. Die Retikel-Miniumgebung 1100 kann im vorstehend unter Bezugnahme auf 10 besprochenen Werkzeug 1000, dem vorstehend unter Bezugnahme auf 4 besprochenen System 400, und/oder dem vorstehend unter Bezugnahme auf 1 besprochenen System 10 aufgenommen sein. In einer Ausführungsform stellt die Retikel-Miniumgebung 1100 einen Abschnitt eines EUV-Lithografiewerkzeugs dar.
  • Die Retikel-Miniumgebung 1100 weist auf: die Maske oder das Retikel 12, die/das dem vorstehend Besprochenen im Wesentlichen ähnlich sein kann, und einen Maskentisch oder eine Spannvorrichtung 16, der/die ein Positionierungselement 502 und eine Thermoregulierungskomponente 504 aufweist und der/die auch dem vorstehend Besprochenen im Wesentlichen ähnlich sein kann. Zum Beispiel kann die Thermoregulierungskomponente 504 für ein Kühlen für ein oder mehrere Gebiete des Tisches 16 und daher der Maske 12 sorgen. Die Maske 12 wird am Tisch 16 durch eine e-Klemme 1106 unter Verwendung elektrostatischer Kräfte befestigt.
  • Die Retikel-Miniumgebung 1100 weist Komponenten 1003 auf, die die Miniumgebung definieren, welche Komponenten bereitstellt, die die Strahlung 18 korrigieren, lenken, modifizieren und/oder eingrenzen. In einer Ausführungsform stellt eine Komponente 1003A eine Beleuchtungseinrichtungsoberhülse (illuminator top sleeve, ITS) bereit, eine Komponente 1003B stellt ein Modifikationselement bereit, das als Unicom-Anordnung bezeichnet wird, eine Komponente 1003C weist einen Abschnitt einer Retikeltischanordnung (RSMF-Anordnung) und Retikelmaskierungslamellen (REMA-Lamellen) 1108 auf. Die Komponenten 1003 können als Finger zur Gleichmäßigkeitskorrektur und/oder eine Strahlbegrenzung dienen. Die REMA-Lamellen 1108 bewegen sich in x- und y-Richtung und schirmen Abschnitte der Maske 12 vor einer Belichtung mit der Strahlung ab. Wie in 11B dargestellt, weist die REMA 1108 Abschirmungsabschnitte REMA-Y 1108A und REMA-X 1108X der Maske 12 auf. Ein Spiegel 1010 ist in der Retikel-Miniumgebung 1100 angeordnet. In einer Ausführungsform ist der Spiegel 1010 ein Abschnitt der Projektionsoptik des Systems.
  • Eine erste Gasdüse 1012 ist in den REMA-Lamellen 1108 angeordnet. In einer Ausführungsform ist die Düse 1012 zwischen zwei REMA-Lamellen 1108, z.B. zwischen den Lamellen REMA-X 1108X, bereitgestellt. Die erste Gasdüse 1012 kann der vorstehend unter Bezugnahme auf 10 besprochenen im Wesentlichen ähnlich sein und/oder der Gasstrahldüse 404, die vorstehend unter Bezugnahme auf 4 besprochen wurde, und/oder dem Gasstrom 902, der vorstehend unter Bezugnahme auf 9 besprochen wurde, im Wesentlichen ähnlich sein. 11B zeigt, dass der Gasstrahl 1012 auf einer REMA-Y 1108Y angeordnet sein kann.
  • Eine zweite Gasdüse 1014 ist in der Komponente 1003A angeordnet und stellt einen Gasstrom in die Miniumgebung unter der Maske 12 bereit. Die zweite Gasdüse 1014 kann der vorstehend unter Bezugnahme auf 10 besprochenen im Wesentlichen ähnlich sein, und/oder einer Gasstrahldüse 404, die vorstehend unter Bezugnahme auf 4 besprochen wurde, und/oder dem Gasstrom 904, der vorstehend unter Bezugnahme auf 9 besprochen wurde, im Wesentlichen ähnlich sein. 11D zeigt eine Ausführungsform des Strahls 1014, der einen Gasstrom bereitstellt, der aus der Komponente 1003A durch einen Kanal in der Komponente 1003A austritt.
  • Der Gasstrom aus der ersten Gasdüse 1012 kann eine andere Temperatur aufweisen als die zweite Gasdüse 1014. Zum Beispiel kann in einer Ausführungsform der Gasstrom aus der ersten Gasdüse 1012 ungefähr 2 °C bis ungefähr 20 °C kühler sein als der Gasstrom aus der zweiten Gasdüse 1014. In einer Ausführungsform liegt der Gasstrom aus beiden Gasdüsen 1012, 1014 unterhalb der Umgebungstemperatur (z.B. unterhalb von ungefähr 22°C). In einer Ausführungsform liegt der Gasstrom aus beiden Gasdüsen 1012, 1014 über ungefähr 0 °C. In einer Ausführungsform liegt die Gasdüse 1014 ungefähr auf Raumtemperatur (z.B. ungefähr 22°C) und die Temperatur der Gasdüse 1012 ist niedriger als der Düse 1014, wie z.B. mindestens 3 °C niedriger. In einer Ausführungsform werden die Gasströme aus Gasdüsen 1012, 1014 bei einer Temperatur bereitgestellt, so dass keine Kondensation auftritt. Beispiele für Gase der Gasströme weisen H, He, Ar, N und/oder Kombinationen davon, sind aber nicht darauf beschränkt.
  • Unter Bezugnahme auf 12 ist ein Verfahren 1200 zum Bereitstellen eines Thermosteuerungsplans gezeigt. Der durch das Verfahren 1200 bereitgestellte Thermosteuerungsplan kann an das Lithografiewerkzeug, wie z.B. das Lithografiesystem 10 von 1, bereitgestellt und/oder dadurch implementiert werden. Ein oder mehrere Schritte des Verfahrens 1200 können durch das Thermosteuerungsmodul 30 durchgeführt werden, das auch als eine Steuerung bezeichnet wird und vorstehend unter Einbeziehung der Bezugnahme auf 1 besprochen wurde.
  • Das Verfahren 1200 umfasst einen Vorgang 1202, in dem Strukturdichteinformationen empfangen werden In einer Ausführungsform wird die Strukturdichte aus Designdateien, die mit einem Retikel assoziiert sind, gesammelt. Die Designdateien können in einem GDS-Dateiformat bereitgestellt sein. In einer Ausführungsform können die Strukturdichteinformationen durch Gebiete oder Zonen eines Retikels abgegrenzt werden. Ausführungsbeispiele der Strukturdichteinformationen sind unter Bezugnahme auf 7B und 7C beschrieben.
  • Das Verfahren 1200 umfasst einen Vorgang 1204, in dem Lithografieparameter, die Informationen zur Maskenverwendung aufweisen, empfangen werden. Beispielparameter weisen Parameter auf, wie z.B. äquivalente Leistung, Belichtungszeiten, Durchtrittbedingungen, Abtastzeiten, Impulsfrequenz und -breite, Umgebungstemperatur(en), Retikelrandtemperaturen, Durchtrittdauer und/oder andere Lithografieparameter, die den thermischen Zustand des Retikels und/oder seiner Umgebung beeinflussen. In einer Ausführungsform wird eine äquivalente Leistung von 235 W/cm2 bereitgestellt. In einer Ausführungsform wird eine Retikelrandtemperatur bereitgestellt, die auf ungefähr 24 °C eingestellt ist. In einer Ausführungsform wird eine Durchtrittdauer bereitgestellt, die auf ungefähr 60 bis 75 Millisekunden eingestellt ist. In einer Ausführungsform weisen die Lithografieparameter auch Sensordaten von den Sensoren auf, die auf dem Lithografiewerkzeug oder um dieses herum angeordnet sind, wie z.B. Temperaturdaten von Thermoelementen, einer IR-Kamera/einem IR-Sensor, einem UV-Sensor, einem Lichtsensor, und/oder anderen geeigneten Komponenten.
  • Das Verfahren 1200 umfasst einen Vorgang 1206, in dem ein lithografischer Prozess unter Verwendung der Lithografieparameter und Strukturdichteinformationen simuliert wird, um ein thermisches Profil zu bestimmen. Das Analysemodul weist verschiedene Korrelationsanalyseeinheiten auf, die die Korrelationen zwischen verschiedenen Parametern analysieren, wie z.B. einer Korrelation zwischen der Strukturdichte und den thermischen Bedingungen eines Retikels und/oder seiner Umgebung während der Belichtung, eine Korrelation zwischen den Lithografieparametern und den thermischen Bedingungen eines Retikels während der Belichtung, und andere Korrelationen. Ein Beispiel eines thermischen Profils ist vorstehend unter Bezugnahme auf 8B beschrieben. Die Simulation kann eine maximale Temperatur zu einem oder mehreren Zeitpunkten während des simulierten lithografischen Prozesses (siehe 8B) zeigen. In einer Ausführungsform liegt die maximale Temperatur zwischen ungefähr 280 und 350 °C nach einer ersten Abtastung und zwischen 380 und 450 °C nach einer zweiten Abtastung, wobei ein Felddurchtrittpositionieren zwischen der ersten und der zweiten Abtastung stattfinden kann. In einer Ausführungsform kann die Simulation einen Kühlbetrag während des Felddurchtritts bereitstellen. In einer Ausführungsform wird ein Kühlen von ungefähr 150 und 300 °C bereitgestellt. In einer Ausführungsform wird während des Felddurchtritts eine Abkühlung von etwa 25 % bis 75 % des durch die vorangegangene Abtastung erzielten Temperaturanstiegs bereitgestellt. Die Analyse, die ein thermisches Profil bereitstellt, kann durch eine Steuerung, wie z.B. das Thermosteuerungsmodul 30, durchgeführt werden.
  • Die Analyse des Vorgangs 1206 kann außerdem ein Entwickeln einer Korrelation zwischen dem generierten thermischen Profil und dem Ausgasen von Zusammensetzungen (z.B. Bor-Derivaten, wie z.B. BH3) umfassen. In einer Ausführungsform nutzt das Analysemodul Simulation, experimentelle Daten und/oder eine chemische Reaktionsanalyse, um einen Grad der Ausgasung zu bestimmen, die zum Beispiel von einer Absorptionsschicht erzeugt wird, die die Strukturdichte für das gegebene thermische Profil definiert. In einer Ausführungsform erkennt das Analysemodul, dass eine höhere Temperatur des thermischen Profils für eine größere Ausgasung sorgt.
  • Das Verfahren 1200 umfasst einen Vorgang 1208, in dem eine Thermomanagementaktion bestimmt wird. Das Analysemodul kann eine Thermomanagementaktion oder -aktionen entwickeln, die eine Temperatur der Maske und/oder ihrer Umgebung während der lithografischen Prozesses senkt/senken. In einer Ausführungsform umfasst die Thermomanagementaktion eine Einstellung für eine oder mehrere Thermoregulierungskomponenten, die im Maskentisch und/oder fern vom Retikel (z.B. Gasstrahlen) angeordnet sind. In einer Ausführungsform sendet die Thermomanagementaktion Anweisungen an die Thermoregulierungskomponenten, die der vorstehend unter Bezugnahme auf die Thermoregulierungskomponente 408 von 4 oder der Thermoregulierungskomponente 504, 602 von 5 bis 9 im Wesentlichen ähnlich sein können. Zum Beispiel weisen in einigen Ausführungsformen die Thermoregulierungskomponenten einen Wärmetauscher, Rohrleitungen oder Kanäle, die ein Kältemittel bereitstellen, ein Feststoffkühlmodul, ein Thermorohrleitungsmodul(e) und/oder andere Thermokühlkomponenten und/oder andere Thermomanagementkomponenten im Retikeltisch oder der Retikelspannvorrichtung auf. In einer Ausführungsform sendet die Thermomanagementaktion Anweisungen an die Thermoregulierungskomponenten eines oder mehrerer Gasstrahlen, die eine Einstellung für eine Gasstromtemperatur und/oder Durchflussrate von den Gasstrahlen aufweisen. Die Gasstrahlen können den vorstehend unter Bezugnahme auf die Gasströme 902, 904 und/oder Gasstrahlen 1012, 1014 besprochenen im Wesentlichen ähnlich sein. Die Thermomanagementaktion kann Anweisungen aufweisen, um die Thermoregulierungskomponenten während eines gegebenen lithografischen Prozesses anzupassen. Zum Beispiel kann der Thermosteuerungsplan eine Gasstrahltemperatur während eines Abtastprozesses senken und/oder ein zusätzliches Kühlen in einer Thermoregulierungskomponente des Maskentisches während des lithografischen Prozesses bereitstellen.
  • In einer Ausführungsform gibt das Verfahren 1200, anstatt die Anweisungen direkt zu senden, die bestimmte Thermomanagementaktion in die Simulation des Vorgangs 1206 ein, um das thermische Profil in einem iterativen Prozess zu bestimmen.
  • Das Verfahren 1200 umfasst einen Vorgang 1210, in dem Anweisungen des Thermosteuerungsplans während eines lithografischen Prozesses implementiert werden. Die Implementierung kann ein Einstellen, Anpassen oder Abstimmen der Thermoregulierungskomponente des Maskentisches und/oder des Gasstrahls (der Gasstrahlen) vor und während eines lithografischen Prozesses umfassen.
  • Es ist zu beachten, dass das Verfahren 1200 von 12 in einigen Implementierungen einen Thermosteuerungsplan vor dem Durchführen des lithografischen Prozesses bereitstellt. In einigen Ausführungsformen wird der Thermosteuerungsplan während des lithografischen Prozesses entwickelt. In einer Implementierung weisen die in Vorgang 1204 empfangenen Lithografieparameter Echtzeitdaten des lithografischen Prozesses, wie z.B. Temperaturmesswerte von Sensoren, auf. Beispielsensoren weisen Thermoelemente, wie z.B. Thermoelemente 506A, 506B, die vorstehend besprochen wurden, und/oder ferne Sensoren, wie z.B. IR-Module oder Sensoren 508A, 508B, die vorstehend besprochen wurden. Die Echtzeitdaten können verwendet werden, um den Thermosteuerungsplan zu bestimmen, wie in Vorgang 1210 besprochen. In einigen Implementierungen wird das Verfahren 1200 für jede Zone eines Retikels durchgeführt.
  • 13 zeigt ein Ablaufdiagramm des Verfahrens 1300 zum Durchführen eines lithografischen Prozesses. Das Verfahren 1300 kann durch das Lithografiesystem 10, das vorstehend unter Bezugnahme auf 1 beschrieben wurde, und/oder andere hier offenbarte Systeme und Werkzeuge implementiert werden.
  • Das Verfahren 1300 umfasst einen Vorgang 1302 zum Laden einer Maske oder eines Retikels in ein Lithografiesystem. In einer Ausführungsform wird eine EUV-Fotomaske in ein EUV-Lithografiesystem geladen, das betreibbar ist, um einen EUV-Lithografiebelichtungsprozess durchzuführen. Die Fotomaske kann der vorstehend besprochenen Maske 12 im Wesentlichen ähnlich sein. Die Maske weist eine IC-Struktur auf, die auf ein Zielsubstrat übertragen werden soll. Der Vorgang 1302 kann ferner verschiedene Schritte, wie z.B. ein Befestigen der Fotomaske auf dem Maskentisch und ein Durchführen einer Ausrichtung, umfassen.
  • Das Verfahren 1300 umfasst einen Vorgang 1304 des Ladens eines Zielsubstrats, wie z.B. eines Halbleiterwafers, in ein Lithografiesystem. Das Zielsubstrat wird mit einer Fotolackschicht beschichtet. In einer Ausführungsform ist die Fotolackschicht für die EUV-Strahlung empfindlich.
  • Das Verfahren 1300 umfasst einen Vorgang 1306 des Bereitstellens und Implementierens eines Thermosteuerungsplans. Der Thermosteuerungsplan kann durch ein Thermosteuerungsmodul, wie z.B. das vorstehend besprochene Thermosteuerungsmodul 30, bereitgestellt werden. Ein Bereitstellen des Thermosteuerungsplans umfasst ein Empfangen von Informationen bezüglich des Retikels, der Lithografieparameter, die die Maskenverwendung aufweisen, und der Temperatur verschiedener Komponenten. Der Thermosteuerungsplan nutzt diese Informationen, um einen Thermomanagementplan zu implementieren, der Thermomanagementaktionen des Bereitstellens von Anweisungen an eine Thermoregulierungskomponente umfasst, um die Temperatur des Retikels oder einer oder mehrerer Komponenten des Lithografiesystems zu senken. In einigen Ausführungsformen bestimmt der Thermosteuerungsplan Thermomanagementaktionen des Sendens von Anweisungen an eine Thermoregulierungskomponente, wie z.B. einen Wärmetauscher in einem Maskenhalter. In einigen Ausführungsformen bestimmt der Thermosteuerungsplan Thermomanagementaktionen des Sendens von Anweisungen an eine Thermoregulierungskomponente eines oder mehrerer Gasstrahlen des Lithografiesystems. Daher umfasst die Implementierung des Thermosteuerungsplans ein Einstellen einer oder mehrerer Komponenten (z.B. Wärmetauscher, Gasstrahlen).
  • In einer Ausführungsform wird der Thermosteuerungsplan durch Vorgänge wie jene bereitgestellt, die im vorstehend unter Bezugnahme auf 12 beschriebenen Verfahren 1200 dargestellt sind. In einer Ausführungsform wird der Thermosteuerungsplan während des lithografischen Prozesses auf der Grundlage empfangener Rückkopplung, wie z.B. von Temperatursensoren, aktualisiert. Mit anderen Worten kann der Vorgang 1306 mehrmals während der Durchführung des Vorgangs 1308 stattfinden. Der Thermosteuerungsplan kann für ein gesamtes Retikel bestimmt, abgestimmt und implementiert werden. In anderen Ausführungsformen kann der Thermosteuerungsplan für einzelne Zonen eines Retikels bestimmt, abgestimmt und implementiert werden.
  • 13 zeigt eine Ausführungsform mehrerer Schritte, die im Vorgang 1306 aufgenommen werden können, um einen Thermosteuerungsplan zu bilden. In Schritt 1306A werden Daten des Lithografiesystems, wie z.B. eine Maskenverwendung, gesammelt. Die Daten können den vorstehend unter Bezugnahme auf die Datenbank 802 in 9 beschriebenen Daten im Wesentlichen ähnlich sein. In Schritt 1306B werden Daten der Maskenstruktur gesammelt. In einer Ausführungsform weisen die Daten eine Strukturdichte auf. Die Daten können den vorstehend unter Bezugnahme auf die Datenbank 802 in 8 beschriebenen Daten und/oder wie in 7B, 7C dargestellt, im Wesentlichen ähnlich sein. In Schritt 1306C werden thermische Informationen gesammelt. Die thermischen Informationen können ein Empfangen einer Temperatur von einer ersten Temperaturabtastvorrichtung, wie z.B. einer direkten Temperaturabtastvorrichtung (z.B. eines Thermoelements), umfassen. Die erste empfangene Temperatur kann mit dem Maskenhalter assoziiert sein, zum Beispiel von einem direkt auf dem Maskenhalter angeordneten Thermoelement. Die thermischen Informationen können ein Empfangen einer Temperatur von einer zweiten Temperaturabtastvorrichtung, wie z.B. einer fernen Temperaturabtastvorrichtung (z.B. einem IR-Modul), umfassen. Die zweite empfangene Temperatur kann mit einem Retikel assoziiert sein, zum Beispiel von einer fernen Temperaturabtastvorrichtung, die auf das Retikel gerichtet ist. In einigen Implementierungen werden Temperaturmesswerte von jeder von mehreren Zonen eines Retikels empfangen. In Schritt 1306D wird ein Thermosteuerungsplan entwickelt. Der Thermosteuerungsplan kann im Wesentlichen ähnlich wie vorstehend besprochen sein und Anweisungen an eine Thermoregulierungskomponente, wie z.B. eine Komponente im Maskenhalter (z.B. Wärmetauscher, Kältemittel) und/oder einen Gasstrahl (der z.B. gekühltes Gas liefert) aufweisen. Einer oder mehrere der Schritte 1306A, 1306B, 1306C, 1306D können weggelassen werden.
  • Das Verfahren 1300 umfasst einen Vorgang 1308, indem ein Lithografiebelichtungsprozess am Wafer im Lithografiesystem durchgeführt wird. In einer Ausführungsform ist der Vorgang 1308 ein EUV-Lithografievorgang und der Laser und der Zinntröpfchengenerator 68 sind mithilfe eines geeigneten Mechanismus, wie z.B. einer Steuerschaltung mit Timer zum Steuern und Synchronisieren von beiden, synchronisiert (insbesondere werden Laserimpulse und die Zinntröpfchengenerierung synchronisiert). Der synchronisierte Laser regt die Zielmaterialtröpfchen an und generiert ein Plasma, wodurch die EUV-Strahlung generiert wird. Während des Vorgangs 1308 wird die generierte EUV-Strahlung auf die Fotomaske (durch eine Beleuchtungseinrichtung, wie z.B. die Beleuchtungseinrichtung 20) eingestrahlt und wird weiter auf die auf dem Wafer aufgeschichtete Fotolackschicht (durch die POB, wie z.B. Optik 22) projiziert, wodurch ein latentes Bild auf der Fotolackschicht gebildet wird. In einer Ausführungsform wird der Lithografiebelichtungsprozess in einem Abtastmodus implementiert.
  • Insbesondere können während des Lithografiebelichtungsprozesses verschiedene Anweisungen des in Vorgang 1306 entwickelten Thermomanagementplans mit dem Lithografiebelichtungsprozess gleichzeitig oder zeitlich überlappend implementiert werden. Zum Beispiel kann das Verfahren 1300 in Vorgang 1306 weiterhin Daten durch die Temperatursensoren sammeln (z.B. Temperaturmesswerte durch Thermoelemente, IR-Sensoren oder dergleichen) und die gesammelten Daten durch das Analysemodul analysieren, um den Thermosteuerungsplan während der Belichtung der Maske durchzuführen und/oder anzupassen. Zum Beispiel wird in einer Ausführungsform eine Temperatur von einem Sensor (z.B. einem Thermoelement oder einem indirekten Sensor, wie z.B. einem IR-Sensor) an einem Maskenhalter 16 während des lithografischen Prozesses (z.B. während der Belichtung der Maske mit dem EUV) empfangen.
  • In einer Implementierung dient das Thermosteuerungsmanagement des Verfahrens 1300 zum Absenken einer Temperatur des Retikels und/oder seiner Umgebung. Eine Senkung der Temperatur kann zu einem verringerten Ausgasen aus den Retikelschichten, wie z.B. Atomen aus einer Absorptionsschicht (z.B. B), führen. In einer Ausführungsform wird ein Ausgasen von Bor aufgrund des Thermosteuerungsmanagements reduziert. In einigen Ausführungsformen reduziert die Verringerung des Ausgasens von Bor die verfügbaren Reaktanten zur Bildung von Kontaminationsstoffverbindungen im System wie vorstehend in 3 besprochen.
  • Das Verfahren 1300 kann andere Vorgänge umfassen, um den Lithografiestrukturierungsprozess zu vervollständigen. Zum Beispiel kann das Verfahren 1300 einen Vorgang 1310 umfassen, indem die belichtete Fotolackschicht entwickelt wird, um eine Fotolackstruktur auf dem Substrat auszubilden, die mehrere darin definierte Öffnungen aufweist. In einem Beispiel weist die Fotolackschicht einen positiven Ton auf; der belichtete Abschnitt der Fotolackschicht wird durch die Entwicklungslösung entfernt. In einem anderen Beispiel weist die Fotolackschicht einen negativen Ton auf; der belichtete Abschnitt der Fotolackschicht verbleibt; und die nicht belichteten Abschnitte werden durch die Entwicklungslösung entfernt.
  • Das Verfahren 1300 kann ferner weitere Vorgänge, wie z.B. verschiedene Backschritte, umfassen. Als ein Beispiel kann das Verfahren 1300 einen Schritt des Backens nach dem Belichten (PEB-Schritt) zwischen den Vorgängen 1310 und 1312 umfassen. Das Verfahren 1300 kann ferner weitere Vorgänge umfassen, wie z.B. einen Vorgang 1312, um einen Fertigungsprozess am Wafer durch die mithilfe des Verfahrens 1300 bereitgestellten Öffnungen der Fotolackstruktur durchzuführen. In einem Beispiel umfasst der Fertigungsprozess ein Anwenden eines Ätzprozesses auf das Halbleitersubstrat oder eine Materialschicht darauf unter Verwendung der Fotolackstruktur als einer Ätzmaske. In einem anderen Beispiel umfasst der Fertigungsprozess ein Durchführen eines Ionenimplantationsprozesses am Halbleitersubstrat unter Verwendung der Fotolackstruktur als einer Implantationsmaske. Nach dem Vorgang 1312 kann die Fotolackschicht durch Nassstrippen oder Plasmaveraschen entfernt werden.
  • Somit stellt die vorliegende Offenbarung Beispiele für Lithografiesysteme mit Thermosteuerungsmodulen und Verfahren zum Durchführen von Lithografie bereit, die ein Thermosteuerungsmanagement implementieren, indem eine Thermomanagementaktion oder Anweisungen an Thermoregulierungskomponenten, wie z.B. Gasstrahlen und/oder Maskentischelemente, wie z.B. Wäremtauscher oder Kältemittelrohrleitungen/-kanäle, bereitgestellt wird/werden. In einigen Ausführungsformen berücksichtigt das Thermosteuerungsmanagement Strukturdichteunterschiede auf der Maske. In einigen Ausführungsformen berücksichtigt das Thermosteuerungsmanagement eine Maskenverwendung, wie z.B. Abtast-/Durchtrittzeiträume. Das Thermosteuerungsmanagement kann dazu dienen, eine Temperatur des Retikels und/oder seiner Umgebung ausreichend zu senken, um ein Ausgasen von Material aus dem Retikel (z.B. der Absorberschicht) zu reduzieren. Die Reduzierung der Ausgasung kann zu einer geringeren Retikeldefektrate führen, indem die Kontaminationsstoffe im System reduziert werden.
  • In einem Beispielaspekt stellt die vorliegende Offenbarung ein Verfahren für eine Extrem-Ultraviolett-Lithografie (EUV-Lithografie) bereit. Das Verfahren umfasst ein Sammeln thermischer Daten, die mit einem Retikel assoziiert sind, während eines Lithografieprozesses. Und Verwenden der thermischen Daten, um eine Thermomanagementaktion zu bestimmen, wobei die Thermomanagementaktion ein Bereitstellen einer Anweisung an eine Thermoregulierungskomponente zum Kühlen eines Retikels umfasst.
  • In einer weiteren Ausführungsform umfasst das Verfahren ein Empfangen von Designdaten, die mit einer Struktur auf dem Retikel assoziiert sind, und ein Verwenden der Designdaten, um die Thermomanagementaktion zu bestimmen. In einer Ausführungsform umfasst das Verfahren ein Empfangen eines Temperaturmesswertes von einem Thermoelement, und ein Empfangen eines Temperaturmesswertes von einem IR-Sensor. In einigen Implementierungen umfasst die Thermomanagementaktion ein Bereitstellen der Anweisung an die Thermoregulierungskomponente eines ersten Gasstrahls, um einen Gasstrom bereitzustellen. In einer Ausführungsform liegt der Gasstrom unter ungefähr 22 °C. Das Verfahren stellt in einigen Ausführungsformen die Thermomanagementaktion derart bereit, dass sie ein Bereitstellen der Anweisung an die Thermoregulierungskomponente eines zweiten Gasstrahls, um einen weiteren Gasstrom bereitzustellen, umfasst. Der Gasstrom aus dem ersten Gasstrahl kann mindestens 3 °C kühler sein als der Gasstrom aus dem zweiten Gasstrahl. In einer Ausführungsform stellt die Thermomanagementaktion die Anweisung an die Thermoregulierungskomponente eines Wärmetauschers in einer im lithografischen Prozess verwendeten Retikelspannvorrichtung bereit. Das Verfahren kann ein Bereitstellen der Anweisung an den Wärmetauscher umfassen, so dass die Anweisungen an eine erste Komponente, die ein Kältemittel in einem ersten Abschnitt der Retikelspannvorrichtung aufweist, bereitgestellt werden, und ein Bereitstellen von Anweisungen an eine zweite Komponente, die ein Kältemittel in einem zweiten Abschnitt der Retikelspannvorrichtung aufweist. Die erste Komponente und die zweite Komponente können separat gesteuert werden.
  • In einem anderen Beispielaspekt wird ein Verfahren zum Durchführen einer Lithografie durchgeführt. Das Verfahren umfasst ein Bereitstellen einer Maske an einen Maskenhalter eines Lithografiewerkzeugs und ein Bereitstellen eines Zielsubstrats an eine Waferbühne des Lithografiewerkzeugs. Ein Strahlungsstrahl wird von einer Quelle des Lithografiewerkzeugs geliefert. Der gelieferte Strahlungsstrahl wird von der Maske reflektiert. Das Verfahren umfasst weiterhin ein Bereitstellen des reflektierten Strahlungsstrahls an das Zielsubstrat. Während des Lieferns des Strahlungsstrahls wird ein Thermosteuerungsmanagement durchgeführt. Das Thermosteuerungsmanagement umfasst ein Empfangen einer Temperatur, die mit dem Maskenhalter assoziiert ist, und ein Empfangen einer mit der Maske assoziierten Temperatur. Eine Ausgabe einer Thermoregulierungskomponente wird auf der Grundlage der empfangenen Temperaturen modifiziert.
  • In einer weiteren Ausführungsform umfasst das Modifizieren der Ausgabe der Thermoregulierungskomponente ein Bereitstellen eines Gasstroms, der eine Temperatur unter 22 °C aufweist. In einigen Ausführungsformen umfasst das Modifizieren der Ausgabe der Thermoregulierungskomponente ferner ein Bereitstellen eines Kältemittels an den Maskenhalter. In einer Ausführungsform umfasst das Verfahren ein Empfangen einer ersten Temperatur, die mit einem ersten Gebiet des Maskenhalters assoziiert ist, und einer zweiten Temperatur, die mit einem zweiten Gebiet des Maskenhalters assoziiert ist. Die Temperatur kann von einem IR-Sensor empfangen werden. In einigen Ausführungsformen umfasst das Durchführen des Thermosteuerungsmanagements ferner ein Empfangen einer Strukturdichte der Maske und ein Bestimmen einer Anfangsausgabe der Thermoregulierungskomponente auf der Grundlage der Strukturdichte.
  • In noch einem anderen Beispielaspekt stellt die vorliegende Offenbarung ein Extrem-Ultraviolett-Lithografiesystem (EUV-Lithografiesystem) bereit. Das System weist einen Retikelhalter, einen Temperatursensor, eine erste Thermoregulierungskomponente, eine zweite Thermoregulierungskomponente und ein Steuerungsmodul auf. Die erste Thermoregulierungskomponente ist betreibbar, um eine Temperatur eines Retikels im Retikelhalter zu senken. Die zweite Thermoregulierungskomponente ist betreibbar, um einen Gasstrom benachbart zu einem Retikel im Retikelhalter bereitzustellen. Das Steuerungsmodul ist mit dem Temperatursensor, der ersten Thermoregulierungskomponente und der zweiten Thermoregulierungskomponente gekoppelt.
  • In einer Ausführungsform weist der Temperatursensor ein erstes Thermoelement auf einem ersten Gebiet des Retikelhalters und ein zweites Thermoelement auf einem zweiten Gebiet des Retikelhalters auf. In einer Ausführungsform weist der Temperatursensor ferner mindestens eine Fern-Temperaturabtastvorrichtung auf. In einer Implementierung weist mindestens eine Fern-Temperaturabtastvorrichtung auf: einen ersten IR-Sensor, der darauf ausgerichtet ist, eine Temperatur eines ersten Gebiets eines Retikels im Retikelhalter abzutasten, und einen zweiten IR-Sensor, der darauf ausgerichtet ist, eine Temperatur eines zweiten Gebiets des Retikels im Retikelhalter abzutasten. In einer Ausführungsform weist das System ferner eine weitere Thermoregulierungskomponente benachbart zur ersten Thermoregulierungskomponente auf, wobei die weitere Thermoregulierungskomponente betreibbar ist, um ein erstes Gebiet eines Retikels im Retikelhalter zu kühlen, und die erste Thermoregulierungskomponente betreibbar ist, um ein zweites Gebiet des Retikels im Retikelhalter zu kühlen.
  • Das Vorstehende skizziert Merkmale mehrerer Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung als eine Grundlage zum Entwerfen oder Modifizieren anderer Prozesse und Strukturen leicht verwenden kann, um die gleichen Aufgaben durchzuführen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erzielen. Ein Fachmann sollte ebenfalls verstehen, dass derartige äquivalente Ausführungen nicht vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Modifizierungen hier vornehmen kann, ohne vom Erfindungsgedanken und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/367284 [0001]

Claims (20)

  1. Verfahren für eine Extrem-Ultraviolett-Lithografie (EUV-Lithografie), wobei das Verfahren umfasst: Sammeln thermischer Daten, die mit einem Retikel assoziiert sind, während eines Lithografieprozesses, und Verwenden der thermischen Daten, um eine Thermomanagementaktion zu bestimmen, wobei die Thermomanagementaktion ein Bereitstellen einer Anweisung an eine Thermoregulierungskomponente zum Kühlen eines Retikels umfasst.
  2. Verfahren nach Anspruch 1, ferner umfassend: Empfangen von Designdaten, die mit einer Struktur auf dem Retikel assoziiert sind, und Verwenden der Designdaten, um die Thermomanagementaktion zu bestimmen.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Sammeln thermischer Daten umfasst: Empfangen eines Temperaturmesswertes von einem Thermoelement, und Empfangen eines Temperaturmesswertes von einem IR-Sensor.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Thermomanagementaktion die Anweisung an die Thermoregulierungskomponente eines ersten Gasstrahls bereitstellt, um einen Gasstrom bereitzustellen.
  5. Verfahren nach Anspruch 4, wobei der Gasstrom unter ungefähr 22 °C liegt.
  6. Verfahren nach Anspruch 4 oder 5, wobei die Thermomanagementaktion ferner ein Bereitstellen der Anweisung an die Thermoregulierungskomponente eines zweiten Gasstrahls umfasst, um einen weiteren Gasstrom bereitzustellen.
  7. Verfahren nach Anspruch 6, wobei der Gasstrom aus dem ersten Gasstrahl mindestens 3 °C kühler ist als der Gasstrom aus dem zweiten Gasstrahl.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Thermomanagementaktion die Anweisung an die Thermoregulierungskomponente eines Wärmetauschers in einer im lithografischen Prozess verwendeten Retikelspannvorrichtung bereitstellt.
  9. Verfahren nach Anspruch 8, wobei das Bereitstellen der Anweisung an den Wärmetauscher ein Bereitstellen von Anweisungen an eine erste Komponente, die ein Kältemittel in einem ersten Abschnitt der Retikelspannvorrichtung aufweist, und ein Bereitstellen von Anweisungen an eine zweite Komponente, die ein Kältemittel in einem zweiten Abschnitt der Retikelspannvorrichtung aufweist, umfasst, wobei die erste Komponente und die zweite Komponente separat gesteuert werden können.
  10. Verfahren zum Durchführen von Lithografie, wobei das Verfahren umfasst: Bereitstellen einer Maske an einen Maskenhalter eines Lithografiewerkzeugs, Bereitstellen eines Zielsubstrats an eine Waferbühne des Lithografiewerkzeugs, Liefern eines Strahlungsstrahls von einer Quelle des Lithografiewerkzeugs, wobei der gelieferte Strahlungsstrahl von der Maske reflektiert wird, Bereitstellen des reflektierten Strahlungsstrahls an das Zielsubstrat, und während des Lieferns des Strahlungsstrahls, Durchführen eines Thermosteuerungsmanagements, wobei das Thermosteuerungsmanagement umfasst: Empfangen einer Temperatur, die mit dem Maskenhalter assoziiert ist, Empfangen einer Temperatur, die mit der Maske assoziiert ist, Modifizieren einer Ausgabe einer Thermoregulierungskomponente auf der Grundlage der empfangenen Temperaturen.
  11. Verfahren nach Anspruch 10, wobei das Modifizieren der Ausgabe der Thermoregulierungskomponente ein Bereitstellen eines Gasstroms umfasst, der eine Temperatur unter 22 °C aufweist.
  12. Verfahren nach Anspruch 10 oder 11, wobei das Modifizieren der Ausgabe der Thermoregulierungskomponente ferner ein Bereitstellen eines Kältemittels an den Maskenhalter umfasst.
  13. Verfahren nach einem der Ansprüche 10 bis 12, wobei das Empfangen der Temperatur, die mit dem Maskenhalter assoziiert ist, ein Empfangen einer ersten Temperatur, die mit einem ersten Gebiet des Maskenhalters assoziiert ist, und einer zweiten Temperatur, die mit einem zweiten Gebiet des Maskenhalters assoziiert ist, umfasst.
  14. Verfahren nach einem der Ansprüche 10 bis 13, wobei das Empfangen der Temperatur, die mit der Maske assoziiert ist, ein Empfangen einer Temperatur von einem IR-Sensor umfasst.
  15. Verfahren nach einem der Ansprüche 10 bis 14, wobei das Durchführen des Thermosteuerungsmanagements ferner umfasst: Empfangen einer Strukturdichte der Maske, und Bestimmen einer Anfangsausgabe der Thermoregulierungskomponente auf der Grundlage der Strukturdichte.
  16. Extrem-Ultraviolett-Lithografiesystem (EUV-Lithografiesystem), aufweisend: einen Retikelhalter, einen Temperatursensor, eine erste Thermoregulierungskomponente im Retikelhalter, wobei die erste Thermoregulierungskomponente betreibbar ist, um eine Temperatur eines Retikels im Retikelhalter zu senken, eine zweite Thermoregulierungskomponente, die um einen Abstand vom Retikelhalter beabstandet ist, wobei die zweite Thermoregulierungskomponente betreibbar ist, um einen Gasstrom benachbart zu einem Retikel im Retikelhalter bereitzustellen, und ein Steuerungsmodul, das mit dem Temperatursensor, der ersten Thermoregulierungskomponente und der zweiten Thermoregulierungskomponente gekoppelt ist.
  17. EUV-Lithografiesystem nach Anspruch 16, wobei der Temperatursensor ein erstes Thermoelement auf einem ersten Gebiet des Retikelhalters und ein zweites Thermoelement auf einem zweiten Gebiet des Retikelhalters aufweist.
  18. EUV-Lithografiesystem nach Anspruch 16 oder 17, wobei der Temperatursensor ferner mindestens eine Fern-Temperaturabtastvorrichtung aufweist.
  19. EUV-Lithografiesystem nach Anspruch 18, wobei die mindestens eine Fern-Temperaturabtastvorrichtung einen ersten IR-Sensor, der darauf ausgerichtet ist, eine Temperatur eines ersten Gebiets eines Retikels im Retikelhalter abzutasten, und einen zweiten IR-Sensor, der darauf ausgerichtet ist, eine Temperatur eines zweiten Gebiets des Retikels im Retikelhalter abzutasten, aufweist.
  20. EUV-Lithografiesystem nach einem der Ansprüche 16 bis 19, das ferner eine weitere Thermoregulierungskomponente benachbart zur ersten Thermoregulierungskomponente aufweist, wobei die weitere Thermoregulierungskomponente betreibbar ist, um ein erstes Gebiet eines Retikels im Retikelhalter zu kühlen, und die erste Thermoregulierungskomponente betreibbar ist, um ein zweites Gebiet des Retikels im Retikelhalter zu kühlen.
DE102023109493.9A 2022-06-29 2023-04-14 Lithografiesystem und verfahren, das ein thermomanagement umfasst Pending DE102023109493A1 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202263367284P 2022-06-29 2022-06-29
US63/367,284 2022-06-29
US202263378192P 2022-10-03 2022-10-03
US63/378,192 2022-10-03
US18/159,559 2023-01-25
US18/159,559 US20240004316A1 (en) 2022-06-29 2023-01-25 Lithography system and method including thermal management

Publications (1)

Publication Number Publication Date
DE102023109493A1 true DE102023109493A1 (de) 2024-01-04

Family

ID=89167424

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102023109493.9A Pending DE102023109493A1 (de) 2022-06-29 2023-04-14 Lithografiesystem und verfahren, das ein thermomanagement umfasst

Country Status (3)

Country Link
US (1) US20240004316A1 (de)
DE (1) DE102023109493A1 (de)
TW (1) TW202401129A (de)

Also Published As

Publication number Publication date
TW202401129A (zh) 2024-01-01
US20240004316A1 (en) 2024-01-04

Similar Documents

Publication Publication Date Title
DE102015112273B4 (de) Verringerung der Kontamination eines Extrem-Ultraviolett Lithografie-Kollektors
DE102013001962B4 (de) Lithographieprozess
DE602005004592T2 (de) Lithografische Vorrichtung, Beleuchtungssystem und Debrisauffangsystem
DE69531644T3 (de) Projektionsbelichtungsgerät und Herstellungsverfahren für eine Mikrovorrichtung
DE60303882T2 (de) Kontaminationsschutz mit ausdehnbaren Lamellen
DE102015106624B4 (de) Verfahren zum Verringern einer Defekt-Druckbarkeit für eine 1D-Struktur
DE102006062993B3 (de) Verfahren zur Photolithographie bei der Halbleiter-Herstellung
DE102017122398B4 (de) Verfahren zur feuchtesteuerung bei der euv-lithografie und halbleiter-fertigungsanlage
DE112016001162B4 (de) Verfahren zur Verbesserung einer Arbeitskennlinie und optischer Eigenschaften einer Fotomaske
DE602004007608T2 (de) Lithographischer Projektionsapparat und Verfahren zur Herstellung einer Vorrichtung
DE102016101721A1 (de) Pellicle-Baugruppe und Verfahren für verbesserte Lithographie
DE60127229T2 (de) Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
DE60130348T2 (de) Lithographischer Apparat und Verfahren zur Herstellung einer integrierten Schaltungsanordnung
DE60315986T2 (de) Lithographischer Apparat und Methode zur Herstellung einer Vorrichtung
DE102011086949A1 (de) Beleuchtungs- und Verlagerungsvorrichtung für eine Projektionsbelichtungsanlage
DE102015112858B4 (de) Maske mit Mehrschichtstruktur und Herstellungsverfahren unter Verwendung einer solchen
EP0207528B1 (de) Verfahren zum Herstellung einer Lichtmaske
DE102017126395B4 (de) Masken für Mehrmasken-Mehrfachbelichtungs-Lithografie
DE102018200118B4 (de) Vorrichtung und Verfahren zur ldentifikation von Verunreinigungen
DE60025303T2 (de) Lithographischer Projektionsapparat
DE60218412T2 (de) Lithographischer Apparat, Verfahren zur Herstellung eines Artikels und Computerprogramm dafür
DE102023109493A1 (de) Lithografiesystem und verfahren, das ein thermomanagement umfasst
DE102015109358A1 (de) Verfahren und vorrichtung zum belichten einer struktur auf einem substrat
DE102020103552A1 (de) Euv-masken zur vorbeugung von kohlenstoffverunreinigung
DE102020214130A1 (de) Verfahren zur Temperierung eines optischen Elementes und optische Baugruppe

Legal Events

Date Code Title Description
R012 Request for examination validly filed