KR101461175B1 - 저유전율 유전체 필름 리페어 방법 - Google Patents

저유전율 유전체 필름 리페어 방법 Download PDF

Info

Publication number
KR101461175B1
KR101461175B1 KR1020097019376A KR20097019376A KR101461175B1 KR 101461175 B1 KR101461175 B1 KR 101461175B1 KR 1020097019376 A KR1020097019376 A KR 1020097019376A KR 20097019376 A KR20097019376 A KR 20097019376A KR 101461175 B1 KR101461175 B1 KR 101461175B1
Authority
KR
South Korea
Prior art keywords
substrate
chemical
dielectric constant
carbon
repair
Prior art date
Application number
KR1020097019376A
Other languages
English (en)
Other versions
KR20090122355A (ko
Inventor
석민 윤
마크 윌콕슨
라리오스 존 엠 데
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090122355A publication Critical patent/KR20090122355A/ko
Application granted granted Critical
Publication of KR101461175B1 publication Critical patent/KR101461175B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02359Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the surface groups of the insulating layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Glass Compositions (AREA)

Abstract

저유전율 유전체 필름층에서 탄소 고갈된 저유전율 재료를 리페어하는 장치, 시스템 및 방법은, 탄화수소기를 가지며, 탄소 고갈된 저유전율 재료를 리페어하도록 구성되는 리페어 화학물질을 식별하는 것과, 식별된 리페어 화학물질 메니스커스를 저유전율 유전체 필름층에 인가하여, 저유전율 유전체 필름층 내의 탄소 고갈된 저유전율 재료가 저유전율 재료를 실질적으로 리페어하는 리페어 화학물질 메니스커스에 충분히 노출되는 것을 포함한다. 이 리페어된 저유전율 재료는 저유전율 유전체 필름층의 실질적으로 등가의 저유전율 유전체 특성을 나타낸다.
탄소 고갈된 저유전율 재료, 저유전율 유전체 필름층, 리페어

Description

저유전율 유전체 필름 리페어 방법{METHOD OF LOW-K DIELECTRIC FILM REPAIR}
발명자 :
Seokmin Yun, Mark Wilcoxson, John M. de Larios
본 발명의 분야
본 발명은 일반적으로 반도체 기판 처리에 관한 것이며, 보다 상세하게는 제조 동작 동안 반도체 기판의 손상된 저유전율 유전체 필름층을 리페어하는 방법 및 장치에 관한 것이다.
관련 기술의 설명
집적 회로 (IC) 피쳐들은 과거 수십년 동안 크기면에서 축소되어온 결과 IC 칩의 중대한 성능 개선을 가져왔다. 이것은, 칩 디바이스의 속도 및 밀도를 증가시켰다. IC의 전기 신호의 속도는 개별 트랜지스터의 스위칭 시간 (트랜지스터 게이트 지연) 과 트랜지스터들 간의 신호 전파 시간 (저항-커패시턴스 지연 또는 RC 지연) 에 의존한다. 피처들의 사이즈의 감소 및 밀도의 증가로, RC 지연은 IC의 전기 신호의 속도에 있어서 더욱 중요한 역할함에 따라서 칩 성능에도 중요한 역할을 하기 시작했다. RC 지연은, 저항을 낮추기 위해 상호 접속 배선에 도전성 높은 금속을 이용하고/하거나 전기 용량을 감소시키기 위해 레벨간 유전체층에 낮은 유전율 재료를 이용함으로써 처리될 수 있다. RC 지연을 감소시키는 것 이외에도, 저유전율 유전체 재료는 전력 소모를 감소시키고 상호접속 피쳐들 사이의, 크로스토크 (crosstalk) 로도 알려진 용량성 결합을 감소시킬 수 있다.
2.5와 4.0 사이의 유전율 범위로 이용 가능한 수개의 저유전율 유전체 재료가 존재한다. 저유전율 유전체 재료의 유전율은 저유전율 재료를 탄소로 도핑하고/하거나 작은 구멍들을 더 도입함으로써 감소될 수도 있다. 그러나, 작은 구멍들의 도입은, 여러가지 중에서도 특히 기계적 강도, 열적 안정성 및 상이한 기판 층들에 대한 접착성과 같은 재료 특성에 영향을 미치기 때문에, 새로운 도전을 요구한다. 이러한 재료 특성들은, 여러가지 중에서도 특히 그 재료가 화학 기계적 연마 (CMP) 와 같은 부가적인 기판 처리의 과정을 견딜수 있는지 여부를 결정한다.
피처들을 분리하는 초저유전율 유전체 재료는, 초저유전율 유전체의 재료 특성이 때때로 손상됨에 따라서, 에칭, 스트립핑 등과 같은 기판 상에 피처를 형성하는데 사용되는 다양한 제조 동작들에 의해 물리적으로 그리고 화학적으로 손상될 수도 있다. 스트립핑 동작 동안, 예를 들어, 피처 근처에 형성된 탄소 기반 포토레지스트층을 스트립핑하기 위해 사용된 스트립핑 플라즈마는 저유전율 재료로부터 탄소를 고갈시킴으로써 스트립핑 플라즈마에 노출되는 저유전율 재료를 손상시킬 수도 있다. 저유전율 재료에서의 탄소 고갈은 저유전율 유전체 필름층에서 유전율을 증가시켜 RC 지연에 기여한다.
전술한 바와 같이, 임의의 탄소 고갈된 저유전율 재료를 효율적으로 리페어하고 유전체 필름층에서의 초저유전율을 복원시켜, 기판 상에 형성된 피처들을 보 호할 필요가 있다.
요약
본 발명은 기판의 저유전율 필름층에서 탄소 고갈된 저유전율 재료를 리페어하는 개선된 방법 및 장치를 제공할 필요성을 만족시킨다. 본 발명은 장치 및 방법을 포함하는 다양한 방법들로 구현될 수 있음을 이해한다. 본 발명의 여러 신규한 실시 형태들을 아래에 설명한다.
일 실시 형태에서, 기판의 저유전율 유전체 필름층 내 탄소 고갈된 저유전율 재료를 리페어하는 방법이 개시된다. 이 방법은, 탄화수소기를 가지며 탄소 고갈된 저유전율 재료를 리페어하도록 구성되는 액체 화학물질을 식별하는 단계; 및 식별된 액체 화학물질 메니스커스를 저유전율 유전체 필름층에 인가하여, 저유전율 유전체 필름층 내의 탄소 고갈된 저유전율 재료가, 저유전율 재료를 실질적으로 리페어하는 액체 화학물질 메니스커스에 충분히 노출되게 하는 상기 인가 단계를 포함한다. 리페어된 저유전율 재료는 저유전율 유전체 필름층과 실질적으로 등가의 저유전율 유전체 특성을 나타낸다.
다른 실시 형태에서, 기판의 저유전율 유전체 필름 내 탄소 고갈된 저유전율 재료를 리페어하는 장치가 개시된다. 이 장치는, 기판을 수용하고 지지하는 기판 지지 장치; 및 가스 화학물질 메니스커스를 수용하고 기판의 표면과 근접 헤드의 대향 표면 사이에 인가하도록 구성된 근접 헤드를 포함한다. 가스 화학물질 메니스커스는 기판의 표면의 적어도 일부를 커버하는 영역에 실질적으로 포함된다. 가스 화학물질 메니스커스의 인가는 가스 화학물질 메니스커스에 기판 표면의 등방성 노출을 제공하여 가스 화학물질 메니스커스에 노출된 탄소 고갈된 저유전율 재료가 실질적으로 리페어된다. 리페어된 저유전율 유전체 재료는 저유전율 유전체 필름층과 실질적으로 등가의 저유전율 유전체 특성을 나타낸다.
본 발명의 다른 실시형태에서, 기판의 저유전율 유전체 필름층 내 탄소 고갈된 저유전율 재료를 리페어하는 장치가 개시된다. 이 장치는, 기판을 지지하도록 구성된 기판 지지 장치; 및 가스 화학물질 메니스커스를 수용하고 기판의 표면과 근접 헤드의 대향 표면 사이에 인가하도록 구성된 근접 헤드를 포함한다. 가스 화학물질은 기판 표면의 적어도 일부를 커버하는 영역에 실질적으로 포함된 탄화수소기를 함유한다. 가스 화학물질 메니스커스의 인가는 기판의 표면에 가스 화학물질의 등방성 노출을 제공하여 가스 화학물질에 노출된 탄소 고갈된 저유전율 재료가 실질적으로 리페어된다. 리페어된 저유전율 재료는 저유전율 유전체 필름층과 실질적으로 등가의 저유전율 유전체 특성을 나타낸다. 기판 지지 장치는 기판 표면과 근접 헤드의 대향 표면 사이에 가스 화학물질 메니스커스를 실질적으로 유지시키기 위해서 근접 헤드 아래에서 기판을 이동시킬 수 있다.
본 발명의 다른 실시형태에서, 기판의 저유전율 유전체 필름층 내 탄소 고갈된 저유전율 재료를 리페어하는 장치가 개시된다. 이 장치는 기판 지지 장치 및 브러쉬 장치를 포함한다. 기판 지지 장치는 기판 지지 장치의 상부에 배치된 기판을 지지하도록 구성되고 브러쉬 장치는 액체 화학물질을 수용하고 기판의 표면에 인가하도록 구성된 브러쉬를 포함한다. 액체 화학물질은 탄화수소기를 함유한다. 브러쉬를 통한 액체 화학물질의 인가는 기판의 표면에 액체 화학물 질의 균일한 노출을 제공하여 액체 화학물질에 노출된 탄소 고갈된 저유전율 재료가 실질적으로 리페어된다. 리페어된 저유전율 재료는 저유전율 유전체 필름층과 실질적으로 등가의 저유전율 유전체 특성을 나타낸다. 기판 지지 장치 및 브러쉬 장치는, 기판의 표면에 노출된 액체 화학물질의 상당량이 저유전율 유전체 필름층 내 탄소 고갈된 저유전율 유전체 재료를 리페어할 수 있도록 기판 및 브러쉬를 서로에 대해 이동시키도록 구성된다.
본 발명의 다른 양태들 및 이점들은 본 발명의 예시적으로 설명하는 첨부된 도면들과 함께 다음의 상세한 설명들로부터 더욱 명확해질 것이다.
도면의 간단한 설명
본 발명은 첨부된 도면과 연결하여 다음의 상세한 설명을 참고함으로써 가장 잘 이해될 수도 있다. 이러한 도면들은 바람직한 실시 형태들로 본 발명을 제한하지 않으며, 단지 설명과 이해를 위한 것이다.
도 1a는 저유전율 유전체 필름층에서 탄소 고갈된 저유전율 재료를 도시하는 단순화된 개략도이다.
도 1b는 본 발명의 일 실시 형태에서, 포토레지스트 동작 동안 형성된 피처 주위의 영역에 형성된 탄소 기반 포토레지스트층의 단순화된 개략도를 도시한다.
도 2a는 본 발명의 일 실시 형태에서, 가스 화학물질을 인가하기 위한 근접 헤드를 이용하는 장치의 단면도이다.
도 2b는 도 2a에 도시된 집중된 가스 인가 영역의 확대도를 도시한다.
도 2c는 가스/액체 화학물질을 인가하기 위한 한 쌍의 각을 이룬 노즐들을 구비한 장치의 실시형태를 도시한다.
도 2d는 똑바르게 수직인 노즐을 이용한 근접 헤드를 갖는, 도 2c에 도시된 실시형태에 대한 대체 실시형태를 도시한다.
도 2e는 적어도 하나의 각을 이룬 노즐과 하나의 똑바르게 수직인 노즐을 갖는 근접 헤드를 갖는, 도 2c 및 도 2d에 도시된 발명의 대체 실시형태이다.
도 3a 내지 도 3d는 저유전율 유전체 필름층, 탄소 고갈된 저유전율 재료 및 메틸기 함유 저유전율 유전체 필름층의 단순한 복합 구조를 도시한다.
도 4a는 본 발명의 일 실시형태에서, 이중 근접 헤드들을 이용하여 기판에 제어 화학물질을 인가하는 것을 도시하는 단면도이다.
도 4b는 도 4a에 도시된 실시형태의 대체 실시형태이다.
도 4c는 도 4a 및 도 4b에 도시된 실시형태들의 대체 실시형태이다.
도 5는 본 발명의 실시형태에서, 제어 화학물질을 인가하는 근접 헤드들을 사용하는 시스템의 단면도이다.
도 6은 본 발명의 일 실시 형태에서 탄소 고갈된 저유전율 필름층을 리페어하는 것과 연관된 동작의 흐름도를 도시한다.
상세한 설명
이제, 기판의 저유전율 필름층에서 탄소 고갈된 저유전율 재료를 리페어링하는 개선되고 효율적인 몇몇 실시 형태들을 설명할 것이다. 그러나, 당업자에게는 명백한 바와 같이, 본 발명은 이러한 상세한 설명들의 일부 또는 전부 없이도 실시될 수도 있다. 다른 예로, 본 발명을 불필요하게 불명료하게 하지 않기 위 해서 잘 알려진 프로세스 동작은 설명하지 않는다.
저유전율 유전체 층의 저유전율은 저유전율 필름층내의 탄소 고갈된 저유전율 재료를 리페어링함으로써 복원될 수도 있다. 피처 사이즈를 감소시키고 피처들의 밀도를 증가시키는 현재의 진보하는 기술 경향으로, 저유전율 유전체 필름층으로부터 탄소 고갈된 저유전율 재료를 제거하는 것은 매우 어려운 시도를 내포한다. 탄소 고갈된 저유전율 재료를 제거하는 몇몇 방법들은 탄소 고갈된 저유전율 재료 근처에 형성된 피처들을 손상시키거나 하부의 구리 상호접속부들을 손상시키거나 저유전율 유전체 필름층을 더욱 손상시켜, 피처들의 렌더링을 실시할 수 없게 할 것이다. 그러나, 탄소 고갈된 저유전율 재료를 탄소 강화된 화학물질로 신중하게 처리함으로써, 저유전율 재료가 상당히 리페어되고 저유전율 유전체 특성이 리페어된 저유전율 재료로 복원될 수 있어, 이 리페어된 저유전율 재료는 저유전율 유전체 필름층과 상당히 동등한 특성을 나타낸다.
저유전율 유전체 필름층에서의 탄소 고갈된 저유전율 재료의 신중한 처리는 기판 상에 형성된 피처들과 최종적인 반도체 제품들, 예를 들어, 마이크로칩들의 품질을 보존할 수 있다. 본 발명의 실시예에서, 저유전율 유전체 필름층에 형성된 탄소 고갈된 저유전율 유전체 재료는 가스 화학물질을 인가함으로써 리페어된다. 가스 화학물질은, 탄화수소기를 포함하도록 선택되고 탄소 고갈된 저유전율 재료를 리페어하도록 구성된다. 가스 화학물질이 가스 화학물질 메니스커스로서 근접 헤드를 통해 저유전율 유전체 필름층에 인가되어, 저유전율 유전체 필름층의 탄소 고갈된 저유전율 재료가 가스 화학물질 메니스커스에 충분하게 노출되어 저유저율 재료를 실질적으로 리페어한다. 이 리페어된 저유전율 재료는 저유전율 유전체 필름층과 실질적으로 동등한 저유전율 유전체 특성을 나타낸다. 가스 화학물질의 제어된 인가 및 노출은 탄소를 가스 화학물질 메니스커스로부터 탄소 고갈된 저유전율 재료로 도입시켜, 저유전율 재료를 실질적으로 리페어한다. 이 리페어된 저유전율 재료는 저유전율 필름층의 저유전율 특성들을 실질적으로 나타낸다.
도 1a는 저유전율 유전체 필름층 영역에서 탄소 고갈된 저유전율 재료 영역의 단순화된 개략도를 도시한다. 도시된 바와 같이, 저유전율 유전체 필름층 (110) 은 기판 (100) 상에 형성된다. 저유전율 유전체 필름층 (110) 은 스핀 코팅, 딥 코팅, 또는 화학 기상 증착 기술 중 어느 하나를 이용하여 형성된다. 저유전율 유전체 필름층을 형성하는데 사용된 재료는 SiCOH, 다공성의 SiCOH 등 중 하나일 수 있다. 저유전율 유전체 재료가 탄소로 도핑되고 미크론보다 작은 복수의 포어들이 저유전율 유전체 재료로 도입되어 유전율을 더욱 낮춘다. 포어들은 잘 알려진 기술을 이용하여 도입될 수도 있으므로, 본 출원에서는 매우 상세하게 논의하지 않는다. 저유전율 유전체 필름층 (110) 은 기판 표면 상에, 에칭 정지층과 같이 미리 제조된 층들 상에, 또는 복수의 제조된 층들 사이에 직접적으로 형성될 수도 있다. 저유전율 유전체 필름층 (110) 은 저유전율 유전체 필름층 (110) 을 통해 형성된 하나 이상의 피처들 또는 기판 (100) 상에 형성된 트랜지스터들을 아래로 접속시키는 구리 상호접속부들과 같은 하부 피처들에 대하여 절연을 제공한다. 저유전율 유전체 필름층을 이용하여 기판 상에 형성된 피처들 을 절연하는 것은 피처들 사이의 결합 용량을 감소시키도록 도와 배선 지연을 감소시킨다. 따라서, 저유전율 유전체 필름층 (110) 의 기능성, 피처들 (130) 과 구조체들의 기능성을 보존하기 위해서 저유전율 유전체 필름층 특성을 계속 유지하는 것은 필수적이다.
제조 프로세스 동안, 부가적인 피처들 또는 구조체들을 생성하기 위해서 저유전율 유전체 필름층 (110) 상에 하나 이상의 제조층들이 형성된다. 도 1b에 도시된 바와 같이, 피처 (130) 는 저유전율 유전체 필름층 (110) 을 통해 형성된다. 탄소 기반 포토레지스트층 (120) 은 저유전율 유전체 필름층 (110) 및 피처 (130) 상에 형성된다. 포토레지스트층의 증착 후의 에칭 동작 동안, 피처 (130) 에서의 또는 피처 (130) 근처에서 탄소 기반 포토레지스트층의 일부를 스트립하는데 사용되는 에칭 플라즈마는 에칭 플라즈마에 노출된 저유전율 유전체 필름층 (110) 을 손상시킬 수도 있다. 이 손상은, 저유전율 유전체 필름층 (110) 의 재료 특성이 미크론보다 작은 포어들의 존재로 인해 손상된다는 사실에 기인할 수도 있다. 결과적으로, 저유전율 유전체 필름층 (110) 에서 도핑된 탄소는 에칭 플라즈마에 노출된 저유전율 유전체 필름층 (110) 의 일부분들로부터 쉽게 고갈될 수도 있다. 일 실시 형태에서, 손상된 저유전율 재료 (115) 의 리페어는 기판 (100) 상에 형성된 피처들 및 구조체들의 기능성을 보유하기 위해서 수행될 수도 있다. 도 1b가 에칭 동작이 저유전율 유전체 필름층 (110) 으로부터 탄소를 고갈시키는 일 실시형태를 도시하지만, 다른 제조 동작들도 저유전율 유전체 필름층 (110) 에 비슷한 손상을 입힐 수도 있다. 탄소 고갈된 저유전율 재료 (115) 는 저유전율 유전체 필름층 (110) 의 나머지 부분보다 그 부분들에서 더 높은 유전율을 나타내고, 이것에 의해 배선 지연에 기여한다. RC 지연으로도 알려진 배선 지연은, 레벨간 유전체층의 커패시턴스 및/또는 상호접속부에 사용된 재료들의 저항에 의해 유발될 수 있는 트랜지스터들 사이의 신호 전파의 지연으로서 정의된다. 이 실시 형태에서, 저유전율 유전체 필름층 (110) 의 화학적 구성은 SixOyCzHw의 화학 구성 형태인 반면, 탄소 고갈된 저유전율 재료 (115) 의 구성은 탄소 고갈로 인한 SixOyHw의 화학 구성과 유사하다.
도 2a는 본 발명의 일 실시 형태에서, 탄소 고갈된 (손상된) 저유전율 재료 (115) 를 리페어링하기 위한 가스 화학물질 메니스커스 (210) 를 제공하는데 사용된 장치의 단순화된 개략도를 도시한다. 도시된 바와 같이, 기판 (100) 이 캐리어 (215) 상에 장착된다. 캐리어 (215) 는 적소에 기판 (100) 을 수용하여 홀딩하고 이 기판 (100) 을 이동축을 따라 이동시켜, 기판 (100) 의 상이한 부분들이 가스 화학물질 메니스커스 (210) 에 노출되도록 구성된다. 캐리어 (215) 는 캐리어 (215) 내에서 기판 (100) 을 수용하고 홀딩하기 위한, 일 평면에 있는 핀들을 포함한다. 일 실시 형태에서, 도 2b에 도시된 바와 같이, 모터들은 이동축을 따라서 기판을 운반하는 캐리어 (215) 를 이동시킨다. 장치는 기판 (100) 의 표면과 근접 헤드 (200) 의 대향 표면 사이에서 가스 화학물질 메니스커스 (210) 를 전달하도록 구성된 근접 헤드 (200) 를 더 포함한다. 용어, "가스 화학물질 메니스커스"의 맥락에서 사용된 "메니스커스"는 기판 (100) 의 표면과 근접 헤드 (200) 의 대향 표면 사이에 인가되는 "가스"의 체적을 지칭한다. 가스 화학물질 메니스커스는 실질적으로 기체이지만, 수분 상태의 액체를 포함할 수도 있다. 유체 메니스커스와 비교하여, 가스 화학물질 메니스커스의 기체는 유체의 장력작용특성 (tensioactive properties) 을 나타내지 않을 수도 있다. 이와 같이, 가스 화학물질 메니스커스의 격납은 인가 포인트에 대하여 보다 국부적이며 보다 자유 유동성일 수도 있다. 결과적으로, 인가된 가스 화학물질 메니스커스는 격납 영역 내부에 완전하게 포함되지 않을 수도 있지만, 일 실시예에서, 그 국부화된 인가는 (근접 헤드의) 하나 이상의 노즐들로부터 흐르는 가스들에 노출되는 영역에 매우 농축된 가스를 제공한다. 도 2b에 도시된 바와 같이, 가스 화학물질 메니스커스는 매우 농축된 가스 인가 영역 (250) 을 제공한다. 어떤 경우에는, 가스 화학물질의 일부는 가스 인가 영역 (250) 에서 새나갈 수도 있지만, 이 흐름은 가스 인가 영역 (250) 내의 화학물질 농도의 레벨을 유지하여 원하는 처리 레벨들을 만족하도록 구성될 수 있다.
본원에 설명된 바와 같이, 근접 헤드 (200) 가 기판 (100) 의 표면과 관련하여 근접하여 위치될 때, 근접 헤드 (200) 는 처리될 기판 (100) 의 표면에 화학물질의 정확한 체적을 전달하고 표면으로부터 화학물질을 제거할 수 있는 기판 처리 장치이다. 일 실시 형태에서, 근접 헤드 (200) 는 대향하는 헤드 표면 (대향 표면) 을 가지며 그 대향 표면은 기판 (100) 의 표면과 실질적으로 평행하게 배치된다. 메니스커스는 대향 표면과 기판 (100) 의 표면 사이에 형성된다. 근접 헤드 (200) 는 또한, 복수의 화학물질을 전달하도록 구성될 수도 있고, 전달되 었던 복수의 화학물질을 제거하기 위한 진공 포트들 (235) 로 구성된다.
메니스커스에 대한 화학물질의 전달 및 제거를 제어함으로써, 메니스커스는 기판 (100) 의 표면 상에서 제어 및 이동될 수 있다. 일부 실시형태에서는, 처리 기간 동안, 기판 (100) 이 이동하는 반면 근접 헤드 (200) 는 정지해 있을 수도 있고, 다른 실시형태들에서는, 근접 헤드 (200) 가 이동하고 기판 (100) 이 정지해 있을 수도 있다. 또한, 완전함을 위해서, 임의의 배향에서 프로세싱이 발생할 수 있고, 그것으로서, 메니스커스는 수평이 아닌 표면 (예를 들어, 수직 기판들 또는 비스듬히 유지된 기판들) 에 인가될 수 있다.
근접 헤드에 관한 부가적인 정보를 위해서, 2003년 9월 9일 발행되고, 명칭이 "METHODS FOR WAFER PROXIMITY CLEANING AND DRYING"인 미국 특허 제 6,616,772 호에 기재된 바와 같은 예시적인 근접 헤드를 참조할 수 있다. 이 미국 특허 출원은 대상 출원의 양수인인 Lam Research Corporation에 양도되었다.
근접 증기 세정 및 건조 시스템에 대한 부가적인 정보를 위해서, 2002년 12월 3일 발행되고, 명칭이 "CAPILLARY PROXIMITY HEADS FOR SINGLE WAFER CLEANING AND DRYING"인 미국 특허 제 6,488,040 호에 기재된 예시적인 시스템을 참조할 수 있다. 이 미국 특허는 대상 출원의 양수인인 Lam Research Corporation에 양도되었다.
도 2a가 기판 (100) 의 표면에 가스 화학물질 메니스커스 (210) 를 인가하는 단일 근접 헤드 (200) 를 도시하였지만, 탄소 고갈된 저유전율 재료를 효율적으로 리페어하기 위해서 기판 (100) 의 일 표면 또는 2개의 표면 모두에 가스 화학물질 메니스커스 (210) 를 인가하기 위해 2 이상의 근접 헤드가 사용될 수도 있다.
기판 (100) 의 표면의 적어도 일부가 가스 화학물질 메니스커스 (210) 에 노출되도록, 가스 화학물질 메니스커스 (210) 는 근접 헤드 내의 하나 이상의 노즐들을 통해 전달된다. 가스 화학물질 메니스커스 (210) 의 노출은 성질상 등방성이어서, 기판 (100) 의 표면 일부에 대하여 가스 화학물질 메니스커스 (210) 의 균일한 인가를 가능하게 하므로, 가스 화학물질 메니스커스 (210) 에 노출된 탄소 고갈된 저유전율 재료가 실질적으로 리페어된다.
도 2c에 도시된 일 실시행태에서, 근접 헤드 (200) 내 노즐들 (230a) 의 적어도 하나는, 가스 화학물질 메니스커스 (210) 가 기판 (100) 의 표면에 대하여 수직과 평행의 사이에 있는 각으로 인가되도록 위치된다. 이 가스 화학물질 메니스커스 (210) 는, 그 흐름이 기판 (100) 의 표면에 대하여 실질적으로 평행하고 손상된 저유전율 재료 (115) 에 대하여 충격을 제공하도록 인가된다. 가스 화학물질 메니스커스 (210) 의 흐름은 기판 (100) 의 표면과 근접 헤드 (200) 의 대향 헤드 사이의 갭 (240) 에 의존하여 제어될 수도 있다. 일 실시 형태에서, 갭 (240) 은 약 0.1 mm 와 약 5 mm 사이에서 설정될 수도 있고 중간 범위로 약 0.3 mm와 약 1.5 mm 사이에서 설정될 수도 있다. 또한, 노즐을 어떤 각도로 지향시키는 것은, 가스 화학물질 메니스커스 (210) 가 기판 (100) 의 흐름 또는 이동과 관련하여 기판 (100) 의 일부 내에 인가되어 포함되게 한다.
일 실시 형태에서, 가스를 근접 헤드 (200) 의 중심을 향하게 하는 것은, 가스 흐름이 근접 헤드 (200) 아래로부터 흘러 나가는 것과는 반대로, 가스 흐름이 근접 헤드 (200) 아래에 남아있게 조장한다. 본 실시 형태에서, 각도 세타는 (기판 (100) 의 표면에 수직인) 0도와 (기판 (100) 의 표면에 평행한) 90도 사이에 있는 것이 바람직하다. 보다 구체적인 실시형태에서, 세타는 (근접 헤드의 중심을 향하여) 약 20 도와 45 도 사이에서 선택될 수도 있다. 예시된 세타를 갖는 노즐의 반대편에 위치되는 노즐들에 대하여, 비슷한 방식으로 각도가 선택된다.
도 2d에 도시된 다른 실시형태에서, 근접 헤드 (200) 의 노즐들 중 적어도 하나 (230b) 는 기판 (100) 의 표면에 수직하게 위치되어, 가스 화학물질 메니스커스 (210) 가 기판 (100) 의 표면에 실질적으로 수직하게 인가된다. 가스 화학물질 메니스커스 (210) 의 유량은 기판 (100) 의 표면과 근접 헤드 (200) 의 반대 표면 사이의 갭 (240) 에 기초하여 조정되어, 탄소 고갈된 저유전율 재료 (115) 의 효율적인 리페어를 용이하게 하기 위해서 기판 (100) 의 표면에 적절한 충격을 제공할 수도 있다.
도 2e에 도시된 본 발명의 다른 실시형태에서, 근접 헤드 (200) 는, 기판 (100) 의 표면에 대하여 수직과 평행 사이의 각도로 위치된 적어도 하나의 노즐 (230a), 및 기판 (100) 의 표면에 실질적으로 수직인 적어도 하나의 노즐 (230b) 을 포함한다. 기판 (100) 의 표면에 대하여 가스 화학물질 메니스커스 (210) 의 등방성 노출을 제공하기 위하여 노즐들의 각도 및 위치에 관한 다른 변경들이 사용될 수도 있다.
하나 이상의 노즐들 이외에도, 근접 헤드 (200) 는 가스 화학물질 메니스커스 (210) 내에서 가스 화학물질의 새로운 재료가 충분하게 보충되는 것을 보장하기 위해서 가스 화학물질 메니스커스 (210) 의 유량을 조정하는 제어기를 포함하여, 기판 (100) 의 표면이 가스 화학물질 메니스커스 (210) 의 적절한 양과 품질에 노출되어 탄소 고갈된 저유전율 재료 (115) 가 효율적으로 리페어된다.
도 3a는 절연 피처들에서 사용된 저유전율 유전체 필름층 (110) 을 나타내는 단순화된 SixOyCzHw 구조 체인으로서, 에칭/스트립핑 동작과 같은 하나 이상의 제조 동작들에 사용된 화학물질들로 인해 탄소가 고갈되기 전의 구조들 및 다른 층들을 도시한다. 이 실시 형태에서, 메틸기가 구조체의 규소 각각과 직접 결합된 것으로 도시된다. 도 3b는, 본 발명의 일 실시형태에서, 제조 동작 후 탄소 고갈로 인한 저유전율 유전체 필름층 (110) 의 손상된 저유전율 재료 (115) 의 조성을 도시한다. 이 실시형태에서 볼 수 있는 바와 같이, 손상된 저유전율 재료 (115) 의 조성은 하나 이상의 제조 화학물질들에 노출된 저유전율 유전체 필름층 (110) 으로부터 메틸기를 함유하는 탄소의 고갈을 나타내는 SixOyCz-mHw-n 형태이다. 제조 동작으로부터 하나 이상의 제조 화학물질들의 반응으로 인해, 저유전율 유전체 필름층 (110) 의 규소-메틸 결합이 파괴되고 메틸기는 에칭 플라즈마 또는 제조 화학물질이 가져가 버린다. 히드록실기가 부유된 규소와 결합함으로써 메틸기를 치환시킨다. 탄소 고갈된 저유전율 재료 (115) 는 보다 높은 유전율을 나타내고 남은 저유전율 유전체 필름층 (110) 보다 덜 소수성이다.
가스 화학물질 메니스커스 (210) 의 가스 화학물질은, 가스 화학물질이, 탄소 고갈된 저유전율 재료 (115) 에 탄소를 공급할 수 있는 탄화수소기를 적어도 포 함하도록 선택된다. 일 실시형태에서, 가스 화학물질의 탄화수소기는 메틸기이다. 탄소 고갈된 저유전율 재료의 리페어링은, 예를 들어, 도 3b에 도시된 탄소 고갈된 저유전율 재료 (115) 내에서, 메틸기와 같은 탄화수소기를 함유하는 가스 화학물질이 히드록실기의 산소-수소 결합과 상호작용할 때 달성된다. 도 3c에 도시된 일 실시형태에서, 손상된 저유전율 재료 (115) 의 히드록실기의 수소 이온이 가스 화학물질의 메탈함유기에 의해 치환된다. 이 실시형태에서, 트리메틸 실리칸기 (tri-methyl silicane group) 는 손상된 저유전율 재료 (115) 에 탄소를 보충하기 위해 사용된다. 트리메틸 실리칸기의 규소 이온은 히드록실기의 부유된 산소 이온과 결합하여 히드록실기의 수소 이온을 치환시킨다. 저유전율 재료 (115) 의 결과적인 구조는 도 3d에 도시되며, 히드록실기의 수소 이온이 가스 화학물질의 트리메틸 실리칸기에 의해 치환된다.
근접 헤드 (200) 의 제어기는, 탄소 고갈된 저유전율 재료 (115) 의 탄소의 도입이 완료되도록 가스 화학물질의 유량을 조정하는데 사용될 수도 있다. 저유전율 재료 (115) 에서의 탄소의 도입은 저유전율 재료의 저유전율을 낮추는 것을 도움으로써 저유전율 유전체 필름층 (110) 의 특징과 실질적으로 비슷한 손상된 저유전율 재료 (115) 에 대한 저유전율 특성을 복원한다.
도 3b에 도시된 실시형태가 히드록실 결합과 반응하는 가스 화학물질을 나타내지만, 이 가스 화학물질은 결합 내의 적절한 이온들 중 하나를 메틸 또는 다른 탄화수소기로부터의 탄소로 치환하기 위해 다른 유형의 결합들과도 반응할 수도 있으므로, 손상된 저유전율 재료 (115) 의 저유전율 특성은 저유전율 유전체 필름층 (110) 과 실질적으로 비슷한 레벨로 복원된다. 부가적으로, 가스 화학물질은 트리-메틸 실리칸기를 포함하는 것으로 제한되지 않으며, 손상된 저유전율 재료 (115) 를 실질적으로 리페어하고 손상된 저유전율 재료 (115) 에 대한 저유전율 특성들을 복원하는 탄소 고갈된 저유전율 재료 (115) 로 탄소를 도입시킬 수 있는 탄소 또는 메틸 함유 탄화수소를 포함할 수도 있다.
가스 화학물질의 인가는 개별 프로세스 동작일 수도 있으며, 또는 세정 또는 증착전 조제 동작과 같은 다른 동작들과 결합될 수 있다. 본 발명의 일 실시형태에서, 가스 화학물질은 세정 화학물질과 교대로 사용될 수 있고 이 둘은 세정 동작 동안 단일 근접 헤드를 이용하여 택일적으로 인가된다.
도 4에 도시된 본 발명의 다른 실시형태에서, 장치는 2개의 근접 헤드 (405, 410) 를 각각 포함한다. 이 실시형태에서, 탄소 고갈된 저유전율 재료 (손상된 저유전율 재료; 115) 의 리페어링은 세정 동작과 통합되는데, 세정 화학물질이 제 1 근접 헤드 (405) 를 통해 기판 (100) 의 표면에 인가되는 동안 가스 화학물질 메니스커스 (210) 는 손상된 저유전율 재료 (115) 에 제 2 근접 헤드 (410) 를 이용하여 인가된다. 가스 화학물질 및 세정 화학물질은 진공 포트 (235) 를 이용하여 기판의 표면으로부터 제거된다. 가스 화학물질 메니스커스 (210) 의 동시 인가는 세정 동작으로 제한되지 않는다. 가스 화학물질 메니스커스 (210) 는, 예를 들어 증착전 조제와 같은 다른 제조 동작 동안 손상된 저유전율 재료 (115) 에 동시에 인가될 수도 있다.
도 4a를 참고하여 설명된 장치에 대한 대체 실시형태가 도 4b에 도시된다. 이 실시형태에서, 2개의 근접 헤드 (405, 410) 는, 근접 헤드들이 가스 화학물질 (210) 의 인가를 집중시켜 농축된 가스 인가 영역 (250) 을 제공할 수 있도록 구성된다. 기판 (100) 의 표면에 대한 매우 집중된 가스 화학물질 인가를 가능하게 하기 위해서, 근접 헤드들은, 도 4b에 도시된 바와 같이 가스 화학물질이 인가되는 포켓을 형성되도록 연장된다. 근접 헤드 표면들의 연장은 가스 화학물질이 새나가는 것을 실질적으로 방지하는 부분적인 벽을 제공하여, 효율적인 세정을 위해 기판의 표면에 보다 집중된 가스 화학물질이 인가될 수 있다.
도 4c는 도 4a 및 도 4b에 도시된 본 발명의 또 다른 실시형태를 도시한다. 이 실시형태에서, 근접 헤드 (405) 는 기판의 표면에 리페어 화학물질을 인가하도록 사용된다. 이 실시 형태에서, DI 수 (또는, 다른 유체) 의 메니스커스는 가스 메니스커스 (150) 의 어느 한쪽에 인가되어, 인가된 물 메니스커스는 베리어로서 작용하여 가스 화학물질이 새나가는 것을 실질적으로 방지한다. 인가된 가스 화학물질은 손상된 저유전율 재료 (115) 를 효율적으로 리페어링하도록 돕는다. 이 실시형태에서 하나의 근접 헤드가 사용되었지만, 가스 화학물질 메니스커스의 어느 한쪽에 물 메니스커스를 제공하기 위해서 하나 이상의 근접 헤드들이 사용될 수도 있다. 도 4c에 도시된 본 발명의 일 실시형태에서, 근접 헤드는 도 4b를 참고한 설명과 같이 포켓을 제공하도록 연장될 수도 있다. 이 연장된 근접 헤드는 가스 인가 영역 (250) 에서 기판에 가스 화학물질의 인가를 더욱 집중시켜 손상된 저유전율 재료 (115) 의 효율적인 리페어를 가능하게 한다.
도 5는 본 발명의 일 실시형태에서, 가스 화학물질 메니스커스를 인가하는데 사용하는 근접 헤드들을 이용하는 세정실 (600) 내의 시스템의 단면도를 도시하며, 세정실의 벽 (602) 및 플로어 (604) 가 도시되어 있다. 세정실 (시스템) (600) 내의 시스템은, 내부에 복수의 근접 헤드 (645) 가 위치되는 하우징 챔버 (610) 를 포함한다. 하우징 챔버 (610) 내부에 도시된 근접 헤드 (645) 는, 기판 (100) 이 하우징 챔버 (610) 내에서 통과하여 이동하는 프로세싱 영역 (618) 의 어느 한 쪽에 위치된 2개의 근접 헤드들 (645) 을 포함한다. 근접 헤드들의 수와 위치는 변경될 수 있다. 도 6은, 탄소 고갈된 저유전율 재료 (105) 가 있는 기판 (100) 이 통과하여 이송되는 프로세싱 영역 (618) 의 어느 한 쪽에 위치된 2개의 근접 헤드들, 3개의 근접 헤드들 및 5개의 근접 헤드들과 같은 변경들의 일부를 도시한다. 기판 (100) 은 기판 입력부 (615) 를 통하여 하우징 챔버 (610) 로 도입되어 기판 출력부 (660) 로부터 제거된다. 처리 영역 (618) 내에 위치된 캐리어 (650) 는 기판 입력부 (615) 를 통해 기판을 수용하고, 근접 헤드 (645) 를 통해 시스템 (600) 을 횡단하여 기판 (100) 을 이송시키고, 기판 출력부 (660) 로 기판을 전달한다. 시스템 (600) 은 또한, 손상된 저유전율 재료 (115) 를 리페어하는데 사용되는 가스 화학물질을 포함하는 복수의 화학물질들을 포함하기 위한 저장부들 (625, 630, 635 등) 의 세트를 포함한다. 이 시스템은 하나 이상의 근접 헤드들을 이용하여, 다양한 가스 화학물질, 세정 화학물질들 및 DIW를 인가하기 위해 사용될 수 있다. 일 실시 형태에서, 시스템 (600) 은 1) 기판 (100) 을 가볍게 세정하기 위해 DIW를 인가하고, 2) 작은 오염물질을 제거하기 위해 라이트 (light) 플루오르화 수소산을 인가하고, 3) 탄소 고갈된 저유전율 재료 (115) 를 리페어하기 위해 가스 화학물질을 인가하는데 사용된다. 본 발명의 다른 실시형태에서, 시스템 (600) 은 1 및 3 만을 적용하거나 오로지 3만을 적용하는데 사용될 수도 있다.
가스 및 세정 화학물질은, 전달 제어 메커니즘 (620) 을 이용하여, 리페어될 탄소 고갈된 저유전율 재료 (115) 와 탄소 고갈된 저유전율 재료 (115) 의 상하에 형성된 대응하는 필름층들로 만들어진 분석에 기초하여, 제어된 방식으로 기판 (100) 의 표면에 인가된다. 소프트웨어를 실행시키는 컴퓨터 (605) 는 전달 제어 메커니즘 (620) 내에서 제어들을 조정하기 위해서 전달 제어 메커니즘 (620) 에 통신가능하게 접속될 수도 있어 가스 세정 화학물질이 제어된 방식으로 기판 (100) 에 인가될 수도 있다. 컴퓨터 (605) 가 세정실 내부에 위치한 것으로 도시하였지만, 컴퓨터 (605) 는 세정품 외부 어디든에 위치할 수 있고 하우징 챔버 (610) 내부의 전달 제어 메커니즘 (620) 에 통신가능하게 접속된다.
본 발명의 실시형태는 가스 화학물질의 인가로 제한되지 않는다. 본 발명의 다른 실시형태에서, 액체 화학물질이 가스 화학물질 대신에 사용될 수도 있다. 일 실시형태에서, 액체 화학물질은 근접 헤드 (200) 를 이용하여 액체 화학물질 메니스커스 (210') 로서 인가된다. 액체 화학물질에 관하여 본원에 사용된 용어 "메니스커스"는 근접 헤드 (200) 의 대향 표면과 기판 (100) 의 표면 사이에 액체 화학물질의 표면 장력에 의해 일부분으로 경계가 지어지거나 수용된 액체 화학물질의 체적을 지칭한다. 또한, 이와 같이 형성된 메니스커스는 수용된 형상으로 표면을 통해 이동할 수 있고 제어 가능하며 기판 (100) 의 표면으로부터 오염물질을 제거하는데 사용된다. 구체적인 실시형태에서, 메니스커스 형상은, 컴퓨팅 시스템을 더 포함할 수도 있는 정확한 액체 화학물질 전달 및 제거 시스템들에 의해 제어될 수 있다. 액체 화학물질은 가스 화학물질의 탄화수소기와 비슷하게 작용하는 탄화수소기를 함유할 수도 있다. 본 발명의 일 실시형태에서, 액체 화학물질의 탄화수소기는 메틸기이고 이 메틸기의 탄소는 저유전율 재료 (115) 의 고갈된 탄소를 보충하는데 사용되어 저유전율 재료 (115) 의 저유전율 특성을 저유전율 유전체 필름층 (110) 과 실질적으로 비슷한 레벨로 복원시킨다.
액체 형상의 메니스커스의 형성에 관한 정보로서, 참조문헌으로는 (1) 2003년 9월 9일 발행되고 명칭이 "METHODS FOR WAFER PROXIMITY CLEANING AND DRYING"인 미국 특허 제 6,616,772 호; (2) 2002년 12월 24일 출원되고 명칭이 "MENISCUS, VACUUM, IPA VAPOR, DRYING MANIFOLD"인 미국 특허 출원 제 10/330,843 호; (3) 2005년 1월 24일 발행되고 명칭이 "METHODS AND SYSTEMS FOR PROCESSING A SUBSTRATE USING A DYNAMIC LIQUID MENISCUS"인 미국 특허 제 6,988,327 호; (4) 2005년 1월 24일 발행되고 명칭이 "PHOBIC BARRIER MENISCUS SEPARATION AND CONTAINMENT"인 미국 특허 제 6,988,326 호; (5) 2002년 12월 3일 발행되고 명칭이 "CAPILLARY PROXIMITY HEADS FOR SINGLE WAFER CLEANING AND DRYING"인 미국 특허 제 6,488,040 호가 있고, 이들 각각은 대상 출원의 양수인인 Lam Research Corporation에 양도되었다. 상부 및 하부 메니스커스에 관한 부가적인 정보로서, 2002년 12월 24일 출원되고 명칭이 "MENISCUS, VACUUM, IPA VAPOR, DRYING MANIFOLD" 인 미국 특허 출원 제 10/330,843 호에 개시된 바와 같은 예시적인 메니 스커스를 참조할 수 있다. 이 미국 특허 출원은 대상 출원의 양수인이 Lam Research Corporation에 양도되었다.
또 다른 실시 형태로, (SRD와 비슷한) 스핀 어플리케이터가 기판을 수용하고 홀딩하는데 사용될 수도 있다. 이 스핀 어플리케이터는 여분의 액체 화학물질을 보유하기 위한 저장부로서 역할을 하는 탱크 내부에 장착될 수도 있다. 이 스핀 어플리케이터는 축을 따라서 회전하도록 구성되어 기판의 상이한 부분들을 액체 화학물질에 노출시키도록 구성된다. 또 다른 예로, 액체 화학물질은 근접 헤드를 이용하여 회전 기판을 통해 기판의 표면에 인가될 수도 있다. 따라서, 기판을 홀딩하는 방법은 캐리어로 제한되지 않으며, 가스 또는 액체 화학물질로 처리가 성취되는 한 다른 방법들을 취할 수 있다.
본 발명의 다른 실시형태로, 근접 헤드 대신에 브러쉬 장치가 사용될 수 있다. 이 실시형태에서, 액체 화학물질이 식별되고 이 식별된 액체 화학물질은 브러쉬로 도입된다. 액체 화학물질을 갖는 브러쉬는 저유전율 유전체 필름층 (110) 에서 탄소 고갈된 저유전율 재료 (115) 에 인가되어 탄소 고갈된 저유전율 재료 (115) 가 실질적으로 리페어된다. 브러쉬 장치 내 제어기는 액체 화학물질의 유량 및 다른 파라미터들을 제어하는데 사용될 수도 있으므로, 탄소 고갈된 저유전율 재료 (115) 는 액체 화학물질에 실질적으로 노출되어 탄소 고갈된 저유전율 재료 (115) 를 효율적으로 리페어한다.
이제, 기판 (100) 의 저유전율 유전체 필름층 (110) 내 탄소 고갈된 저유전율 재료 (손상된 재료)(115) 를 리페어하는 방법을 도 6을 참고로하여 상세하게 설 명할 것이다. 이 방법은, 동작 670에 도시된 바와 같이, 손상된 재료 (115) 를 리페어하기 위해 기판 (100) 에 인가될 리페어 화학물질을 식별하는 것으로 시작한다. 앞서 언급한 바와 같이, 이 리페어 화학물질은 탄화수소기를 함유한 가스 화학물질 또는 액체 화학물질 중 어느 하나일 수도 있다. 본 발명의 일 실시 형태에서, 이 리페어 화학물질의 탄화수소는 메틸기이다. 저유전율 유전체 필름층 (110) 의 부분들은, 하나 이상의 피처 (130), 구조체들 또는 층들을 생성하는데 사용되는 CMP, 에칭, 포토리소그래피, 증착 등과 같은 하나 이상의 제조 동작들에 의해 손상될 수도 있다. 이들 동작들에서 사용된 화학물질들은, 저유전율 유전체 필름층 (110) 으로부터 탄소를 고갈시키는 형성된 피처들 (130) 주위의 영역들에서 저유전율 유전체 필름층 (110) 에서 도핑된 탄소와 반응할 수도 있다. 피처들 (130) 주위 영역들내의 탄소 고갈된 저유전율 유전체 필름층 (110) 은 나머지 저유전율 유전체 필름층 (110) 보다 높은 유전율을 가질 것이며, 결과적으로 배선 지연을 발생시킨다. 따라서, 손상된 재료 (115) 는 유전체 필름층 (110) 의 저유전율 유전체 특성을 실질적으로 보유하도록 리페어될 필요가 있다.
리페어 화학물질은, 손상된 재료 (115) 가 주위의 피처들, 구조체들 및 층들을 손상시키지 않고 선택적으로 리페어될 수도 있다. 손상된 재료 (115) 를 선택적으로 리페어하는데 사용되는 리페어 화학물질은 CxHy를 형성하는 탄화수소기들을 포함한다.
동작 675에서, 리페어 화학물질이 기판 (100) 상의 저유전율 유전체 필름층 (100) 에 인가된다. 리페어 화학물질의 인가는 근접 헤드 (200) 또는 브러쉬 장치를 통할 수도 있고, 근접 헤드 (200) 또는 브러쉬 장치에 이용가능한 제어기를 이용하여 제어될 수도 있다. 리페어 화학물질 인가의 제어는 유량, 온도, 유형 등과 같은 리페어 화학물질의 하나 이상의 파라미터들에 의존할 수도 있다. 리페어 화학물질의 인가는 또한, 기판 (100) 의 표면과 근접 헤드 (200) 의 대향 표면 사이의 갭 (240) 또는 리페어 화학물질인 리페어 화학물질 메니스커스 (210) 로서 도입되는 근접 헤드 (200) 에서의 하나 이상의 노즐들의 각도에 의존할 수도 있다.
동작 680에서, 리페어 화학물질은 도 3c에 도시된 바와 같이 손상된 저유전율 재료 (115) 와 반응하여 산소-수소 결합을 산소-탄소 결합으로 치환한다. 리페어 화학물질의 탄화수소기의 탄소는 손상된 저유전율 재료 (115) 의 산소-수소 결합과 반응하여 산소-수소 결합의 수소를 탄화수소기로부터의 탄소로 치환하여 산소-탄소 결합을 형성한다. 리페어 화학물질에 대한 손상된 저유전율 재료 (115) 의 노출을 제어함으로써, 손상된 저유전율 재료 (115) 가 실질적으로 리페어됨으로써, 기판 상에 형성된 피처들, 구조체들 및 층들의 기능성이 보존된다.
손상된 저유전율 재료 (115) 를 리페어하는데 있어서 향상된 결과를 보여주었던 리페어 화학물질은, 헥사메틸디실라잔 (HMDS), 트리메틸디실라잔 (TMDS), 트리메틸클로로실란 (TMCS) 과 같은 클로로실란, 디메틸디클로로실란 (DMDCS), 트리메틸클로로실란 ((CH3)3Si-Cl), n-폴리트리메틸실란 (n-PTMS) 과 같은 메틸 함유 탄 화수소, 이들 화학물질들의 결합, 또는 다른 화학물질들과 혼합된 이들 화학물질들의 결합을 포함한다. 향상된 결과를 보여주었던 리페어 화학물질의 유량은 약 0.2 slm (standard liters per minute) 내지 약 2.5 slm 사이이고, 중간 범위로 약 1.0 slm 내지 약 1.5 slm 사이이고, 약 1.5 slm의 유량이 최적이다. 리페어 화학물질의 다른 파라미터들은 온도, 농도, 노출 시간 등을 포함할 수도 있다. 리페어 화학물질의 온도 범위는 약 20 ℃ 내지 약 90 ℃ 사이이고, 중간 범위로 약 40 ℃ 내지 약 80 ℃ 사이이다. 이후에 최적의 고온 베이크가 따라올 수 있다. 후 베이크를 위한 온도 범위는 약 50 ℃ 내지 약 450 ℃ 사이이고 중간 범위로 약 200 ℃ 내지 약 400 ℃ 사이이다. 손상된 저유전율 재료 (115) 를 리페어하는데 있어서 향상된 결과를 보여주었던 리페어 화학물질의 농도는 리페어 화학물질 대 DIW의 약 50 % 내지 약 100 % 사이에 있고, 리페어 화학물질 대 DIW의 중간 범위로 약 80 % 내지 약 99 % 사이에 있다. 손상된 저유전율 재료를 리페어하는데 있어서 향상된 결과를 보여주었던 노출 시간은 약 0.5 초 내지 약 30 초 사이이고 중간 범위로 약 1 초 내지 약 10 초이다.
동작 685로 프로세스가 진행하여, 집적 회로칩 (IC 칩) 을 정의하기 위해 부가적인 층들 및 피처들이 저유전율 유전체 필름층 (110) 상에 형성될 수도 있다. 형성될 수도 있는 부가적인 층들 중 일부는 베리어층, 구리 필름 증착층을 포함하여, 금속화 상호접속부들 및 저유전율 유전체 필름층 등을 정의할 수도 있다. IC 칩들이 기판 상에 형성되거나 어떤 제조 레벨로 도달될 때까지 이 프로세스가 반복될 수도 있다.
명쾌한 이해를 위해 약간 세부적으로 앞의 발명을 설명하였지만, 첨부된 청구 범위 내에서 일정한 변경 및 수정들이 실시될 수 있음을 이해한다. 따라서, 본 실시형태는 예시적이고 비한정적인 것으로 여겨지며, 본 발명은 본원에 주어진 세부 사항들로 제한되지 않으며, 첨부된 청구 범위의 범위 및 등가물들 내에서 수정될 수도 있다.

Claims (32)

  1. 기판의 표면을 처리하는 장치로서,
    상기 기판이 존재하는 경우 상기 기판을 수용하고 지지하는 기판 지지 장치; 및
    상기 기판이 존재하는 경우 상기 기판의 표면에 대향하는 대향 헤드 표면을 갖는 근접 헤드로서, 상기 기판의 표면에 대향하는 상기 대향 헤드 표면의 부분들은 부분적인 벽들을 정의하도록 하방으로 연장되고, 제 1 부분적인 벽과 상기 제 1 부분적인 벽의 반대편의 제 2 부분적인 벽 사이의 영역은 상기 기판이 존재하는 경우 상기 기판의 표면의 적어도 일부분을 커버하는 포켓을 정의하고, 상기 제 1 부분적인 벽과 상기 제 2 부분적인 벽 사이의 상기 포켓 내의 상기 대향 헤드 표면은 평평한, 상기 근접 헤드;
    상기 포켓의 일 단에 정의된 상기 제 1 부분적인 벽의 내부 엣지에 배치된 하나 이상의 노즐로서, 상기 하나 이상의 노즐은 가스 화학물질을 상기 포켓으로 인가하는데 사용되고, 상기 제 1 부분적인 벽과 상기 제 2 부분적인 벽 사이에 정의된 포켓은 상기 하나 이상의 노즐을 통해 인가되는 상기 가스 화학물질을 함유하도록 구성되는, 상기 하나 이상의 노즐; 및
    상기 포켓의 반대편 단에 정의된 상기 제 2 부분적인 벽의 내부 엣지에 배치된 하나 이상의 진공 포트로서, 상기 하나 이상의 진공 포트는 상기 포켓으로부터 상기 가스 화학물질을 제거하는데 사용되고, 상기 제 1 부분적인 벽과 상기 제 2 부분적인 벽 사이의 상기 영역은 상기 포켓 내의 트리트먼트 영역을 정의하는, 상기 하나 이상의 진공 포트를 포함하는, 기판의 표면을 처리하는 장치.
  2. 제 1 항에 있어서,
    상기 가스 화학물질은 제어된 체적 내에서 상기 트리트먼트 영역으로 인가되는, 기판의 표면을 처리하는 장치.
  3. 삭제
  4. 제 1 항에 있어서,
    적어도 하나의 상기 노즐은, 상기 가스 화학물질이 상기 기판의 표면에 수직하게 인가되도록 지향되는, 기판의 표면을 처리하는 장치.
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 기판의 표면을 처리하는 장치로서,
    상기 기판이 존재하는 경우 상기 기판의 표면에 대향하는 대향 헤드 표면을 갖는 근접 헤드로서, 상기 기판의 표면에 대향하는 상기 대향 헤드 표면의 부분들은 부분적인 벽들을 정의하도록 하방으로 연장되고, 제 1 부분적인 벽과 상기 제 1 부분적인 벽의 반대편의 제 2 부분적인 벽 사이의 영역은 상기 기판이 존재하는 경우 상기 기판의 표면의 적어도 일부분을 커버하는 포켓을 정의하고, 상기 제 1 부분적인 벽과 상기 제 2 부분적인 벽 사이의 상기 포켓 내의 상기 대향 헤드 표면은 평평한, 상기 근접 헤드;
    상기 포켓의 일 단에 정의된 상기 제 1 부분적인 벽의 내부 엣지에 배치된 노즐로서, 상기 노즐은 오직 가스 화학물질을 상기 포켓으로 전달하는데 사용되고, 상기 제 1 부분적인 벽과 상기 제 2 부분적인 벽 사이에 정의된 포켓은 상기 노즐을 통해 인가되는 상기 가스 화학물질을 함유하도록 구성되는, 상기 노즐; 및
    상기 제 2 부분적인 벽의 내부 엣지에 배치된 진공 포트로서, 상기 진공 포트는 오직 상기 포켓으로부터 상기 가스 화학물질을 제거하는데 사용되고, 상기 포켓 내의 상기 노즐과 상기 진공 포트 사이의 영역은 트리트먼트 영역을 정의하는, 상기 진공 포트를 포함하는, 기판의 표면을 처리하는 장치.
  19. 청구항 19은(는) 설정등록료 납부시 포기되었습니다.
    제 18 항에 있어서,
    상기 가스 화학물질은 탄화수소기를 포함하고, 상기 탄화수소기는 메틸기를 포함하는, 기판의 표면을 처리하는 장치.
  20. 삭제
  21. 청구항 21은(는) 설정등록료 납부시 포기되었습니다.
    제 18 항에 있어서,
    상기 근접 헤드는, 상기 트리트먼트 영역에 가스 화학물질의 새로운 재료가 충분히 보충되도록 상기 가스 화학물질의 유량을 조작하는 제어기를 포함하는, 기판의 표면을 처리하는 장치.
  22. 청구항 22은(는) 설정등록료 납부시 포기되었습니다.
    제 18 항에 있어서,
    상기 근접 헤드는 상기 기판의 표면에 메니스커스로서 세정 화학물질을 인가하도록 구성된 제 2 노즐을 포함하는, 기판의 표면을 처리하는 장치.
  23. 제 18 항에 있어서,
    상기 기판의 표면에 메니스커스로서 세정 화학물질을 인가하는 제2 근접 헤드를 더 포함하는, 기판의 표면을 처리하는 장치.
  24. 반도체 웨이퍼 상에 배치된 저유전율 유전체 필름층 내 탄소 고갈된 저유전율 재료를 리페어하기 위해 상기 반도체 웨이퍼를 처리하는 방법으로서,
    복수의 노즐들을 갖는 근접 헤드를 제공하는 단계로서, 상기 근접 헤드는 상기 복수의 노즐들이 배치된 표면을 갖고, 상기 근접 헤드의 표면은 상기 반도체 웨이퍼의 표면에 근접하게 배치되도록 구성된, 상기 제공하는 단계;
    상기 복수의 노즐들을 통해 가스의 흐름으로서 리페어 화학물질을 인가하는 단계로서, 상기 리페어 화학물질은 탄화수소기를 포함하고, 상기 인가는 상기 탄소 고갈된 저유전율 재료를 포함하는 상기 반도체 웨이퍼의 표면 상의 상기 저유전율 유전체 필름층의 일부분으로 향하고, 상기 인가는 탄소를 상기 리페어 화학물질로부터 상기 표면 상의 상기 탄소 고갈된 저유전율 재료로 전달하고, 탄소의 상기 전달은 상기 탄소 고갈된 저유전율 재료 내의 히드록실기 내의 수소 이온을 상기 리페어 화학물질의 탄화수소기를 함유하는 탄소로 대체하는 것을 야기하고, 상기 대체는 상기 탄화수소기를 함유하는 탄소가 상기 탄소 고갈된 저유전율 재료를 리페어하는 상기 히드록실기의 부유된 산소 이온과의 결합을 형성하게 하고, 상기 반도체 웨이퍼의 표면에 인가되는 상기 리페어 화학물질의 온도는 20 ℃ 내지 90 ℃ 사이의 범위인, 상기 인가하는 단계; 및
    상기 반도체 웨이퍼의 상이한 부분들을 상기 리페어 화학물질에 노출시키기 위해 상기 반도체 웨이퍼를 이동시키는 단계를 포함하는, 반도체 웨이퍼를 처리하는 방법.
  25. 청구항 25은(는) 설정등록료 납부시 포기되었습니다.
    제 24 항에 있어서,
    상기 인가하는 단계는 상기 리페어 화학물질의 인가 파라미터들을 상기 근접 헤드의 표면과 상기 반도체 웨이퍼의 표면 사이에 정의된 갭에 기초하여 조정하는 단계를 포함하는, 반도체 웨이퍼를 처리하는 방법.
  26. 청구항 26은(는) 설정등록료 납부시 포기되었습니다.
    제 25 항에 있어서,
    상기 인가 파라미터들을 조정하는 단계는 0.1 mm 내지 5 mm 사이의 갭들에 대해 0.2 slm (standard liters per minute) 내지 2.5 slm 사이가 되도록 유량을 조정하는 단계를 포함하는, 반도체 웨이퍼를 처리하는 방법.
  27. 청구항 27은(는) 설정등록료 납부시 포기되었습니다.
    제 25 항에 있어서,
    상기 인가 파라미터들을 조정하는 단계는, 0.5 초 내지 30 초 사이의 범위의 노출 시간 동안 상기 반도체 웨이퍼의 부분을 노출시키기 위해 상기 근접 헤드 아래의 상기 반도체 웨이퍼의 속도를 조정하는 단계를 포함하는, 반도체 웨이퍼를 처리하는 방법.
  28. 청구항 28은(는) 설정등록료 납부시 포기되었습니다.
    제 24 항에 있어서,
    상기 반도체 웨이퍼의 표면에 인가되는 상기 리페어 화학물질의 온도는 40 ℃ 내지 80 ℃ 사이의 범위인, 반도체 웨이퍼를 처리하는 방법.
  29. 청구항 29은(는) 설정등록료 납부시 포기되었습니다.
    제 24 항에 있어서,
    상기 반도체 웨이퍼의 표면에 인가되는 상기 리페어 화학물질의 농도는 리페어 화학물질 대 탈이온수의 50 % 내지 100% 사이의 범위인, 반도체 웨이퍼를 처리하는 방법.
  30. 청구항 30은(는) 설정등록료 납부시 포기되었습니다.
    제 24 항에 있어서,
    상기 처리에 후속하여 상기 반도체 웨이퍼의 고온 베이크를 수행하는 단계를 더 포함하고,
    상기 고온 베이크를 위한 온도는 200 ℃ 내지 400 ℃ 사이의 범위인, 반도체 웨이퍼를 처리하는 방법.
  31. 청구항 31은(는) 설정등록료 납부시 포기되었습니다.
    제 24 항에 있어서,
    상기 탄화수소기를 포함하는 탄소는 메틸기를 포함하는, 반도체 웨이퍼를 처리하는 방법.
  32. 청구항 32은(는) 설정등록료 납부시 포기되었습니다.
    제 24 항에 있어서,
    상기 탄화수소기를 포함하는 탄소는 트리메틸 실리칸기 (tri-methyl silicane group) 를 포함하고,
    상기 트리메틸 실리칸기의 규소 이온은 상기 반도체 웨이퍼에 인가된 때 상기 히드록실기의 부유된 산소 이온과 결합하는, 반도체 웨이퍼를 처리하는 방법.
KR1020097019376A 2007-02-20 2008-01-24 저유전율 유전체 필름 리페어 방법 KR101461175B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/708,916 US20100015731A1 (en) 2007-02-20 2007-02-20 Method of low-k dielectric film repair
US11/708,916 2007-02-20
PCT/US2008/001058 WO2008103223A1 (en) 2007-02-20 2008-01-24 Method of low-k dielectric film repair

Publications (2)

Publication Number Publication Date
KR20090122355A KR20090122355A (ko) 2009-11-27
KR101461175B1 true KR101461175B1 (ko) 2014-11-18

Family

ID=39710348

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097019376A KR101461175B1 (ko) 2007-02-20 2008-01-24 저유전율 유전체 필름 리페어 방법

Country Status (7)

Country Link
US (2) US20100015731A1 (ko)
JP (1) JP5139451B2 (ko)
KR (1) KR101461175B1 (ko)
CN (1) CN101663740B (ko)
SG (1) SG178798A1 (ko)
TW (1) TWI414018B (ko)
WO (1) WO2008103223A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105336663A (zh) * 2014-05-30 2016-02-17 中芯国际集成电路制造(上海)有限公司 金属互连结构的形成方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7897213B2 (en) * 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US8021512B2 (en) * 2007-05-14 2011-09-20 Lam Research Corporation Method of preventing premature drying
US20120122320A1 (en) * 2010-11-17 2012-05-17 Applied Materials, Inc. Method Of Processing Low K Dielectric Films
KR20160145318A (ko) 2015-06-10 2016-12-20 정경표 파이프 절단장치
KR101718712B1 (ko) 2015-06-10 2017-03-22 정경표 파이프 절단장치
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US708399A (en) * 1902-02-12 1902-09-02 Harry Pratt Railway signaling device.
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US20050158884A1 (en) 2002-01-24 2005-07-21 Gaynor Justin F. Method Of In-Situ Treatment of Low-K Films With a Silylating Agent After Exposure to Oxidizing Environments".
US20060046516A1 (en) * 2004-08-27 2006-03-02 Frank Weber Repair of carbon depletion in low-k dielectric films

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4520757A (en) * 1982-10-27 1985-06-04 Energy Conversion Devices, Inc. Process gas introduction, confinement and evacuation system for glow discharge deposition apparatus
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4803947A (en) * 1986-01-15 1989-02-14 Canon Kabushiki Kaisha Apparatus for forming deposited film
US4654226A (en) * 1986-03-03 1987-03-31 The University Of Delaware Apparatus and method for photochemical vapor deposition
DE4011933C2 (de) * 1990-04-12 1996-11-21 Balzers Hochvakuum Verfahren zur reaktiven Oberflächenbehandlung eines Werkstückes sowie Behandlungskammer hierfür
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5413671A (en) * 1993-08-09 1995-05-09 Advanced Micro Devices, Inc. Apparatus and method for removing deposits from an APCVD system
US6022414A (en) * 1994-07-18 2000-02-08 Semiconductor Equipment Group, Llc Single body injector and method for delivering gases to a surface
TW359943B (en) * 1994-07-18 1999-06-01 Silicon Valley Group Thermal Single body injector and method for delivering gases to a surface
JP3578577B2 (ja) * 1997-01-28 2004-10-20 大日本スクリーン製造株式会社 処理液供給方法及びその装置
US6056824A (en) * 1998-01-16 2000-05-02 Silicon Valley Group Thermal Systems Free floating shield and semiconductor processing system
US6352592B1 (en) * 1998-01-16 2002-03-05 Silicon Valley Group, Thermal Systems Llc Free floating shield and semiconductor processing system
US5849088A (en) * 1998-01-16 1998-12-15 Watkins-Johnson Company Free floating shield
JP2000286254A (ja) * 1999-03-31 2000-10-13 Hitachi Ltd 半導体集積回路装置およびその製造方法
CN1279588C (zh) * 2000-06-23 2006-10-11 霍尼韦尔国际公司 恢复电介质膜及电介质材料中疏水性的方法
US7000622B2 (en) * 2002-09-30 2006-02-21 Lam Research Corporation Methods and systems for processing a bevel edge of a substrate using a dynamic liquid meniscus
US7234477B2 (en) * 2000-06-30 2007-06-26 Lam Research Corporation Method and apparatus for drying semiconductor wafer surfaces using a plurality of inlets and outlets held in close proximity to the wafer surfaces
SG142160A1 (en) * 2001-03-19 2008-05-28 Semiconductor Energy Lab Method of manufacturing a semiconductor device
TW548724B (en) * 2001-07-13 2003-08-21 Asml Us Inc Modular injector and exhaust assembly
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
US7329321B2 (en) * 2002-09-30 2008-02-12 Lam Research Corporation Enhanced wafer cleaning method
US6988327B2 (en) * 2002-09-30 2006-01-24 Lam Research Corporation Methods and systems for processing a substrate using a dynamic liquid meniscus
US7045018B2 (en) * 2002-09-30 2006-05-16 Lam Research Corporation Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and method, apparatus, and system for implementing the same
US7383843B2 (en) * 2002-09-30 2008-06-10 Lam Research Corporation Method and apparatus for processing wafer surfaces using thin, high velocity fluid layer
US7153400B2 (en) * 2002-09-30 2006-12-26 Lam Research Corporation Apparatus and method for depositing and planarizing thin films of semiconductor wafers
US7252097B2 (en) * 2002-09-30 2007-08-07 Lam Research Corporation System and method for integrating in-situ metrology within a wafer process
US7367345B1 (en) * 2002-09-30 2008-05-06 Lam Research Corporation Apparatus and method for providing a confined liquid for immersion lithography
US7632376B1 (en) * 2002-09-30 2009-12-15 Lam Research Corporation Method and apparatus for atomic layer deposition (ALD) in a proximity system
US7093375B2 (en) * 2002-09-30 2006-08-22 Lam Research Corporation Apparatus and method for utilizing a meniscus in substrate processing
US9236279B2 (en) * 2003-06-27 2016-01-12 Lam Research Corporation Method of dielectric film treatment
US20040261823A1 (en) * 2003-06-27 2004-12-30 Lam Research Corporation Method and apparatus for removing a target layer from a substrate using reactive gases
US7345000B2 (en) * 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US7897213B2 (en) * 2007-02-08 2011-03-01 Lam Research Corporation Methods for contained chemical surface treatment
US7967916B2 (en) * 2008-03-14 2011-06-28 Lam Research Corporation Method of preventing pattern collapse during rinsing and drying

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US708399A (en) * 1902-02-12 1902-09-02 Harry Pratt Railway signaling device.
US6200389B1 (en) * 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
US20050158884A1 (en) 2002-01-24 2005-07-21 Gaynor Justin F. Method Of In-Situ Treatment of Low-K Films With a Silylating Agent After Exposure to Oxidizing Environments".
US20060046516A1 (en) * 2004-08-27 2006-03-02 Frank Weber Repair of carbon depletion in low-k dielectric films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105336663A (zh) * 2014-05-30 2016-02-17 中芯国际集成电路制造(上海)有限公司 金属互连结构的形成方法

Also Published As

Publication number Publication date
KR20090122355A (ko) 2009-11-27
US20140170780A1 (en) 2014-06-19
TW200847274A (en) 2008-12-01
WO2008103223A1 (en) 2008-08-28
US20100015731A1 (en) 2010-01-21
SG178798A1 (en) 2012-03-29
JP2010519766A (ja) 2010-06-03
JP5139451B2 (ja) 2013-02-06
CN101663740A (zh) 2010-03-03
CN101663740B (zh) 2014-01-01
TWI414018B (zh) 2013-11-01

Similar Documents

Publication Publication Date Title
KR101461175B1 (ko) 저유전율 유전체 필름 리페어 방법
JP7043539B2 (ja) 断続的な空気-水暴露による自己組織化単分子膜のブロッキングの改良
US8765573B2 (en) Air gap formation
US7772130B2 (en) Insulation film forming method, insulation film forming system, and semiconductor device manufacturing method
JP2011514010A (ja) 誘電体膜の処理方法
KR102018878B1 (ko) 제어되는 에어 갭 형성
JP6886557B2 (ja) 改善された金属コンタクトランディング構造
JP2023027047A (ja) 自己組織化単分子層処理のための化学物質供給チャンバ
US20090211596A1 (en) Method of post etch polymer residue removal
KR20120099220A (ko) 로우-k 유전체 손상을 조정 가능하게 리페어링하는 방법
US20130052828A1 (en) Substrate processing method and substrate processing apparatus
US10276379B2 (en) Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US8870164B2 (en) Substrate processing method and storage medium
KR102075244B1 (ko) 기판 처리 방법 및 기판 처리 장치
US8277570B2 (en) Method of preventing premature drying
CN111613549A (zh) 基片处理装置及基片处理方法
US8277675B2 (en) Method of damaged low-k dielectric film layer removal
US20100301494A1 (en) Re-establishing a hydrophobic surface of sensitive low-k dielectrics in microstructure devices
WO2018193753A1 (ja) 絶縁膜の成膜方法、絶縁膜の成膜装置及び基板処理システム
WO2009055450A1 (en) Adhesion improvement of dielectric barrier to copper by the addition of thin interface layer
WO2024129962A1 (en) Low k dielectric gapfill
JPH0982702A (ja) 半導体装置の製造方法及びこれに用いる成膜装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171023

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181024

Year of fee payment: 5