JP2011514010A - 誘電体膜の処理方法 - Google Patents

誘電体膜の処理方法 Download PDF

Info

Publication number
JP2011514010A
JP2011514010A JP2010550730A JP2010550730A JP2011514010A JP 2011514010 A JP2011514010 A JP 2011514010A JP 2010550730 A JP2010550730 A JP 2010550730A JP 2010550730 A JP2010550730 A JP 2010550730A JP 2011514010 A JP2011514010 A JP 2011514010A
Authority
JP
Japan
Prior art keywords
substrate
supply
cleaning
chemical
low dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010550730A
Other languages
English (en)
Inventor
ユン・セオクミン
チュ・ジ
デラリオス・ジョン・エム.
ウィルコックスソン・マーク
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2011514010A publication Critical patent/JP2011514010A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)

Abstract

【課題】
【解決手段】エッチング動作後に基板の表面を洗浄するための方法およびシステムは、基板の表面に関連する複数のプロセスパラメータを決定することを含む。プロセスパラメータは、洗浄される基板表面、除去される汚染物質、基板上に形成されたフィーチャ、および、加工動作で用いられた化学剤の特徴など、基板の表面に関連する特徴を規定する。プロセスパラメータに基づいて、複数の供給化学剤が特定される。複数の供給化学剤は、第1の不混和液体および第2の不混和液体を混合し、第1の不混和液体内に固体粒子を分布させたエマルションとしての第1の供給化学剤を含む。第1の供給化学剤を含む複数の供給化学剤は、基板の表面に供給され、その結果、組み合わされた化学剤が、粒子汚染物質およびポリマ残留物汚染物質を基板の表面から実質的に除去しつつ、フィーチャ、および、フィーチャが形成される低誘電率材料の特性を保持することによって、洗浄処理を強化する。
【選択図】なし

Description

本発明は、概して、半導体基板処理に関し、特に、エッチング動作後に基板の表面を洗浄するための方法に関する。
半導体デバイスは、様々な加工動作によって得られる。加工動作は、複数のレベルにわたる複数のフィーチャを半導体ウエハ(ウエハまたは基板)上に規定する。ベースレベルには、拡散領域を有する複数のトランジスタ素子が規定される。続くレベルには、金属線を用いた相互接続が規定され、下層のトランジスタ素子に電気接続されることで、集積回路(IC)、メモリセルなどの半導体デバイスが形成される。これらのフィーチャおよび他の層を隔てて絶縁し、完全に機能する半導体デバイスを得るために、低誘電率材料が用いられる。フィーチャ間のより良好な絶縁を提供し、結合容量および電力消費を低減するために利用される低誘電率材料の誘電率は、空孔を導入すると共に化学物質(炭素またはフッ素など)をドープすることによってさらに低減される。結果として得られる超低誘電率材料は、良好な絶縁体であり、電力消費が少なく、結合容量を低減する。
様々な加工動作中、基板は、様々な汚染物質にさらされる。加工動作で利用され、基板がさらされる任意の材料または化学物質は、潜在的な汚染源となる。処理ガス、エッチング剤、蒸着剤など、様々な加工動作で用いられる化学物質が、基板の表面上に粒子またはポリマ残留物汚染物質として堆積物を残す。粒子汚染物質のサイズは、基板上に加工されるフィーチャのクリティカルディメンションのオーダーである。加工中に、これらの汚染物質は、繊細なフィーチャを囲むトレンチなど、到達しにくい領域内に入り込む。従来の洗浄処理は、機械的洗浄を用いて、これらの粒子およびポリマ残留物汚染物質の表面を洗浄する。しかしながら、フィーチャサイズの減少につながる技術の進歩により、繊細なフィーチャが簡単に損傷するようになりうるため、機械洗浄処理を用いて表面を洗浄することは、かなり困難になっている。汚染物質が、適切に除去されないと、これらの汚染物質の近くのフィーチャは、潜在的に動作不可能になりうる。ウエハ上のフィーチャまたは低誘電率材料に悪影響を与えることなく、かかる小さい汚染物質を除去することは、かなり困難である。
さらに、フィーチャを絶縁する際に用いられる超低誘電率材料は、特に、機械的強度、熱安定性、および、異なる基板層への付着性など、材料特性が低下する場合があるため、新たな課題をもたらす。超低誘電率材料は、様々な加工動作の厳しさにさらされるため、処理剤および/または加工処理によって、物理的または化学的に損傷されうる。損傷は、フィーチャに直接隣接して処理剤にさらされる超低誘電率材料の部分から炭素含有が欠乏することによる場合がある。炭素の欠乏は、誘電体膜層の誘電率の増大を引き起こす。例えば、剥離動作の際に、フィーチャの近傍の炭素系フォトレジスト層を剥離するために用いられる剥離プラズマが、低誘電率材料から炭素を欠乏させることによって、剥離プラズマに曝露される低誘電率材料を損傷しうる。低誘電率材料における炭素の欠乏は、低誘電体膜層の誘電率の増大を引き起こし、容量結合の原因になる。したがって、フィーチャの機能および集積回路デバイスの機能が保たれるように、損傷した低誘電体膜層(それを通してフィーチャが形成される)を除去または修復することにより低誘電体膜層の特性を実質的に回復させることが重要である。
さらに、長い間、集積回路の生産では、導電材料として金属が利用されてきた。現在、フロントエンドでは、トランジスタとの接触のために、タングステンが用いられ、配線の相互接続のバックエンドのための好ましい金属は、アルミニウムおよび銅である。これらの金属は、化学的に非常に反応性が高く、周囲環境の水分および酸素、表面に供給された他の処理剤と反応して、金属腐食を起こしうる。金属の腐食は、加工されたデバイスの電気的な完全性に悪影響を与えるため、処理フロー中に金属不動態化が必要になる。
上記の複数の機能、すなわち、粒子除去、ポリマ残留物除去、損傷した低誘電体の除去および修復、ならびに、金属不動態化、を実行することができる最も望ましい洗浄方法が必要であることは、上記から明らかである。本発明の実施形態は、このような課題に対処するものである。
本発明は、粒子除去、ポリマ残留物除去、損傷低誘電体の除去および修復、ならびに、金属不動態化を含む複数の機能を提供する、エッチング動作後に基板の表面を処理するための改良洗浄方法を提供することにより上記の要求を満たす。本発明は、装置および方法を含む種々の形態で実施できることを理解されたい。以下では、本発明の実施形態をいくつか説明する。
一実施形態では、エッチング動作後に基板の表面を洗浄するための方法が開示されている。その方法は、基板の表面に関連する複数のプロセスパラメータを決定する工程を備える。これらのプロセスパラメータは、洗浄される基板表面、除去される汚染物質、基板上に形成されたフィーチャ、および、加工動作(エッチングなど)で用いられた化学剤の特徴など、基板の表面に関連する特徴を規定する。プロセスパラメータの評価と処理の要求に基づいて、供給化学剤を特定し、混合して、または、逐次的に、供給することができる。粒子除去のために、供給化学剤は、第1の不混和液体と組み合わせた第2の不混和液体を有するエマルションを含む。第2の不混和液体は、第1の不混和液体内に分散された複数の液滴を形成する。エマルションは、さらに、第1の不混和液体内に分布された固体粒子を含む。ポリマ残留物除去のために、供給化学剤は、ポリマ残留物を膨張させて除去を容易にしうる有機溶媒を含む。損傷低誘電体の除去のために、供給化学剤は、酸化シリコンエッチャントを含む。損傷低誘電体の修復のために、供給化学剤は、活性シリコン化合物を含む。金属不動態化のために、供給化学剤は、金属表面と相互作用するための極性官能基を含む。次に、特定された供給化学剤は、基板の表面に供給され、その結果、組み合わされた化学剤が、粒子汚染物質およびポリマ残留物汚染物質を基板の表面から実質的に除去しつつ、フィーチャ、および、フィーチャが形成される低誘電率材料の特性を保持することによって、洗浄処理を強化する。
本発明の別の実施形態では、エッチング動作後に基板の表面を洗浄するためのシステムが開示されている。システムは、基板を受けて支持し、システムを通して搬送するための基板支持装置と、洗浄動作中に複数の供給化学剤を基板の表面に供給するための化学剤供給機構と、を備え、供給化学剤の少なくとも1つは、第1の不混和液体と、第1の不混和液体内に液滴として分散された第2の不混和液体と、第1の不混和液体内に分布された固体粒子とを含むエマルションである。化学剤供給機構は、適切な供給化学剤を供給して基板表面から除去するよう構成された複数の流入および流出ポートを備える。供給化学剤が、汚染物質と実質的に相互作用して、それらを効果的に除去するように、十分な量の適切な供給化学剤が基板の表面に供給される。このように、複数の供給化学剤を用いて、洗浄動作を強化し、実質的に清浄な基板を実現する。
本発明のその他の態様および利点については、本発明を例示した添付図面を参照しつつ行う以下の詳細な説明から明らかになる。
本願発明は、添付の図面と関連づけてなされる以下の説明を参考によく理解されうる。これらの図面は、本願発明を好ましい実施例に限定するために用いられるべきではなく、説明と理解のためにのみ用いられるべきである。
本発明の一実施形態に従って、様々な汚染物質を有する基板表面と、低誘電率材料への損傷を示す概略断面図。
本発明の一実施形態に従って、基板の表面から粒子汚染物質を除去するためのエマルション剤を示す物理図。
一実施形態に従って、基板表面から粒子汚染物質を除去する際のエマルション剤の機能を示す図。 一実施形態に従って、基板表面から粒子汚染物質を除去する際のエマルション剤の機能を示す図。
損傷した低誘電体膜層の断面図。 本発明の一実施形態に従って、損傷した低誘電体膜層を基板の表面から除去した後の基板を示す断面図。
本発明の一実施形態に従って、基板の表面から粒子汚染物質を除去する際に用いられる供給器を示す概略断面図。
本発明の一実施形態に従って、損傷した低誘電体膜を修復する際に用いられる図4の供給器の別の実施形態を示す図。
本発明の一実施形態に従って、損傷した低誘電体膜を修復する際に用いられる図4および図5の供給器の別の実施形態を示す図。
本発明の一実施形態に従って、基板の表面を洗浄する際に用いられる複数の供給器を備える洗浄チャンバを示す概略断面図。
本発明の一実施形態に従って、基板の表面を洗浄する処理に含まれる動作を示すフローチャート。
基板表面の改良された効果的な洗浄のためのいくつかの実施形態について説明する。しかしながら、本発明が、これらの詳細の一部またはすべてがなくとも実施可能であることは、当業者にとって明らかである。また、本発明が不必要に不明瞭となることを避けるため、周知の処理動作の説明は省略した。
従来の方法は、主に、基板の表面から特定の種類の汚染物質を除去するための溶液を提供することに焦点を当てていた。この分野で周知のように、基板の表面に損傷を与えうる汚染物質は、2種類以上存在する。図1は、複数の汚染物質を有する基板100の損傷部分100−5を示す概略図である。図に示すように、基板100の部分100−5は、基板100上に形成された低誘電体膜層110を備える。低誘電体膜層110は、スピンコーティング、ディップコーティングのいずれか、もしくは、化学蒸着技術によって形成される。低誘電体膜層110を形成する際に用いられる材料は、SiCOH、多孔質SiCOH、ポリアリレンエーテル、多孔質ポリアリレンエーテル、多孔質二酸化シリコンなどのうちの1つであってよい。低誘電率材料は、炭素でドープされており、誘電率をさらに下げるために、低誘電率材料内に複数のサブミクロンの空孔が導入される。空孔は、周知の技術を用いて導入されてよいため、本明細書では詳細に説明しない。低誘電体膜層110は、基板表面上に直接、以前に加工された層(エッチング停止層120など)の上に、または、複数の加工済みの層の間(エッチング停止層120、ハードマスク層130、および/または、フォトレジスト層118の間など)に、形成されてよい。低誘電体膜層110は、低誘電体膜層110を通して形成された1または複数のフィーチャ125、または、基板100上に形成されたトランジスタに接続する下層構造(銅配線150など)に対して、絶縁を提供する。低誘電体膜層を用いて基板100上に形成された複数のフィーチャ125を絶縁することは、フィーチャ125の間の結合容量を低減して回線遅延を低減する助けとなる。下層のコンタクトと銅配線150とのセルフアライメントを可能にすると共に、形成されるフフィーチャ125の構造的な完全性を維持するために、エッチング停止層120が低誘電体膜層110上に形成される。フィーチャ125は、デュアルダマシン処理を用いて低誘電体膜にエッチングされてよい。下層のフィーチャを任意の形状異常から保護するために、誘電体膜層の上に、エッチングされたフィーチャ125に隣接して、ハードマスク層すなわちキャップ層130が形成される。ハードマスク層130の代わりに、低誘電体膜層110の上に、フォトレジスト層が形成されてもよい。
剥離動作中に、エッチング停止層120およびハードマスク層/キャップ層130を剥離する際に用いられる化学剤により、ポリマ残留物105が、デュアルダマシン処理で形成されたビアおよびトレンチ内に堆積する。ポリマ残留物105は、基板の表面に固く付着するため、除去が困難である。さらに、フィーチャを形成する際に用いられた任意の化学剤の残留物が、微粒子の形状115で基板表面上に堆積しうる。微粒子115のサイズは十分に小さいため、粒子汚染物質115として、到達しにくい領域内に容易に入り込むことができる。したがって、フィーチャ125の機能および低誘電体膜層110の特性が保たれるように、これらの粒子汚染物質115およびポリマ残留物105を除去することが重要である。
さらに、低誘電率材料110の材料特性の低下により、特に、フィーチャの周りの領域にある低誘電体膜層(材料)110の部分が、1または複数の加工動作中に損傷されうる。例えば、エッチャントおよびポストエッチング剤(剥離剤など)への連続的な曝露は、エッチングされたフィーチャ125の周囲の炭素ドープ低誘電体膜層110から炭素を欠乏させることにより、低誘電体膜層110の損傷を引き起こしうる。炭素の欠乏により、損傷した低誘電体膜層110は、超低誘電率材料110の誘電率よりも高い誘電率を示すようになる。したがって、容量結合を低減すると共に、電力消費をさらに低減するためには、損傷した低誘電体膜層を修復または除去することが重要である。
結果としての半導体製品(例えば、マイクロチップ)の品質および機能を維持するには、微粒子115およびポリマ残留物105の汚染物質を除去して、低誘電率材料110の低誘電率特性を保つための効果的な基板洗浄が非常に重要である。本発明の一実施形態では、基板表面に関連する複数のプロセスパラメータが決定される。これらのプロセスパラメータは、洗浄される基板表面に関する特性、例えば、基板表面を形成する材料の種類および性質、除去される汚染物質の種類および性質、加工動作で用いられる様々な化学剤の種類など、を規定するものであり、それにより、基板を洗浄するために、適切な供給化学剤を特定することができる。粒子およびポリマ残留物の汚染物質を含む様々な汚染物質を基板表面から実質的に除去するように、複数の供給化学剤が選択され、基板の表面に供給される。様々な汚染物質を除去するのに加えて、複数の供給化学剤の一部は、損傷した低誘電体膜層を実質的に除去または修復して、実質的に清浄な基板を得るために用いられる。複数の供給化学剤は、洗浄動作中に2以上の種類の汚染物質が効果的に除去されるように、順次供給されてもよいし、混合することによって同時に供給されてもよい。様々な供給化学剤を組み合わせると、洗浄処理を大幅に強化できるため、かなり清浄な基板を得ることができる。
一実施形態において、複数の供給化学剤は、第1の不混和液体の中に第2の不混和液体を液滴の形態で分散させ、第1の不混和液体の全体に複数の固体粒子を分布させたエマルションとしての第1の供給化学剤を含む。図2、図2A、および、図2Bは、粒子汚染物質を基板の表面から除去する際の第1の供給化学剤の組成および機能を示す図である。図2によると、第1の供給化学剤201は、連続的な液体媒質207の形態の第1の不混和液体と、固体要素209の形態の固体粒子と、不混和要素211の形態の第2の不混和液体と、を含む。固体粒子209および不混和要素211は、連増的な液体媒質207内に一様に分散される。不混和要素211は、連増的な液体媒質207と混ざらない。
第1の供給化学剤201内の固体粒子209は、以下を満たすように選択される:基板100の表面に近接または接触した位置にある時に基板100の表面に付着しない;粒子汚染物質115に近接または接触した位置にある時に基板100の表面上に存在する粒子汚染物質115の物質と相互作用しうる;第1の供給化学剤201の連続的な液体媒質207内に溶解しない;固体粒子209の塊を形成することなく連続的な液体媒質207全体にわたって一様に分散しうる;洗浄処理中に基板表面に損傷を与えない機械特性を有する。固体粒子が、連続的な液体媒質207内に一様に分散する分散能を持たない場合には、固体粒子209の一様な分散を実現するために、さらなる化学的な分散剤を、連続的な液体媒質207に加えてよい。
図2Aおよび図2Bは、粒子汚染物質を基板の表面から除去する際の固体粒子209の機能を示す図である。第1の供給化学剤の連続的な液体媒質207に掛けられた下向きの力は、固体粒子209が、基板の表面上の粒子汚染物質115の相互作用範囲内に到達することを可能にする。相互作用範囲は、汚染物質と直接的に接触する、または、汚染物質と相互作用するのに十分近い位置にある状態として説明できる。下向きの力は、固体粒子209が、粒子汚染物質と相互作用することを可能にする。不混和要素の液滴は、図2Aに示すように、固体粒子209と粒子汚染物質115との間の相互作用を支援しうる。相互作用は、粒子汚染物質115を基板の表面に保持する付着力に打ち勝って、粒子汚染物質を基板100の表面から解放するのに十分である。図2Bに示すように、固体粒子209が基板の表面から離れる時に、解放された粒子汚染物質115は、固体粒子209と共に基板表面から離れるため、粒子汚染物質115は実質的に除去される。固体粒子209に与えられる下向きの力は、粒子汚染物質115および固体粒子209の間に存在しうる任意の反発力に打ち勝って、粒子汚染物質115の効果的な除去を可能にするのにも十分な力であることに注意されたい。一実施形態では、第1の不混和液体207は、極性溶媒であり、第2の不混和液体211は、無極性溶媒である。別の実施形態では、第1の不混和液体207は、無極性溶媒であり、第2の不混和液体211は、極性溶媒である。粒子汚染物質を除去するための第1の供給化学剤の特定、組成、および、供給の詳細については、2006年2月3日出願の米国特許出願第11/374,154、「CLEANING COMPOUND AND METHOD AND SYSTEM FOR USING THE CLEANING COMPOUND」を参照することができる。これは、本願の出願人であるラムリサーチコーポレーションに譲渡されている。
複数の供給化学剤は、さらに、存在する任意のポリマ残留物を実質的に除去するために基板の表面に供給される第2の供給化学剤を含む。ポリマ残留物の除去を助けうる化学剤は、ポリマ残留物に浸透して膨張させる有機溶媒を含む。次いで、固体粒子209は、膨張したポリマ残留物と相互作用し、残留物および表面の間の付着力に打ち勝って、残留物を除去しうる。この目的のための一般的な有機溶媒としては、イソプロピルアルコール、アセトン、酢酸ブチル、エチルグリコール、メタノール、N−メチルピロリドン(NMP)、プロピレングリコールモノメチルエーテルアセテート、ジメチルホルムアミド、ジメチルアセテート、ジメチルスルホキシド、シクロヘキシルピロリドン(CHP)などが挙げられる。
一実施形態では、第2の供給化学剤は、第1の化学剤と混合され、その混合物は、粒子およびポリマ残留物の汚染物質の両方が基板の表面から同時に実質的に除去されるように、同時に基板の表面に供給される。この実施形態では、第2の供給化学剤の湿式洗浄剤が、第2の不混和液体および固体粒子が分散された第1の供給化学剤の連続的な液体媒質と混合され、その混合物は、粒子汚染物質および残ったポリマ残留物汚染物質を実質的に除去するために、基板の表面に供給される。
第1および第2の供給化学剤に加えて、複数の供給化学剤は、損傷した低誘電体膜層を洗浄動作中に基板の表面から選択的に除去するための第3の供給化学剤を含む。第3の供給化学剤は、フィーチャ125に隣接する損傷した低誘電体膜層135の特徴的態様を含む基板100に関するプロセスパラメータに基づいて特定される。プロセスパラメータは、さらに、損傷した低誘電体膜層の上および/または下に形成され、フィーチャ125の形状を保持するために除去される必要がありうる1または複数の薄膜層(エッチング停止層、ハードマスク層、フォトレジスト層など)の特徴的態様を含んでもよい。低誘電体膜層135および関連する薄膜の特徴的態様は、薄膜層の種類、薄膜層の組成、損傷した材料の厚さ、除去される関連薄膜層の厚さ、フィーチャが形成されている領域の薄膜層の温度などを含んでよい。これらのプロセスパラメータは、基板によって変わりうる。特定された第3の供給化学剤は、損傷した低誘電体膜層135を第3の供給化学剤に最適にさらすように、制御された方法で供給され、それらを基板100の表面から実質的に除去しつつ、残りの低誘電率材料110の低誘電率特性を保持する。
図3Aおよび図3Bは、損傷した低誘電体膜層の除去の前後に基板の表面上にフィーチャが形成される領域を示す概略断面図である。図3Aに示すように、低誘電率材料110と、さらなる薄膜層(エッチング停止層120、フォトレジスト層118など)とを貫通して、基板100の表面上に形成された金属層(銅配線層150など)の上に、フィーチャ125が形成される。フィーチャのエッチングと、それに続くエッチャントの洗浄の間に、フィーチャの周りの領域が損傷される。フィーチャの周りの低誘電体膜層135の損傷は、剥離剤またはその他の加工剤に長時間曝露したことによる炭素の欠乏から生じうる。第3の供給化学剤を制御的に供給することにより、フィーチャの機能が維持されるように、フィーチャの周りの損傷した低誘電体膜層を選択的に除去する。第3の供給化学剤を制御的に供給することにより、図3Bに示すように、フィーチャの形状が維持されるように、損傷した低誘電体膜層の上または下に形成された他の薄膜層の一部も除去する。損傷した低誘電体膜層を除去するための第3の供給化学剤の特定および供給の詳細については、2006年12月21日出願の米国特許出願第11/644,779、「METHOD OF DAMAGED LOW−K DIELECRIC FILM LAYER REMOVAL」を参照することができる。これは、本願の出願人であるラムリサーチコーポレーションに譲渡されている。
第3の供給化学剤は、汚染物質除去処理が強化されるように、第1および第2の供給化学剤と共に、基板の表面に供給される。一実施形態において、第3の供給化学剤は、損傷した低誘電体膜層を粒子汚染物質と共に効果的に除去するために、第1の供給化学剤と混合して、同時に供給される。この実施形態では、第3の供給化学剤は、第1の供給化学剤の連続的な液体媒質と混合され、その混合物は、損傷した低誘電体膜層が粒子除去処理中に選択的に除去されるように、基板の表面に供給される。第2の供給化学剤の供給は、第1および第3の供給化学剤の混合物の供給の前または後に行われてよい。
別の実施形態では、第3の供給化学剤は、第2の供給化学剤と混合して、基板の表面に同時に供給される。この実施形態では、第3の供給化学剤は、第2の供給化学剤の湿式洗浄剤と混合され、その混合された化学剤が基板の表面に供給される。第1の供給化学剤は、混合された第2および第3の供給化学剤の供給の前または後に、基板の表面に供給されてよい。
別の実施形態では、第3の供給化学剤は、損傷した低誘電体膜層が、粒子汚染物質およびポリマ残留物汚染物質と共に選択的に除去されるように、第1および第2の供給化学剤の後に続けて供給される。さらに別の実施形態では、第3の供給化学剤は、第1の供給化学剤および第2の供給化学剤の混合物と混ぜ合わされる。混ぜ合わされた混合物は、洗浄動作を強化するために、基板の表面に供給される。この実施形態では、第3の供給化学剤は、第1の供給化学剤の連続的な液体媒質および第2の供給化学剤の湿式洗浄剤の混合物と混ぜ合わされる。混ぜ合わされた混合物は、汚染物質および損傷した低誘電体膜層を効果的に除去するために、基板の表面に供給される。
フィーチャサイズが小さくなり密度が増す現在の傾向があるため、損傷した低誘電体膜材料をフィーチャの周りの領域から除去することは、非常に困難であると分かる。損傷した材料を除去する現在の方法は、損傷した低誘電体膜層に隣接する既存のフィーチャを潜在的に損傷しうる、または、低誘電率材料110にさらなる損傷を引き起こしうる可能性が高い。したがって、フィーチャの機能が保たれるように、損傷した低誘電体膜層の低誘電率特性を回復させる代替方法を見出すことが望ましい。そのため、損傷した低誘電体膜層135を選択的に修復するための第4の供給化学剤が、複数の供給化学剤に含められ、修復された低誘電体膜層が低誘電率材料110と極めて同等の特性を示すように、損傷した低誘電体膜層135の低誘電率特性を回復させる。第4の供給化学剤は、炭化水素基を備えるガス剤を含む。ガス剤の炭化水素基内の炭素は、損傷した低誘電体膜層が、損傷した低誘電体膜層を実質的に修復するガス剤に対して十分さらされるように制御された供給によって、基板上の炭素が欠乏した低誘電体膜層内に誘導される。損傷した低誘電体膜層を修復するための第4の供給化学剤の特定および供給の詳細については、2007年2月20日出願の米国特許出願第11/708,916、「METHOD OF LOW−K DIELECTRIC FILM REPAIR」を参照することができる。これは、本願の出願人であるラムリサーチコーポレーションに譲渡されている。
一実施形態では、第4の供給化学剤は、粒子およびポリマ残留物の汚染物質を実質的に除去しつつ、フィーチャの周りの損傷した低誘電体膜層を実質的に修復することによって、洗浄動作を強化するように、第1および第2の供給化学剤と統合される。第4の供給化学剤を含む供給化学剤の混合物で念入りに基板の表面を処理することにより、フィーチャの機能および低誘電率材料の特性が保たれる。
本発明の一実施形態では、第1、第2、および、第4の供給化学剤が、順次、基板の表面に供給される。第1、第2、および、第4の化学剤の供給の順序は、汚染物質の実質的な除去を可能にしつつ、損傷した低誘電体膜層を実質的に修復するように、3つの供給化学剤が供給される限りは重要ではない。別の実施形態では、第4の供給化学剤は、第1および第2の供給化学剤と混合され、その混合物は、最適に清浄な基板を提供するために、同時に基板の表面に供給される。
上述の供給化学剤に加えて、金属不動態化剤(ベンゾトリアゾール(BTA)など)の形態の第5の供給化学剤が、複数の供給化学剤に含まれ、基板上に形成された金属層の酸化を抑制する。銅金属層は、加工動作中に形成され、下層のフィーチャ(トランジスタなど)に相互接続を提供する。しかしながら、金属蒸着後、銅の表面は、加工処理の際に、銅の表面の腐食を引き起こしうる周囲環境にさらされる。腐食は、下層のデバイスへの接続性を損ない、ICデバイスを動作不可能にしうる。腐食の問題は、金属不動態化剤すなわち腐食防止剤(BTAなど)を、露出した銅表面に塗布することによって低減されうる。金属不動態化剤は、金属膜が湿式洗浄処理中に酸化されることを防ぐために、複数の供給化学剤の任意の1つに加えられてよい。
本発明の一実施形態では、金属不動態化剤は、露出した銅金属層を保護するために、第1、第2、第3、または、第4の供給化学剤と混合されて、洗浄動作中に基板の表面に供給されてよい。洗浄処理中に金属不動態化剤を基板の表面に供給することにより、湿式処理後の金属膜の酸化を防止しつつ、露出した金属膜の損傷および/またはエッチングを最小限に抑える。
複数の供給化学剤は、上述の供給化学剤に限定されず、結果としての表面が実質的に清浄になり、その上のデバイスが完全に機能するように、任意の不純物および/または損傷を防ぐよう基板の表面をさらに洗浄するために利用可能な他の供給化学剤を含むよう拡張されてもよい。上述の供給化学剤は、汚染物質の実質的な洗浄、および、損傷した低誘電率材料の修復/除去を順次または同時に行うために、エッチング後の動作の後に供給される。有望な結果を示す複数の供給化学剤、それらの組成、および、供給条件について、以下の表に示す。
損傷した低誘電体の除去に用いられる供給化学剤
米国特許出願第11/644,779に記載のように、脱イオン水100に対して約1まで希釈した希フッ化水素酸、リン酸、または、硫酸、もしくは、フッ化アンモニウムなどのフッ化物含有塩
損傷した低誘電体膜層の除去に用いられる供給化学剤
米国特許出願第11/708,916に記載のように、ヘキサメチルジシラザン(HMDS)、トリメチルジシラザン(TMDS)、トリメチルクロロシラン(TMCS)、ジメチルジクロロシラン(DMDCS)、トリメチルクロロシラン((CH33Si−Cl)などのクロロシラン、n−ポリトリメチルシラン(n−PTMS)、これらの炭化水素の組み合わせ、または、これらの炭化水素と他の化学物質との組み合わせ
上述の代表的な供給化学剤の組成は、粒子汚染物質と共にポリマ残留物を効果的に除去するために、およそ室温から約70℃の温度で、約1秒から約2分間(最適な条件は約5秒間)、基板の表面に供給されてよいことに注意されたい。さらに、様々な金属を不動態化するために金属不動態化剤を供給する好ましい条件は、約40℃で、約10秒未満の曝露時間であることに注意されたい。
適切な供給化学剤を特定するために、基板の表面を分析し、複数のプロセスパラメータを決定する。プロセスパラメータは、基板の表面に関連する特徴的態様を規定するものであり、例えば、損傷した低誘電体膜層の特徴、形成されたフィーチャの特徴、フィーチャがエッチングされた低誘電体膜層の上または下に形成されたさらなる薄膜層の特徴、基板上に形成された汚染物質の特徴など、を規定する。低誘電体膜層および関連する薄膜の特徴的態様は、薄膜層の種類、薄膜層の組成、損傷した材料の厚さ、除去される関連薄膜層の厚さ、フィーチャが形成されている領域の薄膜層の温度を含んでよい。フィーチャの特徴的態様は、形成されたフィーチャの種類、フィーチャのアスペクト比、フィーチャの形成に用いたエッチング剤の種類、エッチング剤の濃度、エッチング剤の温度、エッチング剤の圧力などを含んでよい。汚染物質の特徴的態様は、汚染物質の種類、汚染物質の組成、基板上に形成された層の種類、基板の表面温度などを含んでよい。これらのプロセスパラメータは、基板によって変わりうる。
複数の供給化学剤を組み合わせて供給することには、多くの利点がある。その場(in−situ)供給処理の利点のいくつかは、2種類以上の汚染物質を効果的に除去するように汚染物質除去処理を強化できること、洗浄動作中に基板を周囲環境にさらすことが最小限であるために汚染を最小限に抑えられること、低誘電体膜層の経年劣化を最小限に抑えられること、処理時間を最小限に抑えること、および、実質手液なコスト削減、である。
ここで、組成および機能に関する上述の供給化学剤の詳細な説明を踏まえて、供給化学剤を導入するためのシステムについて説明する。洗浄処理中に複数の供給化学剤を基板の表面に導入するための化学剤供給機構システム(システム)は、適切な供給化学剤を基板100の表面に導入するための複数の供給器を備える。図4は、第1の供給化学剤を基板の表面に導入するための1つのかかる供給器すなわち第1の供給化学剤供給器を示す図である。この実施形態では、第1の供給化学剤供給器は、近接ヘッド170である。基板は、基板支持装置145によって受けられて支持される。近接ヘッド170は、適切な供給化学剤を供給および除去するための複数の流入および流出ポートを備える。近接ヘッド170は、ハウジングチャンバ156内に配置され、第1の供給化学剤は、液体メニスカスとして供給される。本明細書で供給化学剤と関連して用いられているように、「メニスカス」という用語は、近接ヘッドの対向面と基板100の表面との間に、部分的には供給化学剤の表面張力によって、境界を維持され閉じ込められた或る体積の供給化学剤を意味する。また、このように形成されたメニスカスは制御可能であり、閉じ込められた形状で表面上を移動されることができ、基板100の表面から粒子汚染物質を除去するために用いられる。具体的な実施形態において、コンピュータシステムをさらに備えてよい正確な第1の供給化学剤供給・除去システムによって、メニスカスの形状を制御することができる。
本明細書に記載のように、近接ヘッドは、基板100の表面に近接して配置された時に、処理対象の基板100の表面に正確な体積の適切な化学剤を供給すると共に表面から化学剤を除去することができる基板処理装置である。一例では、近接ヘッドは、対向するヘッド表面(対向面)を有し、その対向面は、基板100の表面と実質的に平行に配置される。対向面と基板100の表面との間に、メニスカスが形成される。第1の供給化学剤に加えて、近接ヘッドは、複数の化学剤を供給するよう構成されてもよく、供給された複数の化学剤を除去するための真空ポートを備える。
メニスカスへの化学剤の供給および除去を制御することよって、基板100の表面上で、メニスカスを制御しつつ移動させることができる。処理中に、一部の実施形態においては、近接ヘッド170が静止した状態で、基板100を移動させてもよいし、他の実施形態においては、基板100が静止した状態で、近接ヘッドを移動させてもよい。さらに補足すると、処理は任意の方向で実行可能であり、例えば、水平でない表面(例えば、垂直基板または斜めに保持された基板)にメニスカスを供給することも可能であることを理解されたい。
近接ヘッド、メニスカスの形成、および、基板の表面に対するメニスカスの適用に関するさらなる情報については、以下を参照できる:(1)2003年9月9日発行の米国特許第6,616,772号「METHODS FOR WAFER PROXIMITY CLEANING AND DRYING」、(2)2002年12月24日出願の米国特許出願第10/330,843号「MENISCUS, VACUUM, IPA VAPOR, DRYING MANIFOLD」、(3)2005年1月24日発行の米国特許第6,988,327号「METHODS AND SYSTEMS FOR PROCESSING A SUBSTRATE USING A DYNAMIC LIQUID MENISCUS」、(4)2005年1月24日発行の米国特許第6,988,326号「PHOBIC BARRIER MENISCUS SEPARATION AND CONTAINMENT」、(5)2002年12月3日発行の米国特許第6,488,040号「CAPILLARY PROXIMITY HEADS FOR SINGLE WAFER CLEANING AND DRYING」。これらは、各々、本願の出願人であるラムリサーチ社に譲渡されており、参照によって本明細書に組み込まれる。上面および下面メニスカスに関するさらなる情報については、2002年12月24日出願の米国特許出願第10/330,843号「MENISCUS, VACUUM, IPA VAPOR, DRYING MANIFOLD」に開示したような、メニスカスの一例を参照できる。この米国特許出願は、本願の出願人であるラムリサーチコーポレーションに譲渡されたものであり、参照によって本明細書に組み込まれる。
近接ヘッド170は、連続的な液体媒質内に分散された固体粒子が、粒子汚染物質と相互作用して実質的に除去するように、ハウジングチャンバ156内への第1の供給化学剤の流れを制御するための制御部を備える。近接ヘッド170は、適切な量の第1の供給化学剤を供給するために流入ポートに動作可能に接続された容器と、より効果的な洗浄のために新しい第1の供給化学剤を導入できるように、洗浄動作中に供給化学剤およびハウジングチャンバ156内で解放された汚染物質を除去するために流出ポートに動作可能に接続された真空ポートと、を備える。当業者であれば、基板100が回転および直線移動してよいことがわかるだろう。あるいは、近接ヘッドは、基板100が静止または移動している時に、基板100の上を移動してよい。第1の供給化学剤の供給に関する詳細については、本願の出願人に譲渡された米国特許出願第11/347,154を参照できる。
システムは、さらに、第2の供給化学剤を導入するための第2の供給器を備える。第2の供給化学剤は、基板の表面を分析することによって得られた複数のプロセスパラメータに基づいて、ポリマ残留物汚染物質を除去するように選択される。第2の供給器は、湿式洗浄剤を基板表面に導入するための湿式洗浄供給器を含む。本発明の一実施形態では、基板100の表面に湿式洗浄剤を導入するための湿式洗浄供給器は、第1の供給化学剤を供給するのに用いられたものと同様の近接ヘッド170である。第2の供給器は、別個のハウジングチャンバ内に収容されてもよいし、第1の供給器と同じハウジングチャンバ内に収容されてもよい。ポリマ残留物を除去するための第2の供給化学剤の特定および供給に関する詳細については、本願の出願人に譲渡された米国特許出願第11/827,479を参照できる。
システムは、さらに、フィーチャの周りの損傷した低誘電体膜を、隣接するフィーチャに損傷を与えることなく、実質的に除去するための第3の供給化学剤を導入するための第3の供給化学剤供給器を備える。一実施形態では、第3の供給化学剤供給器は、第1の供給化学剤を供給するのに用いられるものと同様の近接ヘッド170である。第3の供給器は、別個のハウジングチャンバ内に収容されてもよいし、第1および/または第2の供給器と同じハウジングチャンバ内に収容されてもよい。第3の供給化学剤は、表面が第3の供給化学剤に実質的にさらされて、損傷した低誘電体膜層の選択的な除去が可能になるように、第3の供給化学剤供給器で利用可能な制御部を用いて制御された方法で供給されてよい。損傷した低誘電体膜層を除去するために用いられる第3の供給化学剤の詳細については、2006年12月21日出願の米国特許出願第11/644,779、「METHOD OF DAMAGED LOW−K DIELECRIC FILM LAYER REMOVAL」を参照することができる。これは、本願の出願人に譲渡されている。
代表的な一実施形態では、第3の供給化学剤供給器は、第1の供給化学剤供給器および/または第2の供給化学剤供給器と一体化されてもよい。この実施形態では、第3の供給化学剤は、第1および/または第2の供給化学剤供給器に関連する近接ヘッドを用いて導入されてよい。別の実施形態では、基板の表面に損傷を与えることなく、汚染物質および損傷した低誘電率材料が実質的に除去されるように、第1の供給化学剤、第2の供給化学剤の湿式剤、および、第3の供給化学剤を混合して、その混合物を基板の表面に供給することにより、単一の近接ヘッドを用いて、第1、第2、および、第3の供給化学剤を導入してもよい。
別の実施形態では、近接ヘッドの複数の流入および流出ポートを用いて、個々の供給化学剤を導入して除去する。この実施形態では、供給化学剤の各々は、それぞれの流入ポートを通して(逐次的な供給処理の間に)別個に導入される。
システムは、さらに、損傷した低誘電率材料を実質的に修復するための第4の供給化学剤を導入するための第4の供給化学剤供給器を備えてよい。第4の供給化学剤は、損傷した低誘電体膜層を分析することによって得られたプロセスパラメータに基づいて選択された炭化水素基を有するガス剤である。本発明の一実施形態では、第4の供給化学剤供給器は、図5に示すように、ガス剤メニスカスを基板の表面に供給するよう構成された近接ヘッド170である。ガス剤メニスカスは、実質的にガスであるが、蒸気の状態の液体を含んでもよい。ガス剤の自由に流れる性質により、供給されたガス剤は、閉じ込め領域内に完全に閉じ込められない場合がある。しかしながら、1または複数のノズル230a、230bにより、高濃度のガスをより局所的に供給することが可能になる。
ガス剤メニスカスの曝露は、本質的に等方的であり、そのため、ガス剤メニスカスに曝露された炭素欠乏した低誘電率材料が実質的に修復されるように、基板100の表面の一部に対して均一にガス剤メニスカスを供給することが可能になる。近接ヘッド170の複数のノズル230a、230bは、ガス剤メニスカスが、基板100の表面に対して実質的に垂直な角度、または、垂直および平行の間の角度で供給されるように配置される。ガス剤メニスカスは、基板100の表面に実質的に平行に流れ、損傷した低誘電率材料135へ効果を与えるように供給される。基板100の流れすなわち移動が行われても、ノズルの角度調整により、基板100の一部分の範囲内にガス剤メニスカス210を供給して閉じ込めることができる。
ノズルの利用に加えて、デュアル近接ヘッドは、高度に集中したガス剤供給を提供するよう構成されてよい。図6に示す本発明の一実施形態では、近接ヘッドは、図に示すようにガス剤が供給されるポケットを形成するように延長されている。近接ヘッド表面の延長は、効果的な洗浄のために、より集中的にガス剤を基板の表面に供給することができるように、ガス剤の漏れを実質的に防ぐ部分的な壁を提供する。図6からわかるように、第4の供給化学剤の供給と同時に、さらなる供給化学剤が、基板表面に供給されてよい。
ノズルの角度調整、近接ヘッドの構成、および、損傷した低誘電体膜層を実質的に修復するための第4の供給化学剤の供給の詳細については、2007年2月20日出願の米国特許出願第11/708,916、「METHOD OF LOW−K DIELECTRIC FILM REPAIR」を参照することができる。これは、本願の出願人に譲渡されている。
本発明の実施形態は、異なる供給化学剤を供給するために異なる供給器を用いることに限定されるものではない。さらに、ハウジングチャンバ156は、図4に示したように単一の供給化学剤を供給するための単一の供給器を備えるものに限定されない。別の実施形態では、ハウジングチャンバ156は、複数の供給器を備えてもよく、各供給器は、基板を実質的に洗浄するための別個の供給化学剤を導入する。この実施形態では、適切な供給化学剤を導入するために、複数の流入ポートが用いられてよい。
本発明の一実施形態において、複数の供給化学剤を供給するために用いられるシステムの一例を図7に示す。図に示すように、システムは、壁802および床804を備える環境を制御されたクリーンルーム内にまとめて複数の供給器を備える。クリーンルーム内のシステム(システム)800は、ハウジングチャンバ810を備えており、その中に複数の近接ヘッド845が配置される。ハウジングチャンバ810内に図示した近接ヘッド845は、ハウジングチャンバ810内で基板100が移動する処理領域818の両側に配置された2つの近接ヘッド845を含む。近接ヘッドの数および位置は様々であってよい。図7は、処理領域818の両側に配置された2つの近接ヘッドおよび5つの近接ヘッドなど、変形例の一部を示しており、炭素欠乏した低誘電率材料135を有する基板100は、処理領域818を通って搬送される。ガス供給化学剤のいくつかを導入するための近接ヘッドは、同じハウジングチャンバ810内に配置されてもよいし、クリーンルーム800内の別個のハウジングチャンバ内に配置されてもよい。
基板100は、基板搬入領域815を通してハウジングチャンバ810内に導入され、基板搬出領域860から取り出される。処理領域818に位置するキャリア850は、基板搬入領域815で基板を受けて、近接ヘッド845の間を通り抜けるようシステム800を横切って基板100を搬送し、基板搬出領域860において基板を受け渡すよう機能する。キャリアに加えて、システムは、さらに、基板を受けて保持し、搬送、および、回転軸に関する回転を行うためのチャックを備えてもよい。システム800は、汚染物質の除去、および、損傷した低誘電率材料135の除去または修復に用いられる複数の供給化学剤を収容するための1組の容器825、830、835などをさらに備える。このシステムは、複数の近接ヘッドの組み合わせを用いて、ガス剤、その他の洗浄剤、および、脱イオン水(DIW)などを含む様々な供給化学剤を供給するために利用可能である。
供給化学剤は、基板表面を分析することによって得られたプロセスパラメータに基づいて、液体/気体供給化学剤供給制御機構(供給制御機構)820を用いて、制御された方法で基板100の表面に供給される。供給制御機構820は、適切な供給化学剤を適切な容器から適切な供給器を通して供給する。供給化学剤を制御下で基板100に供給できるように供給制御機構820内の制御部を調整するために、ソフトウェアを実行するコンピュータ805が、供給制御機構820と通信可能に接続されてよい。図に示したように、コンピュータ805は、クリーンルーム内に配置されているが、クリーンルームの外側の任意の場所に配置されて、ハウジングチャンバ810内の供給制御機構820と通信可能に接続される構成も可能である。
基板表面のプロセスパラメータに基づいて供給制御部を操作するために、供給化学剤に関連する複数の入力パラメータが用いられる。操作されうる供給化学剤の入力パラメータのいくつかは、流量、供給化学剤の濃度、温度、圧力、供給開始時間、および、供給期間を含む。コンピュータ805は、入力パラメータを受信するための入力部と、プロセスパラメータおよび入力パラメータを表示するための表示部とを備える任意の標準的なコンピュータデバイスであってよい。システム800は、複数の近接ヘッドを用いて複数の供給化学剤を供給するよう図示されているが、このシステムの変形例が用いられてもよいことを理解されたい。例えば、供給化学剤(ガス剤を含む)は、近接ヘッドの複数の流入および流出ポートを通して、混合物として、または、別個に、単一の近接ヘッドを通して導入されてよい。複数の供給化学剤を組み合わせて供給する利点としては、汚染の最小化、低誘電体膜層の経年劣化の最小化、処理時間の最小化、および、かなりのコスト削減が挙げられる。
ここで、上述のシステム構成を念頭に置いて、基板の表面を洗浄して汚染物質を除去すると共に、損傷した低誘電体膜層の特性を回復させるための方法について、図8を参照しつつ、詳細に説明する。その方法は、動作905に示すように、基板の表面に関連する複数のプロセスパラメータを特定することから始まる。プロセスパラメータは、基板表面、基板の表面上に形成されたフィーチャ、基板上に形成された汚染物質、低誘電体膜層への損傷、および、以前の加工動作(エッチング動作など)で用いられた化学剤の種類を分析することによって得られてよい。
方法は、複数の供給化学剤が特定される動作910に進む。供給化学剤は、基板上に形成されたフィーチャを損傷することなく、汚染物質を除去するため、および、損傷した低誘電体膜層を除去/修復するために用いられる。供給化学剤は、少なくとも、粒子汚染物質を実質的に除去するための第1の供給化学剤のエマルションと、ポリマ残留物汚染物質を実質的に除去するための湿式洗浄剤を有する第2の供給化学剤とを含む。複数の供給化学剤は、さらに、損傷した低誘電体膜層を除去するための第3の供給化学剤、または、近傍に形成されたフィーチャを損傷することなく、損傷した低誘電体膜層を修復するための第4の供給化学剤のいずれかを含んでよい。供給化学剤は、さらに、デバイスの機能が維持されるように、基板上に形成された金属層を不動態化するための金属不動態化剤を含んでよい。
動作915において、選択された供給化学剤は、汚染物質を実質的に除去し、損傷した低誘電体膜層を除去/修復し、金属層の不動態化を行うことによって、フィーチャの機能と、基板上に形成された材料の特性とを保持するために、順次または同時に、基板の表面に供給される。最適な供給化学剤を基板の表面に供給して、実質的に清浄な基板表面を得るために、供給化学剤は、供給化学剤の各々に関連する複数の入力パラメータを調整されて供給される。入力パラメータは、コンピュータシステム上のソフトウェアを用いて自動的に調整されてよい。
各種の供給化学剤の供給に続いて、基板の表面は、動作920に示すように、集積回路チップ(ICチップ)を規定するさらなるフィーチャを形成するために、さらなる加工動作を受けてよい。処理は、ICチップが基板上に形成されるまで、または、ある加工段階に到達するまで、繰り返されてよい。
理解を深めるために、本発明について、ある程度詳しく説明したが、添付の特許請求の範囲内でいくらかの変更と変形を行ってもよいことは明らかである。したがって、この実施形態は、例示的なものであって、限定的なものではないとみなされ、本発明は、本明細書に示した詳細に限定されず、添付の特許請求の範囲および等価物の範囲内で変形されてよい。

Claims (23)

  1. エッチング動作後に基板の表面を洗浄するための方法であって、
    前記基板の前記表面に関連する複数のプロセスパラメータを決定する工程であって、前記プロセスパラメータは、洗浄される基板表面の特徴、除去される汚染物質の特徴、前記基板上に形成されたフィーチャの特徴、および、前記基板の前記表面上にフィーチャを形成するための前記エッチング動作で用いられた化学剤の特徴を規定し、前記汚染物質は、粒子汚染物質と、エッチング後のポリマ残留物汚染物質とを含む、工程と、
    前記基板に関連する前記複数のプロセスパラメータに基づいて、複数の供給化学剤を特定する工程であって、前記複数の供給化学剤は、
    第1の不混和液体および第2の不混和液体を組み合わせたエマルションを有する第1の供給化学剤であって、前記第2の不混和液体は、前記第1の不混和液体内に分散された複数の液滴を形成し、前記エマルションは、さらに、前記第1の不混和液体内に分布された固体粒子を含む、第1の供給化学剤と、
    前記基板の前記表面を実質的に洗浄するよう構成された湿式洗浄剤を含む第2の供給化学剤と、を含む、工程と、
    前記複数の供給化学剤を前記基板の前記表面に供給する工程と、を備え、
    前記複数の供給化学剤の供給は、前記粒子汚染物質およびポリマ残留物汚染物質を前記基板の前記表面から実質的に除去しつつ、形成されるフィーチャの特性と共に、前記フィーチャが形成される前記基板の低誘電率材料の特性を保持することによって、洗浄処理を強化する、方法。
  2. 請求項1に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記第1の供給化学剤は、微量金属汚染物質と、有機汚染物質と、フォトレジストの破片と、基板操作装置からの汚染物質と、基板背面からの粒子汚染物質と、を含む粒子汚染物質を、前記基板の前記表面から実質的に除去するよう構成されている、方法。
  3. 請求項2に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記第2の供給化学剤は、エッチング後のポリマ残留物汚染物質を前記基板の前記表面から実質的に除去するよう構成されており、前記エッチング後のポリマ残留物汚染物質は、フロントエンドオブライン(FEOL)のためのエッチング後のポリマ残留物、高密度イオン注入後のPRポリマ、ハードマスクを持たないバックエンドオブライン(BEOL)のためのエッチング後のポリマ、側壁ポリマ、銅表面上に金属ハードマスクを持たないBEOLのためのエッチング後のポリマ、および、金属ハードマスクを有するBEOLのためのエッチング後のポリマを含む、方法。
  4. 請求項3に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤を供給する工程は、
    前記固体粒子が、前記汚染物質の相互作用範囲内に運ばれることで、実質的に、前記汚染物質と相互作用して前記基板の前記表面から前記汚染物質を除去するように、垂直の力を用いて前記第1の供給化学剤を供給する工程と、
    前記第2の供給化学剤の前記湿式洗浄剤が、前記エッチング動作によって残された前記エッチング後のポリマ残留物を実質的に除去するために供給されるように、前記第2の供給化学剤を供給する工程と、を備える、方法。
  5. 請求項4に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤の供給は、制御機構を通して行われ、前記制御機構は、前記基板に関連する前記プロセスパラメータに基づいて、供給中に前記複数の供給化学剤の1または複数の特性を制御するよう構成されている、方法。
  6. 請求項5に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤を供給する工程は、前記第1の供給化学剤および前記第2の供給化学剤を順次供給する工程を備える、方法。
  7. 請求項5に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤を供給する工程は、前記第1の供給化学剤および前記第2の供給化学剤を同時に供給する工程を備え、
    前記同時に供給する工程は、
    前記第1の供給化学剤の前記固体粒子が、前記粒子汚染物質の相互作用範囲内に運ばれることで、前記エッチング動作によって残されたポリマ残留物汚染物質と共に前記粒子汚染物質を実質的に除去するように、垂直の力を用いて、前記第1の供給化学剤および前記第2の供給化学剤の混合物を前記基板の前記表面に供給する工程を備える、方法。
  8. 請求項5に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記第1の供給化学剤の前記第1の不混和液体が極性溶媒であると共に前記第2の不混和液体が無極性溶媒である、または、前記第1の不混和液体が無極性溶媒であると共に前記第2の不混和液体が極性溶媒である、方法。
  9. 請求項5に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤は、さらに、第3の供給化学剤を含み、前記第3の供給化学剤は、前記基板の前記表面上に形成された前記低誘電率材料から、損傷した低誘電体膜層を実質的に除去するよう構成されており、前記第3の供給化学剤の選択は、前記基板の前記表面上の前記損傷した低誘電体膜層に関連するプロセスパラメータに基づいてなされる、方法。
  10. 請求項9に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤を供給する工程は、前記第1および前記第2の供給化学剤と、前記第3の供給化学剤とを、順次供給する工程を備える、方法。
  11. 請求項9に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤を供給する工程は、前記第1および第2の供給化学剤と共に前記第3の供給化学剤を同時に供給する工程を備え、前記第3の供給化学剤の前記供給は、前記第1および第2の供給化学剤の一方と前記第3の供給化学剤を混合することによってなされる、方法。
  12. 請求項5に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤は、さらに、第4の供給化学剤を含み、前記第4の供給化学剤は、前記フィーチャが形成される前記低誘電率材料の損傷した誘電体膜層を修復するよう構成され、前記損傷した誘電体膜層を実質的に修復するための炭化水素基を有する修復剤を含む、方法。
  13. 請求項12に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤を供給する工程は、さらに、前記低誘電率材料の前記損傷した誘電体膜層が、前記誘電体膜層を実質的に修復する前記修復剤に十分にさらされるように、前記修復剤を供給する工程を備え、前記修復された誘電体膜層は、実質的に、前記低誘電率材料と同等の低誘電率特性を示す、方法。
  14. 請求項13に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤を供給する工程は、さらに、前記第1および第2の供給化学剤と順次、または、前記第1の供給化学剤および前記第2の供給化学剤の一方と混合して同時に、前記第4の供給化学剤を前記基板の前記表面に供給する工程を備える、方法。
  15. 請求項5に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤は、さらに、第5の供給化学剤を含み、前記第5の供給化学剤は、前記基板上に形成された金属層の不動態化を強化して、前記金属層への損傷を実質的に低減するよう構成されている、方法。
  16. 請求項15に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数の供給化学剤を供給する工程は、さらに、前記第1および第2の供給化学剤の供給と順次、または、前記第1の供給化学剤および前記第2の供給化学剤の一方と前記第5の供給化学剤を混合して同時に、前記第5の供給化学剤を前記基板の前記表面に供給する工程を備える、方法。
  17. 請求項1に記載のエッチング動作後に基板の表面を洗浄するための方法であって、前記複数のプロセスパラメータは、前記基板の前記表面上に形成された低誘電率材料の種類、形成されたフィーチャの種類、前記フィーチャのアスペクト比、用いられたエッチング剤の種類、エッチング剤の濃度、エッチング剤の温度、エッチング剤の圧力、前記低誘電率材料への損傷の量、前記基板の前記表面上に形成された前記層の種類および性質、のうちの1または複数を含む、方法。
  18. エッチング動作後に基板の表面を洗浄するためのシステムであって、
    前記基板を受けて、支持、搬送するよう構成された基板支持装置と、
    複数の供給化学剤を前記基板の前記表面に供給して除去するよう構成された複数の流入ポートおよび流出ポートを備える化学剤供給機構と、を備え、
    適切な供給化学剤が、実質的に、洗浄動作中に粒子汚染物質およびポリマ残留物汚染物質と相互作用して、前記基板の前記表面から効果的に除去すると共に、フィーチャの機能と、前記基板の前記表面上の前記フィーチャの周りに形成された低誘電率材料の低誘電率特性とを維持する、システム。
  19. 請求項18に記載のエッチング動作後に基板の表面を洗浄するためのシステムであって、さらに、複数の容器と、複数の真空ポートと、を備え、前記複数の容器は、適切な供給化学剤を適切な流入ポートを通して前記基板の前記表面に供給するよう構成されており、前記複数の真空ポートは、前記供給化学剤、前記汚染物質、および、洗浄処理中に切り離された損傷した低誘電体膜層を、対応する流出ポートを通して除去するよう構成されている、システム。
  20. 請求項18に記載のエッチング動作後に基板の表面を洗浄するためのシステムであって、さらに、前記基板上に形成された前記フィーチャおよび材料を損傷することなく、前記基板表面の最適な洗浄が実行されるように、前記基板の前記表面に対する前記複数の化学剤の各々の供給を制御するための制御機構を備える、システム。
  21. 請求項20に記載のエッチング動作後に基板の表面を洗浄するためのシステムであって、さらに、前記供給化学剤の一部が、汚染物質の相互作用範囲内に運ばれて、前記基板の前記表面を損傷することなく、実質的に、前記汚染物質と相互作用して前記基板表面から除去するように、前記基板の前記表面に供給される前記供給化学剤に、垂直成分を持つ力を加えるよう構成された力供給機構を備える、システム。
  22. 請求項18に記載のエッチング動作後に基板の表面を洗浄するためのシステムであって、さらに、適切な量の前記複数の供給化学剤を前記基板の前記表面に供給して効果的な洗浄を行うために、前記化学剤供給機構に通信可能に接続されたコンピュータシステムを備える、システム。
  23. 請求項18に記載のエッチング動作後に基板の表面を洗浄するためのシステムであって、前記化学剤供給機構は、さらに、最適な洗浄を行うために十分な量の1または複数の液体および気体の化学剤をメニスカスとして前記基板の前記表面に供給するよう構成された1または複数の近接ヘッドを備える、システム。
JP2010550730A 2008-03-13 2009-02-24 誘電体膜の処理方法 Pending JP2011514010A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/048,188 US9236279B2 (en) 2003-06-27 2008-03-13 Method of dielectric film treatment
PCT/US2009/035030 WO2009151656A2 (en) 2008-03-13 2009-02-24 Method of dielectric film treatment

Publications (1)

Publication Number Publication Date
JP2011514010A true JP2011514010A (ja) 2011-04-28

Family

ID=41061646

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010550730A Pending JP2011514010A (ja) 2008-03-13 2009-02-24 誘電体膜の処理方法

Country Status (8)

Country Link
US (1) US9236279B2 (ja)
EP (1) EP2272086A4 (ja)
JP (1) JP2011514010A (ja)
KR (1) KR20100132000A (ja)
CN (2) CN101971297B (ja)
SG (1) SG188880A1 (ja)
TW (1) TWI405252B (ja)
WO (1) WO2009151656A2 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100015731A1 (en) * 2007-02-20 2010-01-21 Lam Research Corporation Method of low-k dielectric film repair
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8617993B2 (en) * 2010-02-01 2013-12-31 Lam Research Corporation Method of reducing pattern collapse in high aspect ratio nanostructures
EP2460860A1 (de) * 2010-12-02 2012-06-06 Basf Se Verwendung von Mischungen zur Entfernung von Polyurethanen von Metalloberflächen
CN102623327B (zh) * 2011-01-31 2015-04-29 中芯国际集成电路制造(上海)有限公司 一种化学机械研磨方法
US8741775B2 (en) * 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
CN105336855B (zh) 2012-01-12 2020-08-04 大日本印刷株式会社 蒸镀掩模装置准备体
CN105870326A (zh) * 2012-01-12 2016-08-17 大日本印刷株式会社 蒸镀掩模的制造方法及有机半导体元件的制造方法
JP5288074B2 (ja) 2012-01-12 2013-09-11 大日本印刷株式会社 多面付け蒸着マスクの製造方法及びこれにより得られる多面付け蒸着マスク並びに有機半導体素子の製造方法
US8951950B2 (en) * 2012-03-12 2015-02-10 Ekc Technology Aluminum post-etch residue removal with simultaneous surface passivation
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US8603913B1 (en) * 2012-12-20 2013-12-10 Lam Research Corporation Porous dielectrics K value restoration by thermal treatment and or solvent treatment
US10181443B2 (en) * 2013-02-04 2019-01-15 Taiwan Semiconductor Manufacturing Company Limited Support structure for barrier layer of semiconductor device
US9627608B2 (en) 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
WO2018152494A1 (en) * 2017-02-17 2018-08-23 Nutech Ventures Passivation of defects in perovskite materials for improved solar cell efficiency and stability
US11721541B2 (en) 2021-03-03 2023-08-08 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement formation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004094203A (ja) * 2002-07-12 2004-03-25 Renesas Technology Corp レジスト除去用洗浄液および半導体装置の製造方法
JP2007184599A (ja) * 2005-12-30 2007-07-19 Lam Res Corp 基板洗浄方法および洗浄溶液
JP2008010610A (ja) * 2006-06-29 2008-01-17 Sony Corp 半導体装置の製造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6152148A (en) * 1998-09-03 2000-11-28 Honeywell, Inc. Method for cleaning semiconductor wafers containing dielectric films
JP2001053044A (ja) 1999-08-05 2001-02-23 Yamaha Corp 基板洗浄方法と基板洗浄装置
US6423200B1 (en) * 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US6488040B1 (en) 2000-06-30 2002-12-03 Lam Research Corporation Capillary proximity heads for single wafer cleaning and drying
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US7029567B2 (en) * 2001-12-21 2006-04-18 Asm Nutool, Inc. Electrochemical edge and bevel cleaning process and system
US6773873B2 (en) * 2002-03-25 2004-08-10 Advanced Technology Materials, Inc. pH buffered compositions useful for cleaning residue from semiconductor substrates
JP2004073919A (ja) 2002-08-12 2004-03-11 Ici Kenkyusho:Kk 基体洗浄方法及び洗浄装置
US8277675B2 (en) 2002-09-30 2012-10-02 Lam Research Corporation Method of damaged low-k dielectric film layer removal
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
EP1562225A4 (en) * 2002-11-08 2007-04-18 Wako Pure Chem Ind Ltd CLEANING COMPOSITION AND METHOD FOR CLEANING WITH THE COMPOSITION
US7696141B2 (en) * 2003-06-27 2010-04-13 Lam Research Corporation Cleaning compound and method and system for using the cleaning compound
US8030263B2 (en) * 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US9157151B2 (en) 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004094203A (ja) * 2002-07-12 2004-03-25 Renesas Technology Corp レジスト除去用洗浄液および半導体装置の製造方法
JP2007184599A (ja) * 2005-12-30 2007-07-19 Lam Res Corp 基板洗浄方法および洗浄溶液
JP2008010610A (ja) * 2006-06-29 2008-01-17 Sony Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
SG188880A1 (en) 2013-04-30
CN101971297A (zh) 2011-02-09
WO2009151656A3 (en) 2010-03-04
CN101971297B (zh) 2013-04-17
US9236279B2 (en) 2016-01-12
EP2272086A4 (en) 2013-10-16
US20090229638A1 (en) 2009-09-17
CN102800611A (zh) 2012-11-28
KR20100132000A (ko) 2010-12-16
TW200947540A (en) 2009-11-16
US20140048108A9 (en) 2014-02-20
TWI405252B (zh) 2013-08-11
EP2272086A2 (en) 2011-01-12
WO2009151656A2 (en) 2009-12-17

Similar Documents

Publication Publication Date Title
JP2011514010A (ja) 誘電体膜の処理方法
US8058178B1 (en) Photoresist strip method for low-k dielectrics
CN100517603C (zh) 基板处理方法
WO2006003948A1 (ja) 半導体デバイスの製造方法
US8187981B2 (en) Substrate processing method, substrate processing system, and computer-readable storage medium
US20120115332A1 (en) Method of Post Etch Polymer Residue Removal
US20130084709A1 (en) Substrate processing apparatus and substrate processing method
US20140170780A1 (en) Method of Low-K Dielectric Film Repair
EP1729624A1 (en) Substrate brush scrubbing and proximity cleaning-drying sequence using compatible chemistries, and proximity substrate preparation sequence, and methods, apparatus, and systems for implementing the same
JP2008516419A (ja) ウェハー様物の加工のためのオゾンの使用
US20110294301A1 (en) Method of Preventing Premature Drying
CN111613549A (zh) 基片处理装置及基片处理方法
US7993540B2 (en) Substrate processing method and substrate processing apparatus
KR100870806B1 (ko) 반도체 디바이스의 제조 방법
US20040211442A1 (en) Method and apparatus for removing polymer residue from semiconductor wafer edge and back side
US20230369209A1 (en) Semiconductor device with multi-carbon-concentration dielectrics
CN115540513A (zh) 基板干燥装置及基板干燥方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120223

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130523

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130530

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130826

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131008

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140107

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141104

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150407