KR101283830B1 - Improvement of etch rate uniformity using the independent movement of electrode pieces - Google Patents

Improvement of etch rate uniformity using the independent movement of electrode pieces Download PDF

Info

Publication number
KR101283830B1
KR101283830B1 KR1020077029150A KR20077029150A KR101283830B1 KR 101283830 B1 KR101283830 B1 KR 101283830B1 KR 1020077029150 A KR1020077029150 A KR 1020077029150A KR 20077029150 A KR20077029150 A KR 20077029150A KR 101283830 B1 KR101283830 B1 KR 101283830B1
Authority
KR
South Korea
Prior art keywords
electrode
chamber
lower electrode
pair
plasma
Prior art date
Application number
KR1020077029150A
Other languages
Korean (ko)
Other versions
KR20080019225A (en
Inventor
김지수
최대한
에스 엠 레자 사드자디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20080019225A publication Critical patent/KR20080019225A/en
Application granted granted Critical
Publication of KR101283830B1 publication Critical patent/KR101283830B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 리액터는 챔버, 하부 전극, 상부 전극, 상기 하부 전극에 인접하며 실질적으로 에워싸는 하부 접지 연장부를 포함한다. 상부 접지 연장부는 상부 전극에 인접하며 실질적으로 평행한다. 상부 전극은 또한 접지된다. 상부 접지 연장부는 독립적으로 상승되거나 하강될 수 있어서 상기 하부 접지 연장부 위의 영역으로 연장될 수 있다.

Figure R1020077029150

플라즈마 리액터, 챔버, 상부 전극, 하부 전극

The plasma reactor includes a chamber, a lower electrode, an upper electrode, and a lower ground extension adjacent and substantially surrounding the lower electrode. The upper ground extension is adjacent and substantially parallel to the upper electrode. The upper electrode is also grounded. The upper ground extension can be raised or lowered independently to extend into the area above the lower ground extension.

Figure R1020077029150

Plasma reactor, chamber, upper electrode, lower electrode

Description

전극 피스의 독립적 움직임을 이용한 에칭 레이트 균일성 개선{IMPROVEMENT OF ETCH RATE UNIFORMITY USING THE INDEPENDENT MOVEMENT OF ELECTRODE PIECES}IMPROVEMENT OF ETCH RATE UNIFORMITY USING THE INDEPENDENT MOVEMENT OF ELECTRODE PIECES}

본 발명은 반도체 제조에 관한 것이다. 보다 상세하게는, 본 발명은 플라즈마 에칭 장치에 관한 것이다.The present invention relates to semiconductor manufacturing. More specifically, the present invention relates to a plasma etching apparatus.

통상적인 플라즈마 에칭 장치는 반응성 가스 또는 가스들이 통과하여 흐르는 챔버가 존재하는 리액터를 포함한다. 챔버 내부에서, 가스들은, 통상적으로 무선 주파수 에너지에 의해서, 플라즈마로 이온화된다. 플라즈마의 높은 반응성의 이온들은 집적 회로 (IC) 들로 프로세싱되는 동안에 반도체 웨이퍼의 표면 상의 폴리머 마스크 또는 상호 접속점들 사이의 유전체와 같은, 물질로 반응할 수 있다. 에칭 이전에, 웨이퍼는 챔버내에 위치되며, 웨이퍼의 상부 표면을 플라즈마에 노출시키는 척 또는 홀더에 의해서 적절한 위치에서 유지된다.Conventional plasma etching apparatus includes a reactor in which a reactive gas or chamber through which gases flow is present. Inside the chamber, gases are ionized into the plasma, typically by radio frequency energy. Highly reactive ions in the plasma may react with a material, such as a dielectric between polymer masks or interconnects on the surface of a semiconductor wafer while being processed into integrated circuits (ICs). Prior to etching, the wafer is placed in a chamber and held in place by a chuck or holder that exposes the top surface of the wafer to plasma.

반도체 프로세싱에서, 각 프로세스 동안 웨이퍼에 걸친 에칭 또는 증착 레이트 균일성은 직접적으로 디바이스 수율에 영향을 준다. 이것은 프로세스 리액터에 대한 주요한 적격 요구 사항들 중 하나이며 따라서 그 설계 및 개발 동안 매우 중요한 파라미터로 고려된다. 웨이퍼 직경의 크기가 증가하면서, 집적 회로들의 각각의 배치의 균일성을 보장하는 문제는 점점 어려워진다. 예를 들어, 200 mm 에서 300 mm 로의 웨이퍼 크기 증가 및 웨이퍼 당 더 작은 회로 크기를 가질 경우, 에지 제외 (edge exclusion) 는, 예를 들어, 2 mm 로 줄어든다. 따라서 균일한 에칭 레이트, 프로파일, 및 임계 치수 (critical dimension) 를 내내 웨이퍼의 에지로부터 2 mm 밖으로 유지하는 것은 매우 중요해진다.In semiconductor processing, etch or deposition rate uniformity across the wafer during each process directly affects device yield. This is one of the major eligibility requirements for process reactors and is therefore considered a very important parameter during its design and development. As the size of the wafer diameter increases, the problem of ensuring the uniformity of each batch of integrated circuits becomes increasingly difficult. For example, with wafer size increase from 200 mm to 300 mm and smaller circuit size per wafer, edge exclusion is reduced to 2 mm, for example. It is therefore very important to maintain a uniform etch rate, profile, and critical dimension 2 mm out of the edge of the wafer throughout.

플라즈마 에칭 리액터에서, 에칭 파라미터들 (에칭 레이트, 프로파일, CD 등) 의 균일성이 몇몇 파라미터들에 의해서 영향을 받는다. 균일한 플라즈마 방전 및 그에 따라 웨이퍼 위의 플라즈마 화학을 유지하는 것은 균일성을 개선시키는 데 매우 중요하다. 샤워헤드 (showerhead) 를 통한 가스 흐름 주입을 조작하는 것, 샤워헤드의 설계를 수정하는 것, 및 웨이퍼 주위에 에지 링을 배치시키는 것에 의해서 웨이퍼의 균일성을 개선시키려는 많은 시도가 생각되었다.In a plasma etch reactor, the uniformity of etch parameters (etch rate, profile, CD, etc.) is affected by some parameters. Maintaining a uniform plasma discharge and thus plasma chemistry on the wafer is of great importance for improving uniformity. Many attempts have been made to improve wafer uniformity by manipulating gas flow injection through a showerhead, modifying the design of the showerhead, and placing an edge ring around the wafer.

용량적으로 커플링된 에칭 리액터에서의 한가지 문제점은 특히 웨이퍼의 에지 주변에서의 균일한 RF 커플링의 부족이다. 도 1 은, 기판을 에칭하는 데 통상적으로 사용되는 타입의 예시적 플라즈마 프로세싱 챔버를 나타내는, 종래의 용량적으로 커플링된 플라즈마 프로세싱 챔버 (100) 를 도시한다. 플라즈마 리액터 (100) 는 챔버 (102), 하부 전극 (104), 및 상부 전극 (106) 으로 구성된다. 하부 전극 (104) 은 중앙 하부 전극 (108) 및 에지 하부 전극 (110) 을 포함한다. 상부 전극 (106) 은 중앙 상부 전극 (112) 및 에지 상부 전극 (114) 을 포함한다. 에지 상부 전극 (114) 및 에지 하부 전극 (110) 은 각각 중앙 상부 전극 (112) 및 중앙 하부 전극 (108) 을 에워싸는 링의 형태로 단일 평면을 형성한다. One problem with capacitively coupled etch reactors is the lack of uniform RF coupling, especially around the edge of the wafer. 1 shows a conventional capacitively coupled plasma processing chamber 100, representing an exemplary plasma processing chamber of the type commonly used to etch substrates. The plasma reactor 100 is composed of a chamber 102, a lower electrode 104, and an upper electrode 106. The lower electrode 104 includes a central lower electrode 108 and an edge lower electrode 110. The upper electrode 106 includes a central upper electrode 112 and an edge upper electrode 114. The edge top electrode 114 and the edge bottom electrode 110 form a single plane in the form of a ring surrounding the center top electrode 112 and the center bottom electrode 108, respectively.

상부 전극 (106) 및 에지 하부 전극 (110) 이 상부 전극 (106) 과 하부 전극 (104) 사이에 생성된 플라즈마 (116) 로부터 전하를 내보내기 위해서 접지되는 반면에 중앙 하부 전극 (108) 은 RF 전원 공급부 (118) 에 접속된다. 도 1 에 도시된 바와 같이, 글로우 (glow) 방전 영역 (플라즈마 (116)) 의 형상은 접지된 에지 하부 전극 (110) 때문에 중앙 하부 전극 (108) 의 에지 주변에서 왜곡된다. 그 왜곡은 중앙 하부 전극 (108) 상에 배치된 기판 (미도시) 상의 불균일한 에칭 레이트를 야기한다.The upper electrode 106 and the edge lower electrode 110 are grounded to discharge charge from the plasma 116 generated between the upper electrode 106 and the lower electrode 104 while the center lower electrode 108 is an RF power source. It is connected to the supply part 118. As shown in FIG. 1, the shape of the glow discharge region (plasma 116) is distorted around the edge of the center lower electrode 108 because of the grounded edge lower electrode 110. The distortion causes a nonuniform etching rate on a substrate (not shown) disposed on the central lower electrode 108.

플라즈마 프로세싱 동안에, 양 이온들은 등위 필드 라인을 가로질러 가속되어 기판의 표면 상에 작용하고, 그에 따라 에칭 방향성을 개선시키는 것과 같은, 소망하는 에칭 효과를 제공한다. 상부 전극 (106) 및 하부 전극 (104) 의 구조 때문에, 그 필드 라인들은 웨이퍼 표면에 걸쳐 균일하지 않을 수도 있고 웨이퍼 (104) 의 에지에서 상당히 변할 수도 있다. 따라서, 접지된 링 (110) 이 통상적으로 전체 웨이퍼 표면에 걸친 프로세스 균일성을 개선하기 위해서 제공된다.During plasma processing, positive ions are accelerated across the equipotential field line to act on the surface of the substrate and thus provide a desired etch effect, such as to improve etch directionality. Because of the structure of the upper electrode 106 and the lower electrode 104, the field lines may not be uniform across the wafer surface and may vary considerably at the edge of the wafer 104. Thus, grounded ring 110 is typically provided to improve process uniformity across the entire wafer surface.

상부 전극 (106) 의 부분들은 정지되어 있기 때문에, 에칭 레이트는 웨이퍼의 중앙 및 에지에서 개별적으로 제어될 수 없다. 에칭 프로세스 동안 불균일성은 중앙과 에지 사이의 상이한 치수를 초래하여 웨이퍼 당 신뢰성높은 디바이스들의 수율을 낮출 수 있다. Since portions of the top electrode 106 are stationary, the etch rate cannot be individually controlled at the center and edge of the wafer. Non-uniformity during the etching process can result in different dimensions between the center and the edge, lowering the yield of reliable devices per wafer.

따라서, 웨이퍼의 중앙 및 에지에서의 에칭 레이트를 독립적으로 제어하는 방법 및 장치에 대한 필요성이 존재한다. 본 발명의 주요한 목적은 이러한 필요성을 해결하고 또한 관련된 장점들을 제공하는 것이다.Thus, a need exists for a method and apparatus for independently controlling the etch rate at the center and edge of a wafer. The main object of the present invention is to address this need and to provide related advantages.

플라즈마 리액터는 챔버, 하부 전극, 상부 전극, 하부 전극에 인접하고 실질적으로 하부 전극을 에워싸는 하부 접지 연장부를 포함한다. 상부 접지 연장부는 상부 전극에 인접하고 실질적으로 상부 전극에 평행한다. 상부 전극은 또한 접지된다. 상부 접지 연장부는 하부 접지 연장부 상부의 영역으로 연장하기 위해서 독립적으로 상승 또는 하강될 수도 있다.The plasma reactor includes a chamber, a bottom electrode, a top electrode, a bottom ground extension adjacent and substantially surrounding the bottom electrode. The upper ground extension is adjacent to and substantially parallel to the upper electrode. The upper electrode is also grounded. The upper ground extension may be raised or lowered independently to extend into the area above the lower ground extension.

본 명세서의 일부를 구성하고 본 명세서에 병합된, 첨부된 도면들은 본 발명의 하나 이상의 실시형태를 도시하며, 첨부된 도면들과 함께, 본 발명의 구현 형태 및 원리들을 설명하는 역할을 한다.The accompanying drawings, which form a part of and are incorporated in this specification, illustrate one or more embodiments of the invention and, together with the accompanying drawings, serve to explain embodiments and principles of the invention.

도 1 은 종래 기술에 따른 플라즈마 리액터를 개략적으로 도시하는 도면이다.1 is a view schematically showing a plasma reactor according to the prior art.

도 2 는 일 실시형태에 따른 플라즈마 리액터를 개략적으로 도시하는 도면이다.2 is a diagram schematically illustrating a plasma reactor according to one embodiment.

도 3 은 도 2 에 도시된 플라즈마 리액터를 동작시키는 방법을 개략적으로 도시하는 흐름도이다.FIG. 3 is a flowchart schematically illustrating a method of operating the plasma reactor shown in FIG. 2.

본 발명의 실시형태들은 플라즈마 리액터의 관계에서 본 명세서에서 설명된다. 당업자는 본 발명의 다음의 상세한 설명은 오직 예시적이며 한정하는 것으로 의도되지 않는다는 것을 이해할 것이다. 본 발명의 다른 실시형태들이 본 설명의 이점을 취할 그러한 당업자들에 쉽게 제시될 것이다. 첨부된 도면에 도 시된 것처럼 본 발명의 구현 형태들에 대해서 참조가 상세히 행해질 것이다. 동일한 참조 표시가 동일하거나 유사한 부분을 나타내기 위해서 도면 및 다음의 상세한 설명 전체를 통하여 사용될 것이다.Embodiments of the present invention are described herein in the context of a plasma reactor. Those skilled in the art will understand that the following detailed description of the invention is illustrative only and is not intended to be limiting. Other embodiments of the present invention will be readily presented to those skilled in the art to take advantage of the present description. Reference will be made in detail to implementations of the invention as illustrated in the accompanying drawings. Like reference marks will be used throughout the drawings and the following detailed description to refer to the same or like parts.

명확성의 관점에서, 본 명세서에서 설명된 구현 형태들의 통상적 특성들의 전부가 도시되거나 설명되지는 않는다. 그러한 실제 구현 형태들의 개발 과정에서, 에플리케이션- 및 비지니스- 관련 조건들의 만족과 같은, 개발자의 특정 목적을 달성하기 위해서, 수많은 구현 형태 관련 특정 결정들이 행해져야 하고, 이러한 특정 목적들은 일 구현 형태에서 다른 구현 형태에 따라 및 일 개발자에서 다른 개발자에 따라 변할 수 있다는 것이 물론 이해될 것이다. 또한, 그러한 개발 노력은 복잡하고 시간 소모적이지만, 그럼에도 불구하고, 본 설명의 이점을 취할 당업자에게는 통상적 작업임을 이해할 것이다.In the interest of clarity, not all of the typical features of the implementation forms described herein are shown or described. In the development of such actual implementations, numerous implementation specific decisions must be made in order to achieve the developer's specific goals, such as the satisfaction of application- and business-related conditions, and these specific objectives may differ from one implementation to another. It will of course be understood that it can vary from implementation to implementation and from one developer to another. Moreover, while such development efforts are complex and time consuming, it will nevertheless be understood that they are routine tasks for those skilled in the art to take advantage of the present description.

도 2 는 챔버 (202), 하부 전극 (208), 하부 전극 연장부 (210), 상부 전극 (212) 및 상부 전극 연장부 (214) 를 포함하는 플라즈마 리액터 (200) 의 일 실시형태를 도시한다. 일 실시형태에 따라서, 하부 전극 연장부 (210) 는 하부 전극 (208) 에 평행하고 인접하며 하부 전극 (208) 을 에워싸는 접지된 링 (210) 을 포함한다. 상부 전극 연장부 (214) 는 상부 전극 (212) 에 평행하고 인접하며 상부 전극 (212) 을 에워싸는 조정가능 접지된 링 (214) 을 포함한다.2 shows one embodiment of a plasma reactor 200 that includes a chamber 202, a lower electrode 208, a lower electrode extension 210, an upper electrode 212 and an upper electrode extension 214. . According to one embodiment, the lower electrode extension 210 includes a grounded ring 210 parallel and adjacent to the lower electrode 208 and surrounding the lower electrode 208. The upper electrode extension 214 includes an adjustable grounded ring 214 that is parallel and adjacent to the upper electrode 212 and surrounds the upper electrode 212.

상부 전극 (212), 상부 전극 연장부 (214), 및 하부 전극 연장부 (210) 가 상부 전극 (212) 과 하부 전극 (208) 사이에 생성된 플라즈마 (216) 로부터 전하를 내보내기 위해서 접지되는 반면에, 하부 전극 (208) 은 RF 전원 공급부 (218) 에 접속된다. 예시로써, 하부 전극 연장부 (210) 및 상부 전극 연장부 (212) 는 알루미늄과 같은 도전성 물질로 이루어질 수도 있다. 도 2 에 도시된 바와 같이, 플라즈마 (216) 는 상부 전극 연장부 (214) 의 위치 (높이) 에 기초하여 상이한 플라즈마 밀도를 가진 두개의 영역들 (220 및 222) 을 포함한다.The upper electrode 212, the upper electrode extension 214, and the lower electrode extension 210 are grounded to discharge charge from the plasma 216 generated between the upper electrode 212 and the lower electrode 208. The lower electrode 208 is connected to the RF power supply 218. By way of example, the lower electrode extension 210 and the upper electrode extension 212 may be made of a conductive material, such as aluminum. As shown in FIG. 2, the plasma 216 includes two regions 220 and 222 having different plasma densities based on the position (height) of the upper electrode extension 214.

하부 전극 (208) 은 공정대상물 (workpiece) 을 수용하도록 구성되고 공정대상물을 수용하도록 구성되는 관련 하부 전극 영역을 포함한다. 하부 전극 (208) 은 적어도 하나의 전원 공급부 (218) 에 커플링된다. 전원 공급부 (218) 는 하부 전극 (208) 에 전달되는 RF 전력을 발생시키도록 구성된다. 오직 예시적 목적으로, 듀얼 주파수 전원 공급부 (218) 는 플라즈마 (216) 를 생성하기 위해서 가스에 인가되는 고 전위를 발생시키는 데 사용될 수도 있다. 보다 상세하게는, 도시된 전원 공급부 (218) 는 Lam Research 에 의해서 제조된 에칭 시스템에 포함된 2 MHz 및 27 MHz 에서 동작하는 듀얼 주파수 전원 공급부이다. 당업자는 프로세싱 챔버 (202) 내에 플라즈마를 발생시킬 수 있는 다른 전원 공급부들이 또한 이용될 수도 있음을 이해할 것이다. 당업자는 본 발명이 2 MHz 및 27 MHz 의 RF 주파수에만 국한되지는 않고 넓은 범위의 주파수들에도 적용가능함을 이해할 것이다. 본 발명은 듀얼 주파수 전원 공급부에만 국한되지는 않고 넓은 범위의 주파수들을 가진 3 개 이상의 RF 전원 공급부를 가진 시스템에도 또한 적용가능하다.Lower electrode 208 includes an associated lower electrode region configured to receive a workpiece and configured to receive a workpiece. The lower electrode 208 is coupled to at least one power supply 218. The power supply 218 is configured to generate RF power delivered to the lower electrode 208. For illustrative purposes only, dual frequency power supply 218 may be used to generate a high potential applied to the gas to generate plasma 216. More specifically, the illustrated power supply 218 is a dual frequency power supply operating at 2 MHz and 27 MHz included in an etching system manufactured by Lam Research. Those skilled in the art will appreciate that other power supplies capable of generating plasma in the processing chamber 202 may also be used. Those skilled in the art will appreciate that the present invention is applicable not only to RF frequencies of 2 MHz and 27 MHz but also to a wide range of frequencies. The invention is also applicable to systems having three or more RF power supplies with a wide range of frequencies, but not limited to dual frequency power supplies.

상부 전극 (212) 은 하부 전극 (208) 으로부터 소정의 거리에 배치된다. 상부 전극 (212), 상부 전극 연장부 (214) 는 접지 연장부 (210) 와 함께 하부 전극 (208) 으로부터 전달되는 RF 전력을 위한 완벽한 전기 회로를 제공하도록 구성된다. 상부 전극 연장부 (214) 는 하부 전극 (208) 의 에지-플라즈마 영역 (222) 에서 플라즈마 밀도를 조작하기 위해서 상부 전극 (212) 으로부터 독립적으로 상하로 움직일 수 있다. 하부 전극 (208) 의 에지에서 변하는 플라즈마 밀도를 가지면, 그 영역에서의 에칭 레이트는 플라즈마 영역 (220) 에서의 에칭 레이트로부터 독립적으로 제어될 수 있다 (더 빠른 레이트 또는 더 느린 레이트 중 하나로). 당업자는 상부 전극 연장부 (214) 를 상승 및 하강시키는 많은 방법들이 존재하고 있음을 이해할 것이다. 예를 들면, 기계적 또는 동력화된 노브 (knob) 가 챔버 (202) 의 내부를 열거나 엑세스해야 할 필요없이 전극 연장부 (214) 를 상승 및 하강시키는 데 사용될 수도 있다.The upper electrode 212 is disposed at a predetermined distance from the lower electrode 208. The upper electrode 212, the upper electrode extension 214, together with the ground extension 210, is configured to provide a complete electrical circuit for RF power delivered from the lower electrode 208. The upper electrode extension 214 can move up and down independently from the upper electrode 212 to manipulate the plasma density in the edge-plasma region 222 of the lower electrode 208. Having a varying plasma density at the edge of the lower electrode 208, the etch rate in that region can be controlled independently from the etch rate in the plasma region 220 (either faster or slower rate). Those skilled in the art will appreciate that there are many ways to raise and lower the upper electrode extension 214. For example, a mechanical or motorized knob may be used to raise and lower the electrode extension 214 without having to open or access the interior of the chamber 202.

플라즈마 프로세싱 동안에, 양 이온들은 등위 필드 라인을 가로질러 가속되어 기판의 표면 상에 작용하고, 그에 따라, 에칭 방향성을 개선하는 것과 같은, 소망하는 에칭 효과를 제공한다. 상부 전극 (212) 및 하부 전극 (208) 의 구조 때문에, 그 필드 라인은 웨이퍼 표면에 걸쳐 균일하지 않을 수도 있고 웨이퍼의 에지에서 상당히 변할 수도 있다. 따라서, 상부 및 하부 전극들 연장부 (214 및 210) 는 전체 웨이퍼 표면에 걸친 프로세스 균일성을 개선하기 위해서 제공된다.During plasma processing, both ions are accelerated across the equipotential field line and act on the surface of the substrate, thereby providing a desired etch effect, such as improving etch directionality. Because of the structure of the upper electrode 212 and the lower electrode 208, its field lines may not be uniform across the wafer surface and may vary significantly at the edge of the wafer. Thus, upper and lower electrode extensions 214 and 210 are provided to improve process uniformity over the entire wafer surface.

플라즈마 리액터 (200) 는 플라즈마 리액터 (200) 에 의해서 플라즈마 (216) 로 변환되는 가스 (미도시) 를 수용하도록 구성된다. 한정적이 아닌, 예시로써, 챔버내로 펌핑되는 상대적으로 높은 가스 유량은 1500 sccm 이다. 1500 sccm 초과뿐만 아니라 1500sccm 미만의 가스 유량이 또한 적용될 수도 있다.The plasma reactor 200 is configured to receive a gas (not shown) that is converted into the plasma 216 by the plasma reactor 200. By way of example, and not limitation, the relatively high gas flow rate pumped into the chamber is 1500 sccm. Gas flow rates of less than 1500 sccm as well as less than 1500 sccm may also be applied.

챔버 (202) 내에 플라즈마 (216) 를 발생시키기 위해서, 전원 공급부 (218) 가 사용되고 RF 전력이 하부 전극 (208) 과 상부 전극 (212) 사이에서 전달된다. 가스는 그후 공정대상물 또는 반도체 기판을 프로세싱하는 데 사용되는 플라즈마 (216) 로 변환된다. 한정적이 아닌, 예시로써, 플라즈마 부피 ㎤ 당 2W 의 RF 전력 레벨이 인가될 수도 있다. 플라즈마 부피 ㎤ 당 2W 미만의 RF 전력 레벨이 또한 인가될 수도 있다.In order to generate the plasma 216 in the chamber 202, a power supply 218 is used and RF power is transferred between the lower electrode 208 and the upper electrode 212. The gas is then converted to a plasma 216 used to process the workpiece or semiconductor substrate. By way of example, and not limitation, an RF power level of 2 W per plasma volume cm 3 may be applied. RF power levels of less than 2 W per plasma volume cm 3 may also be applied.

예시적 목적으로, 도 2 에서 설명된 플라즈마 리액터 (200) 는 프로세싱 챔버 (202) 에서 플라즈마 (216) 를 발생시키기 위해서 용량적 커플링을 사용한다. 당업자는 본 장치 및 방법이 유도적으로 커플링된 플라즈마로 사용되도록 적용될 수도 있음을 이해할 것이다.For illustrative purposes, the plasma reactor 200 described in FIG. 2 uses capacitive coupling to generate the plasma 216 in the processing chamber 202. Those skilled in the art will appreciate that the present apparatus and method may be adapted for use with inductively coupled plasma.

당업자는 도 2 에서 도시된 상기 구조들이 제한적인 것으로 의도되지 않으며 다른 구성들이 본 명세서에서 설명된 독창적 개념에서 벗어남없이 사용될 수도 있음을 이해할 것이다. 예를 들면, 2 개 이상의 인접한 상부 전극 연장부 (214) 는 하부 전극 (208) 의 에지에서 에칭 레이트를 더 제어하기 위해서 위치될 수도 있다.Those skilled in the art will understand that the structures shown in FIG. 2 are not intended to be limiting and other configurations may be used without departing from the inventive concept described herein. For example, two or more adjacent top electrode extensions 214 may be positioned to further control the etch rate at the edge of the bottom electrode 208.

도 3 은 도 2 에서 도시된 플라즈마 리액터를 사용하는 방법을 도시한다. 단계 302 에서, 상부 전극 연장부 (214) 의 (상승되거나 하강된) 위치가 선택된다. 상부 전극 연장부 (214) 는 상승되고 하강될 수 있어서 하부 전극 연장부 위의 영역으로 연장될 수 있다. 단계 304 에서, 플라즈마 리액터 (200) 는 하부 전극 (208) 에 의해서 지원되는 웨이퍼를 프로세싱한다. 단계 306 에서, 웨 이퍼는 웨이퍼의 표면 전체를 통하여 에칭 균일성을 판정하기 위해서 검사된다. 단계 308 에서, 상부 전극 연장부 (214) 의 위치는 웨이퍼의 표면 전체를 통하여 에칭 레이트 균일성을 더욱 개선하기 위해서 단계 306 에서의 분석에 기초해서 조정된다.3 shows a method of using the plasma reactor shown in FIG. 2. In step 302, the position (elevated or lowered) of the upper electrode extension 214 is selected. The upper electrode extension 214 can be raised and lowered to extend into an area above the lower electrode extension. In step 304, the plasma reactor 200 processes the wafer supported by the bottom electrode 208. In step 306, the wafer is inspected to determine the etch uniformity throughout the surface of the wafer. In step 308, the position of the upper electrode extension 214 is adjusted based on the analysis in step 306 to further improve the etch rate uniformity throughout the surface of the wafer.

본 발명의 실시형태들 및 에플리케이션들이 도시되고 설명되었지만, 본 설명의 이득을 갖는 당업자들은 상술한 것보다 많은 변형 형태들이 본 명세서의 독창적 개념에서 벗어나는 것 없이 가능함을 이해할 것이다. 따라서, 본 발명은 첨부된 청구항들의 정신의 범위내를 제외하고는 제한되지 않는다.While embodiments and applications of the present invention have been shown and described, those skilled in the art having the benefit of this description will understand that many more variations than those described above are possible without departing from the inventive concept herein. Accordingly, the invention is not to be restricted except in the spirit of the appended claims.

Claims (10)

챔버를 한정하는 본체;A body defining a chamber; 상기 챔버 내부에 배치되고 워크피스 (workpiece) 를 받아들이도록 구성되는 하부 전극으로서, 제 1 면에 놓여있는, 상기 하부 전극;A lower electrode disposed within the chamber and configured to receive a workpiece, the lower electrode lying on a first side; 상기 챔버 내부에 배치되는 상부 전극으로서, 상기 하부 전극으로부터 소정 거리 이격된 제 2 면에 놓여있고, 상기 제 2 면은 상기 제 1 면에 평행한, 상기 상부 전극; An upper electrode disposed inside the chamber, the upper electrode lying on a second surface spaced a predetermined distance from the lower electrode, the second surface being parallel to the first surface; 상기 하부 전극에 근접하고 상기 하부 전극과 중심이 같고, 상기 제 1 면에 놓여있는 하부 접지 연장부;A lower ground extension proximate to the lower electrode and at the same center as the lower electrode and lying on the first surface; 상기 상부 전극에 근접하고 상기 상부 전극과 중심이 같은 상부 접지 연장부로서, 상기 상부 접지 연장부는 상기 상부 전극에서 상기 하부 전극을 향해 이동하여 주변의 전계 강도를 제어하도록 구성되고, 이에 따라 상기 챔버에서의 상기 하부 전극의 에지에서 주변의 플라즈마 밀도를 변화시키고, 또한 상기 제 1 및 제 2 면에 평행한 제 3 면에 배열되는, 상기 상부 접지 연장부; 및An upper ground extension proximate to the upper electrode and at the same center as the upper electrode, wherein the upper ground extension is configured to move from the upper electrode toward the lower electrode to control the electric field strength around it; The upper ground extension, varying at a peripheral plasma density at the edge of the lower electrode of and arranged on a third surface parallel to the first and second surfaces; And 상기 상부 전극 및 상기 하부 전극 중 하나에 연결되는 RF 소스로서, 상기 상부 전극 및 상기 하부 전극 중 다른 하나는 접지에 연결되어 있는, 상기 RF 소스를 포함하는, 플라즈마 리액터.An RF source coupled to one of the top electrode and the bottom electrode, the other of the top electrode and the bottom electrode comprising the RF source connected to ground. 제 1 항에 있어서,The method of claim 1, 상기 상부 접지 연장부는 링을 포함하는, 플라즈마 리액터.And the upper ground extension comprises a ring. 제 1 항에 있어서,The method of claim 1, 상기 하부 접지 연장부는 링을 포함하는, 플라즈마 리액터.And the lower ground extension comprises a ring. 제 1 항에 있어서,The method of claim 1, 상기 RF 소스는 상기 하부 전극과 연결되어 있고, 상기 상부 전극은 접지에 연결되어 있는, 플라즈마 리액터.The RF source is connected to the bottom electrode and the top electrode is connected to ground. 제 4 항에 있어서,5. The method of claim 4, 상기 RF 소스는 복수의 주파수들을 발생시키는, 플라즈마 리액터.And the RF source generates a plurality of frequencies. 삭제delete 챔버를 한정하는 본체, 서로 소정 거리 이격된 한 쌍의 전극 플레이트 및 이격되고 접지된 제 1 전극링 및 제 2 전극링을 갖는 플라즈마 리액터로서, 상기 한 쌍의 전극 플레이트 중 하나는 제 1 면에 놓여있고, 나머지 전극 플레이트는 제 2 면에 놓여있고, 상기 제 1 면은 상기 제 2 면과 평행하고, 상기 제 1 및 제 2 전극링은 상기 챔버내에 배치되고 상기 제 1 및 제 2 면에 평행하게 배열되는, 상기 플라즈마 리액터를 사용하는 방법으로서, A plasma reactor having a body defining a chamber, a pair of electrode plates spaced a predetermined distance from each other, and a first electrode ring and a second electrode ring spaced and grounded, wherein one of the pair of electrode plates lies on a first face And the remaining electrode plate lies on a second face, the first face is parallel to the second face, and the first and second electrode rings are disposed in the chamber and parallel to the first and second face. Arranged, the method using the plasma reactor, 프로세싱 영역을 한정하는 상기 챔버의 일부분이 사이에 배치되게 서로 정렬되도록 상기 한 쌍의 전극링을 설치하는 단계;Installing the pair of electrode rings such that portions of the chamber defining a processing region are aligned with each other such that they are disposed therebetween; 상기 제 1 면에 놓이게 하고 상기 한 쌍의 전극 플레이트 중 하나와 이격되도록 상기 한 쌍의 전극 플레이트 중 하나를 상기 제 1 전극링으로 둘러싸는 단계;Surrounding one of the pair of electrode plates with the first electrode ring so as to lie on the first side and spaced apart from one of the pair of electrode plates; 상기 이격된 한 쌍의 전극 플레이트 중 하나에 RF 전원을 공급하는 단계로서, 상기 이격된 한 쌍의 전극 플레이트 중 나머지 하나는 접지에 연결되어 있는, 상기 RF 전원을 공급하는 단계; 및Supplying RF power to one of the spaced pair of electrode plates, wherein the other of the spaced pair of electrode plates is connected to ground; And 주변 전계 강도를 제어하고, 상기 제 2 전극링을 상기 프로세싱 영역을 둘러싸고 상기 제 1 및 제 2 면 모두로부터 이격되도록 위치시키는 것에 의해 상기 챔버에서의 상기 하부 전극의 에지에서 주변의 플라즈마 밀도를 변화시키는 단계를 포함하는, 플라즈마 리액터 사용 방법.Varying the surrounding plasma density at the edge of the lower electrode in the chamber by controlling the ambient field strength and positioning the second electrode ring so as to surround the processing region and to be spaced apart from both the first and second faces. Comprising the steps of using a plasma reactor. 삭제delete 제 7 항에 있어서, The method of claim 7, wherein 상기 공급하는 단계는 복수의 주파수들을 갖는 무선 주파수를 공급하는 단계를 더 포함하는, 플라즈마 리액터 사용 방법.And said supplying further comprises supplying a radio frequency having a plurality of frequencies. 삭제delete
KR1020077029150A 2005-06-13 2006-06-12 Improvement of etch rate uniformity using the independent movement of electrode pieces KR101283830B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/152,016 US20060278339A1 (en) 2005-06-13 2005-06-13 Etch rate uniformity using the independent movement of electrode pieces
US11/152,016 2005-06-13
PCT/US2006/023114 WO2006135924A1 (en) 2005-06-13 2006-06-12 Improvement of etch rate uniformity using the independent movement of electrode pieces

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137002561A Division KR20130023390A (en) 2005-06-13 2006-06-12 Improvement of etch rate uniformity using the independent movement of electrode pieces

Publications (2)

Publication Number Publication Date
KR20080019225A KR20080019225A (en) 2008-03-03
KR101283830B1 true KR101283830B1 (en) 2013-07-08

Family

ID=37067470

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137002561A KR20130023390A (en) 2005-06-13 2006-06-12 Improvement of etch rate uniformity using the independent movement of electrode pieces
KR1020077029150A KR101283830B1 (en) 2005-06-13 2006-06-12 Improvement of etch rate uniformity using the independent movement of electrode pieces

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020137002561A KR20130023390A (en) 2005-06-13 2006-06-12 Improvement of etch rate uniformity using the independent movement of electrode pieces

Country Status (7)

Country Link
US (1) US20060278339A1 (en)
JP (1) JP4970434B2 (en)
KR (2) KR20130023390A (en)
CN (1) CN101194340B (en)
SG (1) SG162771A1 (en)
TW (1) TWI397100B (en)
WO (1) WO2006135924A1 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8012306B2 (en) 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US20070221332A1 (en) * 2006-03-22 2007-09-27 Tokyo Electron Limited Plasma processing apparatus
KR100823302B1 (en) * 2006-12-08 2008-04-17 주식회사 테스 Plasma processing apparatus
KR100978754B1 (en) * 2008-04-03 2010-08-30 주식회사 테스 Plasma processing apparatus
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
US20080156772A1 (en) * 2006-12-29 2008-07-03 Yunsang Kim Method and apparatus for wafer edge processing
US20170213734A9 (en) * 2007-03-30 2017-07-27 Alexei Marakhtanov Multifrequency capacitively coupled plasma etch chamber
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8382941B2 (en) 2008-09-15 2013-02-26 Micron Technology, Inc. Plasma reactor with adjustable plasma electrodes and associated methods
US20130098390A1 (en) * 2011-10-25 2013-04-25 Infineon Technologies Ag Device for processing a carrier and a method for processing a carrier
US20140060739A1 (en) * 2012-08-31 2014-03-06 Rajinder Dhindsa Rf ground return in plasma processing systems and methods therefor
KR102161873B1 (en) * 2014-05-09 2020-10-06 에베 그룹 에. 탈너 게엠베하 Method and Device for Plasma Treatment of Substrates
CN105789010B (en) * 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 Plasma processing apparatus and the adjusting method of plasma distribution
WO2018187679A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Plasma density control on substrate edge

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08321488A (en) * 1995-05-26 1996-12-03 Sony Corp Dry etching method and magnetron rie equipment
WO2002093616A1 (en) * 2001-05-16 2002-11-21 Lam Research Corporation Hollow anode plasma reactor and method

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5723227A (en) * 1980-07-17 1982-02-06 Nippon Telegr & Teleph Corp <Ntt> Plasma etching device
JPS61164271U (en) * 1985-04-01 1986-10-11
JPH03138382A (en) * 1989-10-20 1991-06-12 Nissin Electric Co Ltd Reactive ion etching device
US5508881A (en) * 1994-02-01 1996-04-16 Quality Microcircuits Corporation Capacitors and interconnect lines for use with integrated circuits
TW299559B (en) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5585012A (en) * 1994-12-15 1996-12-17 Applied Materials Inc. Self-cleaning polymer-free top electrode for parallel electrode etch operation
JP2953974B2 (en) * 1995-02-03 1999-09-27 松下電子工業株式会社 Method for manufacturing semiconductor device
US5567640A (en) * 1996-01-11 1996-10-22 Vanguard International Semiconductor Corporation Method for fabricating T-shaped capacitors in DRAM cells
US6017825A (en) * 1996-03-29 2000-01-25 Lam Research Corporation Etch rate loading improvement
US5705438A (en) * 1996-10-18 1998-01-06 Vanguard International Semiconductor Corporation Method for manufacturing stacked dynamic random access memories using reduced photoresist masking steps
US5731130A (en) * 1996-11-12 1998-03-24 Vanguard International Semiconductor Corporation Method for fabricating stacked capacitors on dynamic random access memory cells
US5792693A (en) * 1997-03-07 1998-08-11 Vanguard International Semiconductor Corporation Method for producing capacitors having increased surface area for dynamic random access memory
US5780338A (en) * 1997-04-11 1998-07-14 Vanguard International Semiconductor Corporation Method for manufacturing crown-shaped capacitors for dynamic random access memory integrated circuits
JPH10289881A (en) * 1997-04-15 1998-10-27 Kokusai Electric Co Ltd Plasma cvd device
US5895250A (en) * 1998-06-11 1999-04-20 Vanguard International Semiconductor Corporation Method of forming semicrown-shaped stacked capacitors for dynamic random access memory
US6165276A (en) * 1999-09-17 2000-12-26 United Microelectronics Corp. Apparatus for preventing plasma etching of a wafer clamp in semiconductor fabrication processes
US6432833B1 (en) * 1999-12-20 2002-08-13 Micron Technology, Inc. Method of forming a self aligned contact opening
US6485988B2 (en) * 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
WO2001050518A1 (en) * 2000-01-03 2001-07-12 Micron Technology, Inc. Method of forming a self-aligned contact opening
WO2001052302A1 (en) * 2000-01-10 2001-07-19 Tokyo Electron Limited Segmented electrode assembly and method for plasma processing
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
KR100500938B1 (en) * 2000-12-30 2005-07-14 주식회사 하이닉스반도체 Method for forming capacitor
US6319767B1 (en) * 2001-03-05 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors via plasma ashing and hard masking technique
US6531324B2 (en) * 2001-03-28 2003-03-11 Sharp Laboratories Of America, Inc. MFOS memory transistor & method of fabricating same
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP2002359232A (en) * 2001-05-31 2002-12-13 Tokyo Electron Ltd Plasma treatment apparatus
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6717193B2 (en) * 2001-10-09 2004-04-06 Koninklijke Philips Electronics N.V. Metal-insulator-metal (MIM) capacitor structure and methods of fabricating same
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08321488A (en) * 1995-05-26 1996-12-03 Sony Corp Dry etching method and magnetron rie equipment
WO2002093616A1 (en) * 2001-05-16 2002-11-21 Lam Research Corporation Hollow anode plasma reactor and method

Also Published As

Publication number Publication date
SG162771A1 (en) 2010-07-29
JP2008544500A (en) 2008-12-04
CN101194340B (en) 2011-12-28
KR20130023390A (en) 2013-03-07
CN101194340A (en) 2008-06-04
WO2006135924A1 (en) 2006-12-21
KR20080019225A (en) 2008-03-03
TW200713389A (en) 2007-04-01
WO2006135924A9 (en) 2007-02-22
TWI397100B (en) 2013-05-21
US20060278339A1 (en) 2006-12-14
JP4970434B2 (en) 2012-07-04

Similar Documents

Publication Publication Date Title
KR101283830B1 (en) Improvement of etch rate uniformity using the independent movement of electrode pieces
US7837825B2 (en) Confined plasma with adjustable electrode area ratio
KR100900595B1 (en) Method and apparatus to confine plasma and to enhance flow conductance
EP1446825B1 (en) Apparatus and method for improving etch rate uniformity
KR101677239B1 (en) Plasma processing apparatus and plasma processing method
KR100642157B1 (en) Plasma processing system and method and electrode plate of plasma processing system
KR100652983B1 (en) Plasma processing apparatus and method
KR101812646B1 (en) Plasma processing apparatus and method of manufacturing semiconductor device
KR101997823B1 (en) Plasma processing apparatus
CN110197787B (en) Plasma processing apparatus and method for manufacturing mounting table
JP5580512B2 (en) Plasma confinement baffle and flow balancer for enhanced magnetic control of plasma radiation distribution
CN101002509B (en) Plasma processing apparatus
KR20080094794A (en) Plasma processing reactor with multiple capacitive and inductive power sources
KR20200101993A (en) Process kit for substrate support
CN111183504B (en) Superlocal and plasma uniformity control in manufacturing processes
KR20200067104A (en) Plasma processing apparatus and plasma processing method
KR100716690B1 (en) Apparatus and method for processing semiconductor piece
TWI414016B (en) Apparatus for performing a plasma etching process
KR101098793B1 (en) Adaptively plasma source and plasma chamber for processing a large-diameter wafer
KR200426498Y1 (en) Process kit for using in a plasma processing chamber
KR20230063007A (en) Substrate processing method
KR20110077951A (en) Plasma etching apparatus and etching method using the same
JP2004356459A (en) Plasma treatment device

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160623

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170627

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180626

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190625

Year of fee payment: 7