KR101135242B1 - 정전기 척 조립체 - Google Patents

정전기 척 조립체 Download PDF

Info

Publication number
KR101135242B1
KR101135242B1 KR1020080100152A KR20080100152A KR101135242B1 KR 101135242 B1 KR101135242 B1 KR 101135242B1 KR 1020080100152 A KR1020080100152 A KR 1020080100152A KR 20080100152 A KR20080100152 A KR 20080100152A KR 101135242 B1 KR101135242 B1 KR 101135242B1
Authority
KR
South Korea
Prior art keywords
electrostatic chuck
grooves
puck
cooling channel
chuck assembly
Prior art date
Application number
KR1020080100152A
Other languages
English (en)
Other versions
KR20090037839A (ko
Inventor
더글라스 에이. 주니어 부치베르거
폴 브릴하르트
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090037839A publication Critical patent/KR20090037839A/ko
Application granted granted Critical
Publication of KR101135242B1 publication Critical patent/KR101135242B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 정전기 척 베이스, 정전기 척 조립체, 및 정전기 척 조립체를 위한 퍽을 포함한다. 플라즈마 챔버 내에서 기판을 정밀하게 에칭하는 것은 어려움이 많은데, 이는 챔버내의 플라즈마는 기판에 걸친 온도를 불균일하게 만들 수 있기 때문이다. 기판에 걸친 온도 구배가 존재하여, 기판의 엣지가 기판의 중심과 상이한 온도를 가질 수 있을 것이다. 기판의 온도가 균일하지 않을 때, 피쳐(features)들이 기판 상에 배치된 구조물의 여러 층들로 균일하게 에칭되지 않을 것이다. 이중 영역 정전기 척 조립체가 기판 표면에 걸친 온도 구배를 보상할 수 있을 것이다.

Description

정전기 척 조립체 {ELECTROSTATIC CHUCK ASSEMBLY}
본 발명의 실시예는 에칭 챔버에서 사용하기 위한 정전기 척 조립체에 관한 것이다.
집적 회로의 제조에 있어서, 다양한 프로세스 파라미터들의 정밀 제어는 기판에서 일정한 결과를 달성하는데 있어서 그리고 기판마다에서 반복가능한 결과를 얻는데 있어서 필수적이다. 프로세싱 중의 온도 및 기판에 걸친 온도 구배의 변화는 물질 부착(deposition), 에칭 속도, 스텝 커버리지(단차 피복), 피쳐 테이퍼 각도(feature taper angles), 및 반도체 소자의 다른 파라미터들에 해로울 수 있다.
일부 프로세싱 분야에서, 기판은 프로세싱 중에 정전기 척에 의해서 기판 받침대에서 유지된다. 정전기 척은 클램프, 접착제, 또는 체결구에 의해서 받침대의 베이스에 결합된다. 프로세싱 중의 기판 온도 제어를 위해서, 척이 매립형 전기 히터를 구비할 수 있을 뿐만 아니라, 후면(backside) 열 전달 가스의 공급원에 유체적으로 결합될 수 있다. 그러나, 종래의 기판 받침대는 기판의 직경에 걸친 기판 온도 분포를 충분히 제어할 수 있는 수단을 구비하지 못하였다. 기판 온도를 균일하게 제어하지 못하는 것은 단일 기판내에서의 그리고 기판들 간에서의 프로세 스 균일성에 부정적인 영향을 미치며, 소자 수율 및 프로세싱된 기판의 전체적인 품질에도 부정적인 영향을 미친다.
그에 따라, 개선된 정전기 척 조립체가 소위 당업계에서 요구되고 있다.
개략적으로 설명하면, 본 발명은 정전기 척 베이스, 정전기 척 조립체, 및 정전기 척 조립체를 위한 퍽(puck)을 포함한다. 일 실시예에서, 정전기 척 베이스는 내부에 형성된 하나 이상의 냉각 채널을 가지는 정전기 척 베이스 본체(body) 및 상기 하나 이상의 냉각 채널 중 하나 이상에 형성된 다수의 홈(grooves)을 포함한다.
다른 실시예에서, 정전기 척 조립체를 위한 퍽은 퍽 본체 및 상기 퍽 본체를 가로질러 정렬되고 둘 이상의 상이한 패턴을 가지는 다수의 메사(mesas)를 포함한다.
다른 실시예에서, 정전기 척 조립체는 퍽을 포함하고, 상기 퍽은 퍽 본체 및 상기 퍽 본체를 가로질러 정렬되고 둘 이상의 상이한 패턴을 가지는 다수의 메사를 포함하고, 상기 정전기 척 베이스는 내부에 형성된 하나 이상의 냉각 채널을 가지는 정전기 척 베이스 본체 및 상기 하나 이상의 냉각 채널 중 하나 이상에 형성된 다수의 홈을 포함한다.
이상에서 간략하게 설명한 본 발명의 전술한 특징들이 보다 잘 이해될 수 있도록, 이하에서는 첨부 도면에 일부가 도시된 실시예들을 참조하여 본 발명을 보다 구체적으로 설명한다. 그러나, 첨부 도면들은 본 발명의 통상적인 실시예를 도시한 것에 불과하고, 그에 따라 본 발명을 제한하는 것으로 해석되지 않아야 할 것이며, 결국 본 발명의 다른 균등한 실시예에도 포함하는 것으로 해석되어야 할 것이다.
이해를 돕기 위해, 도면들에서 공통되는 동일한 구성요소에 대해서는 가능한 한 동일한 도면부호로 표시하였다. 일 실시예에 개시된 구성요소들은 특별한 언급 없이도 다른 실시예에서 유리하게 이용될 수 있다는 것을 이해할 것이다.
본 발명은 정전기 척 베이스, 정전기 척 조립체, 및 정전기 척 조립체를 위한 퍽을 포함한다. 플라즈마 챔버 내에서 기판을 정밀하게 에칭하는 것은 어려움이 많은데, 이는 챔버내의 플라즈마는 기판에 걸친 온도를 불균일하게 만들 수 있기 때문이다. 기판에 걸친 온도 구배가 존재하여, 기판의 엣지(edge)가 기판의 중심과 상이한 온도를 가질 수 있을 것이다. 기판의 온도가 균일하지 않을 때, 피쳐(features)들이 기판 상에 배치된 구조물의 여러 층들로 균일하게 에칭되지 않을 것이다. 이중 영역(dual zone) 정전기 척 조립체가 기판 표면에 걸친 온도 구배를 보상할 수 있을 것이다.
이하에서는, 에칭 챔버와 관련하여 본 발명을 설명한다. 그러나, 본 명세서에 개시된 사상은 여러 가지 플라즈마 증착 및 에칭 챔버들에서 유리하게 적용될 수 있을 것이며, 특히 CENTURA? 시스템과 같은 반도체 웨이퍼 프로세싱 시스템의 일부일 수 있는 ENABLER? 에칭 챔버, PRODUCER? 에칭 챔버, eMAX? 에칭 챔버 등과 같은 유전(dielectric) 에칭 챔버들에서 유리하게 적용될 수 있을 것이며, 상기 모든 챔버들은 미국 캘리포니아 산타클라라에 소재하는 Applied Materials, Inc. 로부터 입수가 가능하다. 다른 제조업자들이 공급하는 다른 플라즈마 반응기들에도 본 발명을 유리하게 적용할 수 있을 것이다.
도 1은 본 발명의 일 실시예에 따른 프로세싱 장치(100)의 단면을 도시한다. 상기 장치(100)는 정전기 척 조립체를 구비하는 에칭 장치(100)이다. 가스 공급부(140)로부터의 에칭 가스가 샤워헤드(136)를 통해서 챔버 내로 도입된다. 전원(138)은 샤워헤드(136)를 바이어스시켜서 챔버내에서 플라즈마를 점화(ignite) 및/또는 유지할 것이다. 정전기 척 조립체는 베이스 부분(102) 및 상기 베이스 부분(102)에 결합된 퍽(104)을 포함한다. 일 실시예에서, 퍽(104)은 본딩에 의해서 베이스 부분(102)과 결합될 수 있다. 기판(106)은 프로세싱을 위해서 퍽(104) 상에 배치된다. 기판(106)은 프로세싱 챔버의 벽(114) 내에 배치된 슬릿 밸브(130)를 통해서 프로세싱 챔버 내로 배치될 것이다. 퍽(104)은 하나 이상의 알루미늄 산화물, 알루미늄 질화물, 실리콘 산화물, 실리콘 탄화물, 실리콘 질화물, 티타늄 산화물, 지르코늄 산화물, 및 이들의 혼합물 중 하나 이상을 포함할 수 있다. 퍽(104)은, 세라믹 분말의 고온 프레싱 및 소결에 의해서 제조되고 이어서 소결된 형태를 가공하여 퍽(104)의 최종 형상으로 성형된, 세라믹으로 이루어진 일체형 단일체(monolith)일 수 있다. 일 실시예에서, 퍽(104)을 에칭하여 퍽(104)의 최종 형상으로 성형할 수 있을 것이다.
진공 펌프(108)를 이용하여 프로세싱 챔버를 원하는 압력으로 배기할 수 있을 것이다. 베이스 부분(102)은 전원(110)에 의해서 바이어싱될 수 있다. 일 실시예에서, 전원(110)은 RF 파워 공급부(power supply)일 수 있다. 일 실시예에서, 다수의 전원(110)이 베이스 부분(102)과 결합될 수 있다. 냉각 유체가 정전기 척 조립체의 베이스(102)로 공급될 수 있다. 일 실시예에서, 냉각 유체가 물을 포함할 수 있다. 다른 실시예에서, 냉각 유체가 글리콜을 포함할 수 있다. 냉각 유체는 두 개의 라인(118, 120)을 통해서 베이스(102)의 두 개의 상이한 영역 또는 구역으로 공급될 수 있다. 밸브(132, 134)가 계량작용을 하여(metering) 베이스(102)의 두 영역으로의 냉각 유체의 유동을 제어할 수 있다. 일 실시예에서, 각 영역은 독립된 유체 공급부(112)를 구비한다. 밸브(132, 134)가 제어부(도시 하지 않음)에 의해서 개방되고 폐쇄될 수 있다. 또한, 제어부는 밸브(132, 134)들이 개방되는 정도를 제어함으로써 내측 및 외측 영역으로 유동하는 냉각 유체의 양을 제어할 수 있을 것이다. 내측 및 외측의 가열 코일이 존재하여 내측 및 외측의 가열 영역을 가열할 수 있을 것이다.
정전기 척 조립체의 베이스(102)내의 내측 및 외측 냉각 영역은 내측 및 외측 영역의 온도들을 독립적으로 제어할 수 있도록 허용하며, 그에 따라 기판(106)의 반경방향에 걸쳐 서로 상이한 프로세싱 속도(rates) 또는 특성(characteristics)을 달성할 수 있게 된다. 그와 같은 경우에, 서로 상이한 온도들이 베이스(102)의 내측 및 외측 영역 내에서 유지되어 기판(106)의 중심 및 주변 부분들에 걸친 온도에 영향을 미칠 수 있으며, 그에 따라 기판(106)의 프로세싱 동안에 발생되는 임의의 가변적인 가스 종(species) 분포나 열 로드(heat load)를 상쇄(counteracting)시킬 수 있게 된다. 예를 들어, 가스 종이 기판(106)의 중심 부분 보다 둘레 부분에서 덜 활성적인 경우에, 기판(106)에 걸친 보다 균일한 프로세싱 속도 또는 프로세스 특성을 제공하기 위해서 내측 영역의 온도를 감소시킬 수 있을 것이다.
퍽(104)과 기판(106) 사이로 열전달 유체를 도입하는 것으로 인해서, 기판(106)의 추가적인 온도 제어가 이루어질 수 있다. 일 실시예에서, 열 전달 유체가 가스를 포함할 수 있다. 다른 실시예에서, 열 전들 유체가 헬륨을 포함할 수 있다. 헬륨은 유체 공급부(116)로부터 라인(122, 124)을 통해서 내측 및 외측 영역으로 도입될 수 있다. 밸브(128)가 라인(122, 124)을 따라 개방되고 폐쇄되어 기판(106)의 후방면으로 헬륨 가스가 도입될 수 있게 허용할 수 있다. 밸브(128)는 그 밸브(128)를 개방하고 폐쇄하는 제어부에 의해서 제어될 수 있다. 추가적으로, 기판(106)의 후방면으로 도입되는 가스의 양을 제어하기 위해서, 밸브(128)가 개방되는 정도를 제어부가 제어할 수 있을 것이다. 내측 영역과 외측 영역 사이의 경계를 규정하기 위해서, 브레이크(break; 126)가 내측 영역 및 외측 영역 사이에 존재할 수 있다. 브레이크(126)는 내측 영역과 외측 영역 사이를 통과하는 열 전달 가스의 양을 감소시킬 수 있다. 열전달 가스는 퍽(104)과 기판(106) 사이의 열 전달 속도를 조정하도록 선택될 수 있을 것이다.
도 2는 본 발명의 일 실시예에 따른 정전기 척 조립체(200)의 단면을 도시한다. 조립체(200)는 본딩 층(204)에 의해서 베이스(206)에 본딩된 퍽(202)을 포함한다. 본딩 층(204)은 퍽(202)과 베이스(206) 사이의 열적 커플링(즉, 열 교환)을 촉진한다. 일 실시예에서, 본딩 층(204)은 퍽(202)을 베이스(206)에 기계적으로 본딩시키는 접착 층이다. 대안(도시하지 않음)으로서, 조립체(200)가 퍽(202)을 베이스(206)에 체결하도록 구성된 하드웨어(예를 들어, 클램프, 나사 등)을 포함할 수 있다. 퍽(202)과 베이스(206)의 온도는, 예를 들어 온도 모니터에 커플링된 열전 쌍과 같은, 다수의 센서들(도시 하지 않음)을 이용하여 모니터링될 수 있을 것이다. 조립체(200)는 RF 전원(212)에 의해서 바이어싱될 수 있다.
조립체(200)는 내측 영역(224) 및 외측 영역(226)으로 분할될 수 있다. 내측 냉각 채널(214)이 베이스(206)의 내측 영역(224) 내에 존재할 수 있을 것이다. 외측 냉각 채널(216)이 베이스(206)의 외측 영역(226) 내에 존재할 수 있을 것이다. 냉각 유체는 냉각 유체 공급부(210)로부터 채널(214, 216)로 공급될 수 있을 것이다. 채널(214, 216)로 공급되는 냉각 유체의 양은 밸브(228)에 의해서 제어될 수 있다. 일 실시예에서, 독립된 냉각 유체 공급부(210)가 내측 냉각 채널(214) 및 외측 냉각 채널(216)에 대해서 존재할 수 있다.
내측 및 외측 냉각 채널(214, 216) 모두는 퍽(202)을 향해서 냉각 채널(214, 216)의 위쪽에서 연장하는 하나 이상의 홈(218)을 구비할 수 있다. 홈(218)들은, 그러한 홈(218)이 없을 때에 채널(214, 216)이 가지는 표면적 보다 큰 표면적을 집합적으로(collectively) 가진다. 증대된 표면적은 열 전달 속도를 높일 것이며, 그에 따라 조립체(200)의 냉각 유체에 대한 응답성을 높일 것이다. 내측 냉각 채널(214)의 홈(218)은 화살표("A")로 표시된 바와 같이 동일한 높이 및 화살표("B")로 표시된 바와 같은 폭을, 그리고 외측 냉각 채널(216)은 화살표("C")로 표시된 바와 같은 높이 및 화살표("D")로 표시된 바와 같은 폭을 가질 수 있다. 홈(218)은 냉각 채널(214, 216) 보다 좁은 폭을 가질 것이다. 추가적으로, 내측 냉각 채널(214) 상에 존재하는 홈(218)의 개체수는 외측 냉각 채널(216) 상의 홈의 개체수 와 동일할 수 있다. 일 실시예에서, 홈(218)의 높이("A")와 높이("C")가 서로 상이할 수 있다. 다른 실시예에서, 홈(218)의 폭("B")과 폭("D")이 서로 상이할 수 있다. 홈(218)은 냉각 유체가 퍽(202)에 보다 근접하여 도달할 수 있게 하고 그에 따라 베이스(206)와 퍽(202) 사이의 보다 양호한 열 전달을 제공할 수 있게 한다.
퍽(202)과 기판(도시 하지 않음) 사이의 열 전달은 기판과 퍽(202) 사이에 열 전달 가스를 도입함으로써 제어될 수 있을 것이다. 열 전달 가스는 가스 공급원(208)으로부터 퍽(202)의 내측 영역(224) 및 외측 영역(226)으로 도입될 수 있을 것이다. 일 실시예에서, 독립적인 가스 공급원(208)이 내측 영역(224) 및 외측 영역(226) 각각에 대해서 존재할 수 있을 것이다. 다른 실시예에서, 내측 영역(224) 및 외측 영역(226)에 대해서 서로 다른 열 전달 가스를 이용할 수도 있을 것이다. 밸브(230)는 퍽(202)으로 도입되는 열 전달 가스의 양을 제어할 것이다. 밀봉 밴드(222)는 내측 영역(224) 및 외측 영역(226) 사이의 경계를 규정할 것이고 내측 영역(224) 및 외측 영역(226) 사이에서 이동할 수 있는 열 전달 가스의 양을 감소시킬 것이다. 밀봉 밴드(222)는 또한 열 전달 가스가 프로세싱 영역으로 들어가는 것을 방지할 수 있고 또는 기판 뒤쪽으로 빠져나가는 것을 방지할 수 있다.
프로세싱 중에 기판이 놓이는 퍽(202)의 표면상에, 하나 이상의 메사(220)가 존재할 수 있다. 메사(220)는 다양한 크기를 가지며 퍽(202)으로부터 위쪽으로 연장하는 원통형 마운드(mound), 포스트, 피라미드, 원뿔체, 장방형 블록, 범프 또는 그 조합을 포함할 수 있다. 일 실시예에서, 메사(220)의 높이는 약 10 미크론 내지 약 50 미크론, 그리고 메사(220)의 폭(또는 지름)은 약 500 미크론 내지 약 5000 미크론이 될 수 있을 것이다. 일 실시예에서, 성형된 메사(220)를 형성하기 위해 퍽(202)의 물질을 침식시켜 에칭하기 위해서 비드 크기가 적절하게 작은, 예를 들어 수십 미크론인 비드를 이용하여 퍽(202)을 비드 브래스팅(bead blasting)함으로써 메사(220)를 형성할 수 있을 것이다.
도 3은 본 발명의 일 실시예에 따른 정전기 척 조립체의 베이스 부분(300)에 대한 냉각 패턴을 개략적으로 도시한다. 베이스 부분(300)은 내측 냉각 영역(302) 및 외측 냉각 영역(304)을 포함한다. 다수의 홈(308)이 외측 냉각 영역(304) 내에 존재할 수 있다. 하나의 홈(310)이 두 개로 분할되고 승강 핀 개구부(306)를 둘러쌀 수 있다. 승강 핀 개구부(306)를 지난 후에, 홈(310)이 재결합될 수 있다. 내측 냉각 채널(302)이 또한 하나 이상의 홈(312)을 구비할 수 있다. 도 3에 도시된 바와 같이, 내측 냉각 채널(302)은 수차례 꺽일 수(turn back) 있다. 일 실시예에서, 내측 냉각 채널(302)은 5차례 꺽일 수 있을 것이다. 한편, 외측 냉각 채널(304)은 내측 냉각 채널(302)을 실질적으로 둘러쌀 수 있다. 외측 냉각 채널(304)이 하나 이상의 승강 핀 개구부(306)를 둘러쌀 수 있을 것이다.
도 4는 본 발명의 일 실시예에 따른 정전기 척 조립체를 위한 퍽(400)의 평면도이다. 퍽(400)은 내측 영역(404) 및 외측 영역(402)으로 분할될 수 있을 것이다. 내측 영역(404)은 내측 밀봉 밴드(408)에 의해서 외측 영역(402)으로부터 격리될 수 있을 것이다. 외측 영역(402)은 외측 밀봉 밴드(406)에 의해서 챔버 프로세싱 영역으로부터 격리될 수 있을 것이다. 열 전달 가스 유입구(410, 414) 및 열 전달 가스 배출구(412, 416)가 존재하여 내측 영역(404) 및 외측 영역(402)의 내외로 열 전달 가스를 도입하고 제거할 수 있을 것이다. 메사(418)가 내측 영역(404) 및 외측 영역(402) 내에 존재하여, 퍽(400)과 기판 사이의 영역으로 열 전달 가스가 유동할 수 있게 허용하면서도 기판의 뒷면과 접촉할 수 있게 허용한다. 일 실시예에서, 외측 영역(402)내의 메사(418)는 내측 영역(404)내의 메사(418)와 다른 패턴으로 정렬될 수 있을 것이다. 외측 영역(402)내의 메사(418)는 내측 영역(404)을 둘러싸는 메사(418)의 하나 이상의 열(rows)을 가지는 패턴으로 정렬될 수 있을 것이다. 내측 영역(404)의 메사(418)는 퍽(400)의 면에 걸쳐 실질적으로 선형 배열로 정렬될 수 있을 것이다. 내측 영역(404)과 외측 영역(402) 내의 상이한 패턴들은 기판의 뒤쪽에서의 열 전달 가스의 유동을 변화시킬 것이며 그에 따라 기판의 온도에 영향을 미칠 것이다. 내측 영역(404) 및 외측 영역(402)의 패턴들을 미리 결정하여 내측 영역(404) 및 외측 영역(402)에 대한 열 전달 속도를 최적화할 수 있을 것이다. 추가적으로, 메사(418)의 형상을 미리 결정하여 기판 뒤쪽의 열 전달 가스의 유동을 제어할 수 있을 것이다. 메사(418) 형상의 다양한 조합은 열 전달 속도를 변화시킬 것이며 그에 따라 바람직하게 변화시킬 수도 있을 것이다. 메사(418)의 형상 및 패턴은 각 영역(402, 404) 내에서 서로 상이할 수 있다. 일 실시예에서, 내측 영역(404)의 중심에 대응하는 영역이 내측 영역(404)의 엣지에 대응하는 영역과 상이한 패턴 및/또는 메사(418) 형상을 가질 수 있을 것이다.
정전기 척 조립체는 필요에 따라 개장(refurbish)될 수 있을 것이다. 일반적으로, 퍽 표면 상의 메사는 보수(redone)될 필요가 있을 것이다. 메사는 시간 경과에 따라 마모될 것이며, 그에 따라 기판 위쪽의 열 전달 가스의 유동을 원래 의도하였던 방식으로 더 이상 제어할 수 없게 될 수 있다. 그에 따라, 메사가 없는 실질적으로 평평한 표면으로 퍽 표면을 가공함으로써, 조립체를 개장할 수 있을 것이다. 이어서, 새로운 메사가 원래 메사와 실질적으로 동일한 패턴으로 퍽 표면상에 패턴닝될 수 있을 것이다. 이어서, 개장된 조립체가 원래 조립체와 실질적으로 동일하게 기능을 할 수 있을 것이다.
정전기 척 조립체의 베이스 부분 내의 냉각 채널로부터 연장하는 홈을 구비하는 것은 조립체로부터 기판으로의 열 전달 속도를 보다 잘 제어할 수 있게 한다. 추가적으로, 퍽 상의 다양한 패턴들은 기판 뒤쪽의 가스 유동을 변화시키며, 그에 따라 기판으로의 열 전달 속도를 변화시킨다. 베이스 내의 홈들 및 퍽 상의 메사의 패턴을 미리 결정함으로서, 정전기 척 조립체에 대한 열 전달 속도는 프로세스의 특정 요구에 맞춰 최적화될 수 있을 것이다.
본 발명의 실시예와 관련하여 설명하였지만, 본 발명의 다른 실시예들 및 추가적인 실시예들도 본 발명의 기본적인 범위 내에서 용이하게 인식할 수 있을 것이며, 본 발명의 범위는 특허청구범위에 의해서 결정될 것이다.
도 1은 본 발명의 일 실시예에 따른 프로세싱 장치(100)를 개략적으로 도시한 단면도이다.
도 2는 본 발명의 일 실시예에 따른 정전기 척 조립체(200)의 단면도이다.
도 3은 본 발명의 일 실시예에 따른 정전기 척 조립체의 베이스 부분(300)의 냉각 패턴을 도시한 도면이다.
도 4는 본 발명의 일 실시예에 따른 정전기 척 조립체를 위한 퍽(400)을 도시한 평면도이다.

Claims (15)

  1. 정전기 척 베이스(206)로서:
    내부에 형성된 내측 냉각 채널(214) 및 상기 내측 냉각 채널을 실질적으로 둘러싸는 외측 냉각 채널(216)을 가지는 정전기 척 베이스 본체,
    상기 내측 냉각 채널(214)과 커플링되고 상기 내측 냉각 채널로부터 연장하는 하나 또는 둘 이상의 제 1 홈(218); 그리고
    상기 외측 냉각 채널(216)과 커플링되고 상기 외측 냉각 채널로부터 연장하는 하나 또는 둘 이상의 제 2 홈(218)을 포함하는
    정전기 척 베이스.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 내측 냉각 채널이 제 1 패턴으로 정렬되고,
    상기 외측 냉각 채널은 상기 제 1 패턴과 상이한 제 2 패턴으로 정렬되는
    정전기 척 베이스.
  4. 제 1 항에 있어서,
    상기 내측 냉각 채널이 상기 베이스를 통해서 감기고(wind) 수차례 꺽이며,
    상기 내측 냉각 채널은 5차례 또는 그 초과로 꺽이는
    정전기 척 베이스.
  5. 제 1 항에 있어서,
    상기 하나 또는 둘 이상의 제 1 홈의 폭은 상기 하나 또는 둘 이상의 제 2 홈의 폭과 실질적으로 동일하고,
    상기 하나 또는 둘 이상의 제 1 홈의 높이는 상기 하나 또는 둘 이상의 제 2 홈의 높이와 실질적으로 동일한
    정전기 척 베이스.
  6. 제 1 항에 있어서,
    상기 하나 또는 둘 이상의 제 1 홈 또는 하나 또는 둘 이상의 제 2 홈 중의 하나 이상의 홈이 변화되는(varying) 폭을 가지며,
    상기 변화되는 폭을 가지는 상기 하나 이상의 홈이 분할되고 승강 핀 개구부(306)를 둘러싸는
    정전기 척 베이스.
  7. 삭제
  8. 삭제
  9. 정전기 척 조립체로서:
    퍽(400), 및 상기 퍽에 인접하여 배치된 정전기 척 베이스 본체를 포함하며,
    상기 퍽은 퍽 본체, 및 상기 퍽 본체에 걸쳐 둘 이상의 상이한 패턴으로 정렬된 다수의 메사(418)를 포함하고,
    상기 둘 이상의 상이한 패턴은 상기 퍽(400)의 면에 걸쳐 실질적으로 선형 배열 상태인 적어도 제 1 내측 패턴 및 상기 제 1 내측 패턴을 둘러싸는 하나 또는 둘 이상의 열(rows)을 가지는 적어도 제 1 외측 패턴을 포함하고, 그리고
    상기 정전기 척 베이스 본체는 상기 본체 내에 형성된 내측 냉각 채널 및 외측 냉각 채널을 가지고, 상기 외측 냉각 채널은 상기 내측 내각 채널을 실질적으로 둘러싸고, 하나 또는 둘 이상의 제 1 홈이 상기 내측 냉각 채널과 커플링되고 상기 내측 냉각 채널로부터 연장하고 그리고 하나 또는 둘 이상의 제 2 홈이 상기 외측 냉각 채널과 커플링되고 상기 외측 냉각 채널로부터 연장하는
    정전기 척 조립체.
  10. 삭제
  11. 제 9 항에 있어서,
    상기 내측 냉각 채널이 제 1 패턴으로 정렬되고, 상기 외측 냉각 채널이 상기 제 1 패턴과 상이한 제 2 패턴으로 정렬되는
    정전기 척 조립체.
  12. 제 9 항에 있어서,
    상기 내측 냉각 채널이 상기 베이스를 통해서 감기고(wind) 수차례 꺽이며,
    상기 내측 냉각 채널은 5차례 또는 그 초과로 꺽이는
    정전기 척 조립체.
  13. 제 9 항에 있어서,
    상기 하나 또는 둘 이상의 제 1 홈의 폭은 상기 하나 또는 둘 이상의 제 2 홈의 폭과 실질적으로 동일하고,
    상기 하나 또는 둘 이상의 제 1 홈의 높이는 상기 하나 또는 둘 이상의 제 2 홈의 높이와 실질적으로 동일한
    정전기 척 조립체.
  14. 제 9 항에 있어서,
    상기 하나 또는 둘 이상의 제 1 홈 또는 상기 하나 또는 둘 이상의 제 2 홈 중 하나 이상의 홈이 변화되는 폭을 가지며,
    상기 변화되는 폭을 가지는 상기 하나 이상의 홈이 분할되고 승강 핀 개구부(306)를 둘러싸는
    정전기 척 조립체.
  15. 정전기 척 조립체를 개장(改裝)하는 방법으로서:
    정전기 척 조립체의 퍽 표면을 가공하여 실질적으로 평평한 퍽 표면을 형성하는 단계로서, 상기 정전기 척 조립체는 퍽 본체의 퍽 표면에 걸쳐서 둘 이상의 상이한 패턴으로 정렬된 다수의 메사를 구비하고, 상기 정전기 척 조립체는 또한 정전기 척 베이스 본체 내에 형성된 하나 또는 둘 이상의 냉각 채널을 구비하고 상기 퍽 본체에 커플링된 정전기 척 베이스 본체 및 상기 하나 또는 둘 이상의 냉각 채널들 중 하나 이상에 형성된 다수의 홈을 포함하는, 평평한 퍽 표면을 형성하는 단계; 그리고
    실질적으로 동일하게 둘 이상의 서로 상이한 패턴으로 정렬된 다수의 메사를 형성하기 위해, 상기 퍽 표면을 재패터닝하는 단계를 포함하는
    정전기 척 조립체 개장 방법.
KR1020080100152A 2007-10-12 2008-10-13 정전기 척 조립체 KR101135242B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/871,807 2007-10-12
US11/871,807 US7649729B2 (en) 2007-10-12 2007-10-12 Electrostatic chuck assembly

Publications (2)

Publication Number Publication Date
KR20090037839A KR20090037839A (ko) 2009-04-16
KR101135242B1 true KR101135242B1 (ko) 2012-04-12

Family

ID=40533966

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080100152A KR101135242B1 (ko) 2007-10-12 2008-10-13 정전기 척 조립체

Country Status (5)

Country Link
US (1) US7649729B2 (ko)
JP (1) JP5523326B2 (ko)
KR (1) KR101135242B1 (ko)
TW (1) TWI399825B (ko)
WO (1) WO2009049054A1 (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
WO2011017060A2 (en) 2009-08-07 2011-02-10 Applied Materials, Inc. Dual temperature heater
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
KR101896127B1 (ko) 2010-09-08 2018-09-07 엔테그리스, 아이엔씨. 고 전도성 정전 척
US10595365B2 (en) * 2010-10-19 2020-03-17 Applied Materials, Inc. Chamber lid heater ring assembly
KR102103136B1 (ko) * 2011-09-30 2020-04-22 어플라이드 머티어리얼스, 인코포레이티드 온도 제어되는 정전 척
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
KR20140070049A (ko) * 2012-11-30 2014-06-10 삼성디스플레이 주식회사 기판 지지 유닛 및 이를 갖는 기판 처리 장치
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
KR101986266B1 (ko) * 2013-03-29 2019-06-07 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US10079165B2 (en) 2014-05-20 2018-09-18 Applied Materials, Inc. Electrostatic chuck with independent zone cooling and reduced crosstalk
US10186444B2 (en) * 2015-03-20 2019-01-22 Applied Materials, Inc. Gas flow for condensation reduction with a substrate processing chuck
KR20180020177A (ko) * 2015-06-05 2018-02-27 와틀로 일렉트릭 매뉴팩츄어링 컴파니 고 열전달 웨이퍼 지지 받침 장치
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US11837479B2 (en) 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
US20180148835A1 (en) * 2016-11-29 2018-05-31 Lam Research Corporation Substrate support with varying depths of areas between mesas and corresponding temperature dependent method of fabricating
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
KR102654628B1 (ko) * 2020-05-25 2024-04-03 엔지케이 인슐레이터 엘티디 정전 척
US11495483B2 (en) * 2020-10-15 2022-11-08 Applied Materials, Inc. Backside gas leakby for bevel deposition reduction
TWI772005B (zh) * 2021-04-28 2022-07-21 錼創顯示科技股份有限公司 半導體晶圓承載結構及有機金屬化學氣相沉積裝置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020076939A1 (en) * 2000-10-05 2002-06-20 Applied Materials, Inc. High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
US20050045106A1 (en) * 2002-09-19 2005-03-03 Boyd Wendell G. Electrostatic chuck having a low level of particle generation and method of fabricating same

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4628991A (en) 1984-11-26 1986-12-16 Trilogy Computer Development Partners, Ltd. Wafer scale integrated circuit testing chuck
JPH0432226A (ja) * 1990-05-29 1992-02-04 Sony Corp ドライエッチング装置
US5155652A (en) 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5883778A (en) 1994-02-28 1999-03-16 Applied Materials, Inc. Electrostatic chuck with fluid flow regulator
US5548470A (en) * 1994-07-19 1996-08-20 International Business Machines Corporation Characterization, modeling, and design of an electrostatic chuck with improved wafer temperature uniformity
US5583736A (en) * 1994-11-17 1996-12-10 The United States Of America As Represented By The Department Of Energy Micromachined silicon electrostatic chuck
JPH09157846A (ja) 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US6440221B2 (en) 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5754391A (en) 1996-05-17 1998-05-19 Saphikon Inc. Electrostatic chuck
US6015761A (en) 1996-06-26 2000-01-18 Applied Materials, Inc. Microwave-activated etching of dielectric layers
US6107608A (en) 1997-03-24 2000-08-22 Micron Technology, Inc. Temperature controlled spin chuck
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6310755B1 (en) 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6853067B1 (en) 1999-10-12 2005-02-08 Microassembly Technologies, Inc. Microelectromechanical systems using thermocompression bonding
US6786982B2 (en) 2000-01-10 2004-09-07 General Electric Company Casting having an enhanced heat transfer, surface, and mold and pattern for forming same
JP3448737B2 (ja) 2000-05-25 2003-09-22 住友重機械工業株式会社 ウエハーチャック用冷却板及びウエハーチャック
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
JP3921234B2 (ja) 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
DE10221558B4 (de) 2002-05-15 2005-07-21 Krauss-Maffei Kunststofftechnik Gmbh Formenteil, Formwerkzeug und Verfahren zum Spritzgießen von Kunststoffartikeln
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US7195693B2 (en) 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
US7347901B2 (en) 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
KR100557675B1 (ko) * 2003-12-22 2006-03-10 어댑티브프라즈마테크놀로지 주식회사 웨이퍼를 냉각하기 위한 냉각 유로를 가지는 척 베이스
TWM264102U (en) * 2004-06-23 2005-05-11 Bing-Wen Tzeng Improvement on cooling structure for mold
US7436645B2 (en) 2004-10-07 2008-10-14 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7544251B2 (en) 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7648914B2 (en) 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
JP4869610B2 (ja) * 2005-03-17 2012-02-08 東京エレクトロン株式会社 基板保持部材及び基板処理装置
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP2006245621A (ja) * 2006-06-19 2006-09-14 Hitachi High-Technologies Corp プラズマ処理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020076939A1 (en) * 2000-10-05 2002-06-20 Applied Materials, Inc. High density plasma chemical vapor deposition (HDP-CVD) processing of gallium arsenide wafers
US20050045106A1 (en) * 2002-09-19 2005-03-03 Boyd Wendell G. Electrostatic chuck having a low level of particle generation and method of fabricating same

Also Published As

Publication number Publication date
WO2009049054A1 (en) 2009-04-16
TW200926349A (en) 2009-06-16
US7649729B2 (en) 2010-01-19
JP5523326B2 (ja) 2014-06-18
JP2011501418A (ja) 2011-01-06
TWI399825B (zh) 2013-06-21
KR20090037839A (ko) 2009-04-16
US20090097184A1 (en) 2009-04-16

Similar Documents

Publication Publication Date Title
KR101135242B1 (ko) 정전기 척 조립체
CN106469666B (zh) 基座及基质加工设备
US8696862B2 (en) Substrate mounting table, substrate processing apparatus and substrate temperature control method
US10403534B2 (en) Pixilated cooling, temperature controlled substrate support assembly
CN107112275B (zh) 用于基板处理腔室的边缘环
US8555810B2 (en) Plasma dry etching apparatus having coupling ring with cooling and heating units
US20110180233A1 (en) Apparatus for controlling temperature uniformity of a showerhead
WO2009089245A2 (en) Heated showerhead assembly
TWI674646B (zh) 用於電漿處理的雙區式加熱器
US11769684B2 (en) Wafer heater with backside and integrated bevel purge
JP2022511063A (ja) 温度の影響を受けやすいプロセスのための改善された熱的結合を有する静電チャック
KR102345663B1 (ko) 냉각제 가스 존들 및 대응하는 그루브 및 단극성 정전 클램핑 전극 패턴들을 갖는 정전 척들
US20070044914A1 (en) Vacuum processing apparatus
TWI743806B (zh) 高蝕刻率均勻性之晶圓承載系統及其高蝕刻率均勻性晶圓載盤
KR20210004056A (ko) 샤워 헤드 유닛 및 이를 구비하는 기판 처리 시스템
US20220002866A1 (en) Pedestal including vapor chamber for substrate processing systems
WO2023059376A1 (en) Substrate support

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190401

Year of fee payment: 8