KR101084759B1 - 그래핀 제조방법 - Google Patents

그래핀 제조방법 Download PDF

Info

Publication number
KR101084759B1
KR101084759B1 KR1020090099611A KR20090099611A KR101084759B1 KR 101084759 B1 KR101084759 B1 KR 101084759B1 KR 1020090099611 A KR1020090099611 A KR 1020090099611A KR 20090099611 A KR20090099611 A KR 20090099611A KR 101084759 B1 KR101084759 B1 KR 101084759B1
Authority
KR
South Korea
Prior art keywords
thin film
graphene
laser
metal thin
carbon
Prior art date
Application number
KR1020090099611A
Other languages
English (en)
Other versions
KR20110042782A (ko
Inventor
이병훈
황현상
조천흠
Original Assignee
광주과학기술원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 광주과학기술원 filed Critical 광주과학기술원
Priority to KR1020090099611A priority Critical patent/KR101084759B1/ko
Publication of KR20110042782A publication Critical patent/KR20110042782A/ko
Application granted granted Critical
Publication of KR101084759B1 publication Critical patent/KR101084759B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/184Preparation
    • C01B32/186Preparation by chemical vapour deposition [CVD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/12Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electromagnetic waves
    • B01J19/122Incoherent waves
    • B01J19/126Microwaves
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Toxicology (AREA)
  • Metallurgy (AREA)
  • General Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Nanotechnology (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

그래핀 제조방법이 개시된다. 레이저 열처리 공정을 이용하여 수 십나노초 이내의 단시간내에 금속 박막 위에 대면적 그래핀을 제조할 수 있다. 카본이 함유된 금속 박막 또는 금속 박막 상에 형성된 카본 박막을 레이저로 수십 나노초간 조사하여 가열한다. 레이저 조사가 끝난 후, 냉각과정에서 석출되는 카본 원자들은 금속 박막위에서 재정렬되어 그래핀 박막을 형성한다. 레이저를 이용하여 극히 단시간 이내에 그래핀 제조공정이 이루어지기 때문에 기판에 주는 열적 부담이 거의 없어 소자가 형성된 기판이나 저온 공정이 필요한 글래스 기판 등에 직접 적용이 가능하다.
그래핀(graphene), 대면적 기판, 저온 공정, 레이저 열처리, 석출

Description

그래핀 제조방법{METHOD OF FORMING GRAPHENE}
본 발명은 그래핀 제조방법에 관한 것으로, 보다 상세하게는 카본이 함유된 금속 박막 또는 금속박막 상에 형성된 카본 박막에 레이저를 조사한 후 냉각과정에서 석출되는 카본이 재정렬됨으로써 형성되는 그래핀 제조방법에 관한 것이다.
그래핀(graphene)은 단일 원자두께의 탄소시트로 2004년 영국 맨체 터 대학의 A. Geim과 K. Novoselov가 셀로판테이프로 흑연 조각편을 반복하여 박리시키는 방법으로 단층 그래핀을 얻는 방법을 발견하였다.
그래핀은 탄소 원자들이 육각형 판상구조를 이루며 두께가 원자 한 개 수준에 불과한 2차원 탄소 구조체로서 밴드갭이 0(zero)인 물질이며 상온 캐리어 이동도와 열전도율이 매우 높고 전기전도도가 매우 뛰어난 성질을 가지고 있다.
상기와 같은 장점으로 그래핀은 실리콘 기반의 트랜지스터를 대체할 차세대 트랜지스터 용 기판 및 전극 소재로 주목을 받고 있다.
한편, 그래핀을 차세대 트랜지스터, 전극 소재 및 디스플레이 등에 응용하기 위하여는 대면적의 그래핀을 제조하는 것이 필수적이다.
대면적의 그래핀을 제조하기 위하여 고진공 분위기에서 SiC 웨이퍼를 고온 열처리를 하여 Si을 제거하는 방법으로 그래핀 막을 형성하거나 실리콘 웨이퍼 상에 니켈 박막을 증착하고 그 위에 그래핀을 화학기상증착법으로 성장시키는 방법이 개시된 바 있다.
상기와 같은 그래핀 제조방법들은 SiC 웨이퍼 가격이 고가이고, SiC기판 또는 금속 박막상에 형성된 그래핀 막을 떼어내는 과정에서 결함이 발생될 뿐 아니라, 고온 열처리 공정으로 인하여 하부 기판이 가열되어 소자가 이미 제작된 경우, 열에 약한 유리기판등에 직접 사용할 수 없다는 문제점이 있다.
본 발명은 레이저 열처리를 이용하여 종래 기술이 가지는 문제점인 고온 열처리에 따른 하부 기판의 가열문제를 해결하고 소자가 제작되는 기판에 그래핀을 직접 형성하는 그래핀 제조방법을 제공하는 데 그 목적이 있다.
상기 목적을 달성하기 위한 본 발명의 바람직한 실시예에 의한 그래핀 제조방법은 금속 박막을 제공하는 단계, 상기 금속 박막 상에 카본 박막을 형성하는 단계, 상기 카본 박막에 레이저를 조사하는 단계, 레이저 조사에 의해 형성된 금속 및 카본의 혼합층을 냉각시키는 단계를 포함한다.
상기한 바와 같은 본 발명의 그래핀 제조방법에 따르면 다음과 같은 효과가 있다.
첫째, 고온 열처리로 형성된 그래핀을 소자가 제작되는 기판에 전사하는 공정을 포함하는 종래기술과 달리 소자가 제작되는 기판에 직접 그래핀을 형성할 수 있다.
둘째, 레이저 열처리를 이용하므로 종래기술에서와 같이 고온 열처리 공정에 따른 하부 기판의 가열문제가 발생하지 않아 디스플레이, 태양전지 등에 사용되는 전극 또는 기판물질을 간편한 공정으로 제공할 수 있다.
본 발명의 효과는 이상에서 언급한 효과들에 제한되지 않으며, 언급되지 않은 또 다른 효과는 청구범위의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나, 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성요소를 지칭한다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예에 의한 그래핀 제조방법에 대하여 상세히 설명하기로 한다. 참고로 본 발명을 설명함에 있어서 관련된 공지 기능 혹은 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐 릴 수 있다고 판단되는 경우 그 상세한 설명을 생략한다.
도 1a 내지 도 1d는 본 발명의 바람직한 실시예에 의한 그래핀(40) 제조과정을 설명하기 위한 공정도이다.
본 발명의 바람직한 실시예에 의한 그래핀(40) 제조방법은 금속 박막(10)을 제공하는 단계, 상기 금속 박막(10) 상에 카본 박막(20)을 형성하는 단계, 상기 카본 박막(20)에 레이저를 조사하는 단계, 상기 레이저 조사에 의해 형성된 금속 및 카본의 혼합층을 냉각시키는 단계를 포함한다.
금속 박막(10)은 그래핀(40) 형성의 기초가 되는 것으로, 니켈(Ni), 구리(Cu) 및 텅스텐(W) 중 선택된 적어도 하나가 될 수 있다.
그러나, 상기 금속 박막(10)의 재질은 상기 물질들에 한정되는 것은 아니며 레이저 조사에 의해 금속 박막(10)이 용융시 카본 원자의 금속내 고용도가 낮거나 카본 원자와 화합물 형성이 용이하지 않은 물질이면 어느 것이나 가능하다.
금속 박막(10) 상에 카본 박막(20)을 형성하는 단계는 스퍼터링(sputtering), 원자층증착(Atomic Layer Deposition: ALD), 물리적기상증착(Physical Vapor Deposition: PVD) 및 화학적기상증착(Chemical Vapor Deposition: CVD) 중 선택된 어느 하나에 의해 상기 금속 박막(10) 상에 카본 박막(20)을 형성한다.
도 1a는 금속 박막(10) 상에 카본 박막(20)이 형성된 것을 나타낸 도면이다.
카본 박막(20)에 레이저를 조사하는 단계는 파장이 193nm (ArF), 248nm (KrF), 308nm (XeCl) 및 353 nm (XeF) 중 적어도 하나 선택된 엑시머 레이저를 금 속 박막(10) 상에 형성된 카본 박막(20)에 조사하는 것으로, 바람직하게는 파장이 308nm인 XeCl 단파장 레이저를 상기 카본 박막(20)에 조사한다.
레이저 조사 단계에서 레이저의 강도는 0.5~50mJ/cm2 범위를 가진다. 이는 상기 조사되는 레이저의 강도가 0.5mJ/cm2 미만인 경우에는 금속 박막(10)이 용융되지 않으며 50mJ/cm2를 초과하는 경우는 광압에 의한 물리적인 식각(sputtering)현상이 생기기 때문이다.
또한, 상기 레이저 조사 시간은 5ns~100ns에서 이루어지는 바, 5ns 미만에서는 금속 박막(10)이 용융될 시간이 충분하지 않으며 100ns 를 초과하는 경우는 하부 기판에 열이 전도되어 소자에 영향을 주기 때문에 레이저 열처리 공정을 사용하는 목적과 배치되기 때문이다.
상기 레이저 조사 시간은 본 발명의 바람직한 실시예에 의한 것으로, 그래핀이 형성되는 층과 소자가 제작되어있는 층(미도시)의 열적 절연거리에 따라 다소 증가하거나 감소할 수 있다.
도 1b는 금속 박막(10) 상에 형성된 카본 박막(20)에 레이저가 조사되는 것을 개략적으로 나타낸 도면이며, 도 1c는 레이저에 의해 금속 박막(10)과 카본 박막(20)이 용융되면서 금속 및 카본의 혼합층(30)이 형성된 것을 나타낸 도면이다.
금속 및 카본의 혼합층(30)을 냉각시키는 단계는 레이저 조사에 의해 용융·혼합된 금속과 카본의 혼합층(30)을 자연냉각 또는 강제 냉각시킴으로써 카본 원자가 용융 금속으로부터 석출 및 재배열 과정을 통하여 그래핀(40)을 형성하는 과정 을 말한다.
도 2a 내지 도 2d는 본 발명의 바람직한 다른 실시예에 의한 그래핀(45) 제조과정을 설명하기 위한 공정도이다.
본 발명의 바람직한 다른 실시예에 의한 그래핀(45) 제조방법은 금속 박막(15)을 제공하는 단계, 상기 금속 박막(15) 내에 카본 원자를 주입하는 단계, 카본 원자가 주입된 상기 금속 박막(15)에 레이저를 조사하는 단계, 레이저 조사된 상기 금속 박막(15)을 냉각시키는 단계를 포함한다.
금속 박막(15)은 그래핀(45) 형성의 기초가 되는 것으로, 탄소의 포화농도가 낮은 금속은 모두 사용할 수 있으나, 바람직하게는 니켈(Ni), 구리(Cu), 루쎄늄 (Ru) 및 텅스텐(W) 중 선택된 적어도 하나가 될 수 있다.
금속 박막(15) 내에 카본 원자를 주입하는 단계는 이온 주입법(ion implantation)에 의해 카본 이온을 고속으로 금속 박막(15)에 충돌시켜 금속 박막(15)내에 카본 원자를 주입시키는 것을 말한다.
도 2a는 금속 박막(15)내에 카본 원자를 이온 주입하는 과정을 개략적으로 나타낸 도면이다.
카본 박막(20)에 레이저를 조사하는 단계는 파장이 193 nm (ArF), 248 nm (KrF), 308 nm (XeCl) 및 353 nm (XeF) 중 적어도 하나 선택된 엑시머 레이저를 금속 박막(15) 상에 형성된 카본 박막(20)에 조사하는 것으로, 바람직하게는 파장이 308nm인 XeCl 단파장 레이저를 상기 카본 박막(20)에 조사한다.
레이저 조사 단계에서 레이저의 강도는 0.5~50mJ/cm2 범위를 가진다. 이는 상기 조사되는 레이저의 강도가 0.5mJ/cm2 미만인 경우에는 금속 박막(15)이 용융되지 않으며 50mJ/cm2를 초과하는 경우는 광압에 의한 물리적인 식각(sputtering)현상이 생기기 때문이다.
또한, 상기 레이저 조사 시간은 5ns~100ns에서 이루어지는 바, 5ns 미만에서는 금속 박막(15)이 용융될 시간이 충분하지 않으며 100ns 를 초과하는 경우는 하부 기판에 열이 전도되어 소자에 영향을 주기 때문에 레이저 열처리 공정을 사용하는 목적과 배치되기 때문이다.
상기 레이저 조사 시간은 본 발명의 바람직한 실시예에 의한 것으로, 그래핀이 형성되는 층과 소자가 제작되어있는 층(미도시)의 열적 절연거리에 따라 다소 증가하거나 감소할 수 있다.
도 2b는 카본 원자가 주입된 금속 박막(15)에 레이저가 조사되는 과정을 나타낸 도면이다.
도 2c는 레이저 조사에 의해 금속 박막(15)이 용융시 박막 내부에 주입되었던 카본 원자들이 확산하여 금속 박막(15) 표면으로 석출되어 카본 원자층(35)이 형성된 것을 나타낸 도면이다.
금속 박막(15)을 냉각시키는 단계는 레이저 조사에 의해 용융된 금속 박막(15)을 냉각시킴으로써 카본 원자가 용융 금속으로부터 석출 및 재배열 과정을 통하여 그래핀(45)을 형성하는 과정을 말한다.
도 2d는 금속 박막(15)이 냉각되면서 금속 박막(15) 상에 카본 원자들이 석출 및 재배열하여 형성된 그래핀(45)을 나타낸 도면이다.
이하, 본 발명의 이해를 돕기 위해 그래핀 제조방법의 제조예들을 제시한다. 다만, 하기의 제조예는 본 발명의 이해를 돕기 위한 것일 뿐, 본 발명이 하기의 제조예에 의해 한정되는 것은 아니다.
<제조예 1: 그래핀 제조방법>
실리콘 기판 상에 스퍼터링을 이용하여 니켈을 증착하여 니켈 금속 박막을 형성하였다. 상기 니켈 금속 박막이 형성된 실리콘 기판을 챔버 내에 장입한 후 CH4, Ar, N2등의 공정가스를 상기 챔버 내에 일정하게 투입하면서 상기 니켈 박막 상에 카본 박막을 화학기상증착법에 의해 형성하였다.
이후에, 카본 박막(20)이 형성된 실리콘 기판을 챔버에서 꺼낸 후, 파장이 308nm인 XeCl 단파장 레이저를 상기 카본 박막에 조사하여 카본과 금속 박막을 용융·혼합시켰다.
카본과 금속 박막이 혼합된 후, 레이저 조사를 중단하여 자연냉각시킴으로써 카본이 석출되어 금속 박막 상에서 재배열됨으로써 그래핀 막이 형성되었다.
상기 그래핀이 형성된 기판을 HCl에서 녹여 상기 니켈 금속 박막을 제거하여 그래핀을 분리시켰다.
<제조예 2: 그래핀 제조방법>
실리콘 기판 상에 스퍼터링을 이용하여 니켈을 증착하여 니켈 금속 박막을 형성하였다. 상기 니켈 금속 박막이 형성된 기판을 챔버 내에 장입시킨 후 이온 주입기 내에서 고전압과 자기장에 의해 가속된 카본 이온들을 니켈 박막 내에 침투시켰다.
이후에, 니켈 박막내에 카본 원자들이 침투된 실리콘 기판을 챔버에서 꺼낸 후, 파장이 308nm인 XeCl 단파장 레이저를 상기 카본 박막에 조사하여 금속 박막을 용융시켰다.
카본이 침투된 금속 박막을 용융시킨 후, 레이저 조사를 중단하여 자연냉각시킴으로써 카본이 응고되는 금속으로부터 석출되어 금속 박막 상에서 재배열됨으로써 그래핀 막이 형성되었다.
상기 그래핀이 형성된 기판을 HCl에서 녹여 상기 니켈 금속 박막을 제거하여 그래핀을 분리시켰다.
본 발명의 그래핀 제조방법은 고온 열처리 공정을 거치지 않고 레이저 열처리를 통하여 대면적 그래핀을 형성할 수 있다.
또한, 종래기술인 고온 열처리에 의해 형성된 그래핀을 소자가 제작되는 기판에 전사할 필요없이 직접 소자가 제작되는 기판에 그래핀을 형성할 수 있다.
상기와 같이, 본 발명에 의한 그래핀 제조방법은 각종 반도체, 디스플레이, 태양전지 등에 사용되는 전극 또는 기판물질을 제공할 수 있는 간편한 공정을 제시하고 있어 상용화될 경우 매우 큰 산업유발 효과를 기대할 수 있다.
이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다.
그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. 본 발명의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위 그리고 그 균등 개념으로부터 도출되는 모든 변경 또는 변경된 형태가 본 발명의 범위에 포함되는 것으로 해석되어야 한다.
도 1a 내지 도 1d는 본 발명의 일 실시예에 의한 그래핀 제조공정을 순차적으로 나타낸 공정도이다.
도 2a 내지 도 2d는 본 발명의 다른 실시예에 의한 그래핀 제조공정을 순차적으로 나타낸 공정도이다.
< 도면의 주요 부분에 대한 부호의 설명 >
10, 15 : 금속 박막 20 : 카본 박막
30 : 금속 및 카본의 혼합층 35 : 카본 원자층
40, 45 : 그래핀

Claims (8)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 금속 박막을 제공하는 단계;
    상기 금속 박막 내에 카본 원자를 주입시키는 단계;
    카본 원자가 주입된 상기 금속 박막에 레이저를 조사하는 단계; 및
    레이저 조사된 상기 금속 박막을 냉각시키는 단계를 포함하는 그래핀 제조방법.
  6. 제 5 항에 있어서,
    상기 카본 원자의 주입은 이온 주입법에 의해 이루어지는 것을 특징으로 하는 그래핀 제조방법.
  7. 제 5 항에 있어서,
    상기 조사되는 레이저는 ArF(193nm), KrF(248nm), XeCl(308nm) 및 XeF(353nm) 중 선택된 어느 하나의 엑시머 레이저인 것을 특징으로 하는 그래핀 제조방법.
  8. 제 5 항에 있어서,
    조사되는 상기 레이저의 강도는 0.5~50mJ/cm2 범위이며, 가열시간은 5ns~100ns 인 것을 특징으로 하는 그래핀 제조방법.
KR1020090099611A 2009-10-20 2009-10-20 그래핀 제조방법 KR101084759B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090099611A KR101084759B1 (ko) 2009-10-20 2009-10-20 그래핀 제조방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090099611A KR101084759B1 (ko) 2009-10-20 2009-10-20 그래핀 제조방법

Publications (2)

Publication Number Publication Date
KR20110042782A KR20110042782A (ko) 2011-04-27
KR101084759B1 true KR101084759B1 (ko) 2011-11-22

Family

ID=44048143

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090099611A KR101084759B1 (ko) 2009-10-20 2009-10-20 그래핀 제조방법

Country Status (1)

Country Link
KR (1) KR101084759B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130006869A (ko) * 2011-06-24 2013-01-18 삼성전자주식회사 그래핀 층상 구조체, 그의 제조방법 및 이를 채용한 투명전극과 트랜지스터
KR20160133959A (ko) 2015-05-14 2016-11-23 경희대학교 산학협력단 이온주입을 통한 지지층 기반의 그래핀의 도핑 방법

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101941957B1 (ko) * 2011-11-18 2019-01-25 엘지디스플레이 주식회사 그래핀막의 제조방법, 이를 이용한 터치소자의 제조방법
KR102477237B1 (ko) * 2022-07-05 2022-12-14 (주)스피너스 그래핀 제조 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090068470A1 (en) 2007-09-12 2009-03-12 Samsung Electronics Co., Ltd. Graphene shell and process of preparing the same

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090068470A1 (en) 2007-09-12 2009-03-12 Samsung Electronics Co., Ltd. Graphene shell and process of preparing the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130006869A (ko) * 2011-06-24 2013-01-18 삼성전자주식회사 그래핀 층상 구조체, 그의 제조방법 및 이를 채용한 투명전극과 트랜지스터
KR101878734B1 (ko) * 2011-06-24 2018-07-16 삼성전자주식회사 그래핀 층상 구조체, 그의 제조방법 및 이를 채용한 투명전극과 트랜지스터
KR20160133959A (ko) 2015-05-14 2016-11-23 경희대학교 산학협력단 이온주입을 통한 지지층 기반의 그래핀의 도핑 방법

Also Published As

Publication number Publication date
KR20110042782A (ko) 2011-04-27

Similar Documents

Publication Publication Date Title
Rebohle et al. A review of thermal processing in the subsecond range: semiconductors and beyond
US10683586B2 (en) Method of pulsed laser-based large area graphene synthesis on metallic and crystalline substrates
TWI721033B (zh) 對基底進行摻雜與對半導體裝置進行摻雜的方法以及對基底進行摻雜的系統
KR101132706B1 (ko) 그래핀 층 형성 방법
TW201246298A (en) Method for manufacturing semiconductor epitaxial wafer, semiconductor epitaxial wafer and method for manufacturing solid state imaging device
US20140374960A1 (en) Method for producing a graphene film
JP6192402B2 (ja) 多結晶シリコン薄膜及びその製造方法、アレイ基板、表示装置
TW200941549A (en) Particle beam assisted modification of thin film materials
CN108193276B (zh) 制备大面积单一取向六方氮化硼二维原子晶体的方法
KR101084759B1 (ko) 그래핀 제조방법
TW200537579A (en) Ion doping apparatus, ion doping method, semiconductor device, and method of fabricating semiconductor device
JP2004362901A (ja) イオンドーピング装置、イオンドーピング方法および半導体装置
JP2010056483A (ja) 膜製造方法
JP6303321B2 (ja) 貼り合わせウェーハの製造方法および貼り合わせウェーハ
JP6280301B2 (ja) エピタキシャルシリコンウェーハの製造方法、エピタキシャルシリコンウェーハ、および固体撮像素子の製造方法
Cao et al. Boron Doping in Next-Generation Materials for Semiconductor Device
RU2333567C2 (ru) Способ изготовления тонких кристаллических пленок кремния для полупроводниковых приборов
JP4031021B2 (ja) 薄膜トランジスタの作製方法
JP7477407B2 (ja) 酸化ガリウム系半導体の製造方法
Kanno et al. Electric-field-assisted metal-induced lateral crystallization of amorphous SiGe on SiO2
KR20020013635A (ko) 금속과 전계를 이용한 비정질 막의 결정화 방법.
Al-Mamun et al. Mitigating heavy ion irradiation‐induced degradation in p‐type SnO thin‐film transistors at room temperature
JP5979625B2 (ja) 半導体基板の製造方法
Yu et al. Ultrafast directional nickel-silicide-induced crystallization of amorphous silicon under high-density current stressing
JP2012238637A (ja) スパッタリング方法およびスパッタリング装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150512

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151110

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161111

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171113

Year of fee payment: 7