KR101073130B1 - Method for forming self align contact of semiconductor device - Google Patents

Method for forming self align contact of semiconductor device Download PDF

Info

Publication number
KR101073130B1
KR101073130B1 KR1020030085678A KR20030085678A KR101073130B1 KR 101073130 B1 KR101073130 B1 KR 101073130B1 KR 1020030085678 A KR1020030085678 A KR 1020030085678A KR 20030085678 A KR20030085678 A KR 20030085678A KR 101073130 B1 KR101073130 B1 KR 101073130B1
Authority
KR
South Korea
Prior art keywords
film
forming
hard mask
abandoned
etching
Prior art date
Application number
KR1020030085678A
Other languages
Korean (ko)
Other versions
KR20050051988A (en
Inventor
이성권
이민석
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020030085678A priority Critical patent/KR101073130B1/en
Publication of KR20050051988A publication Critical patent/KR20050051988A/en
Application granted granted Critical
Publication of KR101073130B1 publication Critical patent/KR101073130B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric

Abstract

본 발명은 SAC 페일을 방지할 수 있는 반도체소자의 SAC 형성 방법을 제공하기 위한 것으로, 이를 위해 본 발명은, 기판 상에 전도성 희생 하드마스크/절연성 하드마스크/전도막의 적층 구조를 갖는 복수의 도전패턴을 형성하는 단계; 상기 복수의 도전패턴을 포함하는 기판 전면에 층간절연막을 형성하는 단계; 상기 절연성 하드마스크가 노출되는 연마 타겟으로 화학기계적연마 공정을 실시하여 상기 층간절연막과 상기 희생 하드마스크를 제거하는 단계; 상기 절연성 하드마스크와 상기 층간절연막 상에 포토레지스트 패턴을 형성하는 단계; 및 상기 포토레지스트 패턴을 식각마스크로 상기 층간절연막을 식각하여 상기 도전패턴 사이의 상기 기판을 노출시키는 콘택홀을 형성하는 단계를 포함하는 반도체소자의 자기정렬콘택 형성 방법을 제공한다.
The present invention is to provide a method for forming a SAC of a semiconductor device that can prevent the SAC fail, for this purpose, the present invention, a plurality of conductive patterns having a laminated structure of a conductive sacrificial hard mask / insulating hard mask / conductive film on a substrate Forming a; Forming an interlayer insulating film on an entire surface of the substrate including the plurality of conductive patterns; Performing a chemical mechanical polishing process on the polishing target to which the insulating hard mask is exposed to remove the interlayer dielectric layer and the sacrificial hard mask; Forming a photoresist pattern on the insulating hard mask and the interlayer insulating film; And forming a contact hole exposing the substrate between the conductive patterns by etching the interlayer insulating layer using the photoresist pattern as an etch mask.

콘택 패드, SAC(Self Align Contact), 희생 하드마스크, SAC 페일, 게이트 하드마스크, CMP(Chemical Mechanical Polishing).Contact Pads, Self Align Contact (SAC), Sacrificial Hardmask, SAC Fail, Gate Hardmask, Chemical Mechanical Polishing (CMP).

Description

반도체소자의 자기정렬콘택 형성 방법{METHOD FOR FORMING SELF ALIGN CONTACT OF SEMICONDUCTOR DEVICE} Method for forming self-aligned contact of semiconductor device {METHOD FOR FORMING SELF ALIGN CONTACT OF SEMICONDUCTOR DEVICE}             

도 1은 콘택 패드 형성 후 불량을 분석한 웨이퍼의 맵을 도시한 평면도.1 is a plan view showing a map of a wafer analyzed for defects after contact pad formation;

도 2a는 도 1의 SAC 페일이 발생한 부분을 도시한 단면 SEM 사진.Figure 2a is a cross-sectional SEM photograph showing a portion where the SAC fail of Figure 1 occurred.

도 2b는 도 1의 SAC 페일이 발생하지 않은 부분을 도시한 단면 SEM 사진.FIG. 2B is a cross-sectional SEM photograph showing a portion where the SAC fail of FIG. 1 does not occur.

도 3은 화학기계적연마 공정시 웨이퍼의 각 부위에 따른 연마율을 도시한 도면.3 is a view showing the polishing rate according to each part of the wafer in the chemical mechanical polishing process.

도 4a 내지 도 4c는 본 발명의 일실시예에 따른 반도체소자의 SAC 형성 공정을 도시한 단면도.
4A through 4C are cross-sectional views illustrating a SAC forming process of a semiconductor device according to an embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

400 : 기판 401 : 필드산화막400: substrate 401: field oxide film

402 : 액티브영역 403 : 게이트 절연막402 active region 403 gate insulating film

404 : 게이트 전도막 405 : 게이트 하드마스크404: gate conductive film 405: gate hard mask

406 : 희생 하드마스크 407 : 식각정지막406: sacrificial hard mask 407: etch stop

408 : 층간절연막408: interlayer insulating film

본 발명은 반도체소자 제조 방법에 관한 것으로 특히, 반도체소자의 콘택홀 형성 방법에 관한 것으로, 더욱 상세하게는 자기정렬콘택(Self Align Contact; 이하 SAC 이라 함) 식각 공정에 의한 반도체소자의 콘택홀 형성 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for forming a contact hole in a semiconductor device, and more particularly, to forming a contact hole in a semiconductor device by a self alignment contact (hereinafter referred to as SAC) etching process. It is about a method.

반도체소자의 집적도가 증가함에 따라 단위 소자의 수직 배열 구조가 사용되고 있으며, 이들 단위 소자간의 전기적 연결을 위해 패드(또는 플러그) 형성기술이 채용되었는 바, 현재는 이러한 콘택 패드 형성 기술이 반도체소자 공정 기술에 있어서 일반화되었다.As the degree of integration of semiconductor devices increases, a vertical arrangement of unit devices is used, and a pad (or plug) forming technology is employed for electrical connection between these unit devices. Currently, such a contact pad forming technology is a semiconductor device process technology. Generalized.

아울러, 피치는 감소하고 그 수직 두께는 증가함에 따라 식각 공정 예컨대, 게이트전극 패턴 사이에 콘택홀을 형성하는 식각 공정 등에서 식각 마진이 부족하게 되었고, 이에 따라 식각선택비를 개선하고 원한는 식각 프로파일을 얻기 위해 SAC 식각 공정이 도입되었고, 현재는 통상적인 반도체소자 공정으로 사용되고 있다.In addition, as the pitch decreases and the vertical thickness thereof increases, the etching margin is insufficient in an etching process, for example, an etching process for forming contact holes between gate electrode patterns, thereby improving an etching selectivity and obtaining an etching profile. The SAC etching process has been introduced, and is currently used as a conventional semiconductor device process.

SAC 식각시 주로 피식각층이 층간절연막을 식각할 때 그 하부의 게이트전극 패턴 또는 비트라인 등의 도전패턴의 어택 방지를 위해 도전패턴의 상부에는 주로 질화막 계열의 절연성 하드마스크를 사용하고 있다.In the case of SAC etching, when the etched layer mainly etches the interlayer insulating layer, an insulating hard mask based on a nitride layer is mainly used on the upper portion of the conductive pattern to prevent attack of the conductive pattern such as the gate electrode pattern or the bit line.

이러한 하드마스크의 두께가 증가할수록 도전패턴이 어택 방지에는 효과적이지만 도전패턴의 수직 두께를 증가시켜 층간절연막 증착시 갭-필(Gap-fill) 불량을 야기할 우려가 있어 적정한 두께로 형성한다.As the thickness of the hard mask is increased, the conductive pattern is effective in preventing attack, but the vertical thickness of the conductive pattern is increased to cause a gap-fill defect during deposition of the interlayer insulating film, so that the thickness is formed to an appropriate thickness.

도 1은 콘택 패드 형성 후 불량을 분석한 웨이퍼의 맵을 도시한 평면도이다.1 is a plan view illustrating a map of a wafer in which defects are analyzed after contact pad formation.

도 1을 참조하면, 웨이퍼 상에 복수의 네트 다이가 구획되어 있음을 확인할 수 있으며, 검정색의 점은 페일이 발생한 비트를 나타낸다.Referring to FIG. 1, it can be seen that a plurality of net dies are partitioned on the wafer, and black dots indicate bits in which a failure occurs.

여기서, 도면부호 'A'는 SAC 페일이 발생한 부분을 나타내며, 도면부호 'B'는 SAC 페일이 발생하지 않고 정상적인 SAC 공정이 이루어진 부분을 나타낸다.Here, reference numeral 'A' denotes a portion in which a SAC fail is generated, and reference numeral 'B' denotes a portion in which a normal SAC process is performed without generating a SAC fail.

도 2a는 도 1의 SAC 페일이 발생한 부분을 도시한 단면 SEM 사진이다.FIG. 2A is a cross-sectional SEM photograph showing a portion where the SAC fail of FIG. 1 occurs.

도 2a를 참조하면, SAC 공정시 하드마스크의 마진이 부족하여 도면부호 'X'와 같이 SAC 페일이 발생했음을 알 수 있다. Referring to FIG. 2A, it can be seen that a SAC fail occurred as indicated by the 'X' due to a lack of a hard mask margin during the SAC process.

한편, 도 2b는 도 1의 SAC 페일이 발생하지 않은 부분을 도시한 단면 SEM 사진으로서, 'Y'와 같이 하드마스크의 어택이 발생하지 않아 양호한 SAC 공정이 이루어졌음을 알 수 있다.On the other hand, Figure 2b is a cross-sectional SEM picture showing a portion of the SAC fail does not occur in Figure 1, it can be seen that the attack of the hard mask does not occur, such as 'Y' that a good SAC process was achieved.

전술한 도 2a와 도 2b를 통해 알 수 있듯이, SAC 식각 공정시 불량 발생 부위는 웨이퍼의 중간보다는 웨이퍼의 가장자리에 위치한 다이에서 발생한다.As can be seen from the above-described Figures 2a and 2b, in the SAC etching process, the defect occurrence site occurs in the die located at the edge of the wafer rather than the middle of the wafer.

도 3은 화학기계적연마(Chemical Mechanical Polishing; 이하 CMP라 함) 공정시 웨이퍼의 각 부위에 따른 연마율을 도시한 도면이다.3 is a view showing the polishing rate according to each part of the wafer during the chemical mechanical polishing (CMP) process.

도 3을 참조하면, 웨이퍼의 중앙(30)에서의 연마율이 웨이퍼의 가장자리(31)에서의 연마율에 비해 현저히 낮아 CMP 공정시 웨이퍼의 가장자리에서 과도 연마가 됨을 알 수 있다.
Referring to FIG. 3, it can be seen that the polishing rate at the center 30 of the wafer is significantly lower than the polishing rate at the edge 31 of the wafer, resulting in excessive polishing at the edge of the wafer during the CMP process.

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, SAC 페일을 방지할 수 있는 반도체소자의 SAC 형성 방법을 제공하는데 그 목적이 있다.
The present invention has been proposed to solve the above problems of the prior art, and an object thereof is to provide a method for forming an SAC of a semiconductor device capable of preventing SAC failing.

상기의 목적을 달성하기 위해 본 발명은, 기판 상에 전도성 희생 하드마스크/절연성 하드마스크/전도막의 적층 구조를 갖는 복수의 도전패턴을 형성하는 단계; 상기 복수의 도전패턴을 포함하는 기판 전면에 층간절연막을 형성하는 단계; 상기 절연성 하드마스크가 노출되는 연마 타겟으로 화학기계적연마 공정을 실시하여 상기 층간절연막과 상기 희생 하드마스크를 제거하는 단계; 상기 절연성 하드마스크와 상기 층간절연막 상에 포토레지스트 패턴을 형성하는 단계; 및 상기 포토레지스트 패턴을 식각마스크로 상기 층간절연막을 식각하여 상기 도전패턴 사이의 상기 기판을 노출시키는 콘택홀을 형성하는 단계를 포함하는 반도체소자의 자기정렬콘택 형성 방법을 제공한다.In order to achieve the above object, the present invention comprises the steps of forming a plurality of conductive patterns having a laminated structure of a conductive sacrificial hard mask / insulating hard mask / conductive film on the substrate; Forming an interlayer insulating film on an entire surface of the substrate including the plurality of conductive patterns; Performing a chemical mechanical polishing process on the polishing target to which the insulating hard mask is exposed to remove the interlayer dielectric layer and the sacrificial hard mask; Forming a photoresist pattern on the insulating hard mask and the interlayer insulating film; And forming a contact hole exposing the substrate between the conductive patterns by etching the interlayer insulating layer using the photoresist pattern as an etch mask.

삭제delete

반도체 소자의 집적도가 증가함에 따라 콘택 개구부의 바닥 면적이 셀 콘택 저항값에 영향을 미치기 때문에 콘택 개구부의 바닥 면적을 확보하는 것은 매우 중요하다. 따라서, 종래의 방식과 같이 셀콘택 형성시 게이트전극 패턴 상부에 층간절연막이 두껍게 증착된 상태에서 SAC 식각 공정을 진행할 경우에는 식각된 콘택홀 측면의 기울기가 85˚이하이므로 SAC 식각 공정 후 콘택홀의 바닥 저면을 확보하는 것이 어렵다. 뿐만아니라, 과도 식각을 진행하여야 하므로 게이트 하드마스크의 오택을 유발할 수 있다.It is very important to secure the bottom area of the contact opening because the bottom area of the contact opening affects the cell contact resistance as the degree of integration of the semiconductor device increases. Therefore, when the SAC etching process is performed while the interlayer insulating layer is thickly deposited on the gate electrode pattern when forming the cell contact, the slope of the side of the etched contact hole is 85 ° or less, so the bottom of the contact hole after the SAC etching process is formed. It is difficult to secure a bottom. In addition, over-etching must be performed, which may cause a gate hard mask to be damaged.

따라서, 본 발명에서는 게이트전극 패턴등의 도전패턴 상부의 층간절연막을 제거하고 SAC 식각마스크인 하드마스크 또는 포토레지스트 패턴이 게이트전극 패턴 상부에 위치하도록 하여 식각 타겟을 줄임으로써, 게이트전극 패턴의 게이트 하드마스크의 어택을 방지하며, 콘택 면적을 충분히 확보할 수 있도록 한다.Accordingly, in the present invention, by removing the interlayer insulating layer on the conductive pattern such as the gate electrode pattern and placing the hard mask or photoresist pattern, which is the SAC etching mask, on the gate electrode pattern, the etching target is reduced, thereby reducing the gate hardness of the gate electrode pattern. Prevents attack of the mask and ensures sufficient contact area.

이를 위해서는 층간절연막 증착 후 게이트전극 패턴 상부가 노출될 때까지 CMP 공정을 실시하여야 하며, 이 때 게이트 하드마스크의 어택을 방지하기 위해 게이트전극 패턴 형성시 미리 기존의 하드마스크 상부에 금속 등과 같은 단단한 물성적 특성을 갖는 하드마스크가 적층된 구조로 게이트전극 패턴을 형성한다. CMP 공정시 전도성 하드마스크가 전부 제거되도록 한다. 따라서, SAC 식각 공정시 식각 타겟을 줄여 게이트 하드마스크의 어택을 방지하고 콘택 개구부를 충분히 확보할 수 있다.For this purpose, CMP process should be performed until the upper part of the gate electrode pattern is exposed after the deposition of the interlayer insulating film.In this case, in order to prevent attack of the gate hard mask, hard water such as metal on the existing hard mask is formed in advance. The gate electrode pattern is formed by stacking hard masks having sexual characteristics. Ensure that all conductive hardmasks are removed during the CMP process. Therefore, during the SAC etching process, the etching target may be reduced to prevent attack of the gate hard mask and sufficient contact openings may be secured.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부한 도 3a 내지 3d를 참조하여 상세하게 설명한다.Hereinafter, with reference to FIGS. 3A to 3D, which are attached to the most preferred embodiments of the present invention, in order to explain in detail enough to enable those skilled in the art to easily implement the technical idea of the present invention. It demonstrates in detail.

도 4a 내지 도 4c는 본 발명의 일실시예에 따른 반도체소자의 SAC 형성 공정을 도시한 단면도이다.4A to 4C are cross-sectional views illustrating a SAC forming process of a semiconductor device according to an embodiment of the present invention.

후술하는 본 발명의 실시예에서는 반도체소자의 콘택홀 패턴 형성 및 플러그 형성 공정을 그 일예로 하여 설명하는 바, 본 발명의 적용 대상이 되는 콘택홀 패턴은 금속배선 콘택과 비트라인 또는 캐패시터의 스토리지 노드 콘택을 위한 소스/드레인 접합 등의 기판 내의 불순물 접합층과의 콘택 및 콘택 패드 형성을 위한 공정 등에 적용이 가능하다.In the embodiment of the present invention described below, the process of forming the contact hole pattern and the plug of the semiconductor device will be described as an example, and the contact hole pattern to which the present invention is applied is a metal node and a storage node of a bit line or a capacitor. The present invention can be applied to a process for forming a contact pad and contact with an impurity bonding layer in a substrate such as a source / drain junction for contact.

먼저 도 4a에 도시된 바와 같이, 반도체 소자를 이루기 위한 여러 요소가 형성된 기판(400)에 필드산화막(401)을 형성하여 액티브영역(402)을 정의한다. 필드산화막(401)은 LOCOS(LOCal Oxidation Of Silicon) 또는 STI(Shallow Trench Isolation) 방식을 적용할 수 있다.First, as shown in FIG. 4A, a field oxide film 401 is formed on a substrate 400 on which various elements for forming a semiconductor device are formed to define an active region 402. The field oxide layer 401 may use a LOCOS (LOCal Oxidation Of Silicon) or STI (Shallow Trench Isolation) scheme.

이어서, 기판(400) 상에 전도성의 희생 하드마스크(406)/절연성의 게이트 하드마스크(405)/게이트 전도막(404)/게이트 절연막(403)이 적층된 구조의 게이트전극 패턴(G1 ∼ G4)을 형성한다. Subsequently, gate electrode patterns G1 to G4 having a structure in which a conductive sacrificial hard mask 406, an insulating gate hard mask 405, a gate conductive film 404, and a gate insulating film 403 are stacked on the substrate 400. ).                     

게이트 절연막(403)은 실리콘 산화막 등의 통상적인 산화막 계열의 물질막을 이용하고, 게이트 전도막(404)은 폴리실리콘, 텅스텐(W), 텅스텐 질화막(WNx), 텅스텐 실리사이드(WSix) 등의 단독 또는 이들의 조합된 형태를 이용한다. The gate insulating film 403 uses a conventional oxide film material film such as a silicon oxide film, and the gate conductive film 404 is made of polysilicon, tungsten (W), tungsten nitride film (WN x ), tungsten silicide (WSi x ), or the like. Use alone or in combination thereof.

게이트 하드마스크(405)는 후속 콘택 형성을 위한 식각 공정 중 층간절연막을 식각하여 콘택홀을 형성하는 과정에서 게이트 전도막(404)이 어택받는 것을 방지하기 위한 것으로서, 층간절연막과 식각 속도가 현저하게 차이나는 물질을 사용한다. 예컨대, 층간절연막으로 산화막 계열을 사용할 경우에는 실리콘 질화막(SiN) 또는 실리콘 산화질화막(SiON) 등의 질화막 계열의 물질을 사용하고, 층간절연막으로 폴리머계 저유전율막을 사용할 경우에는 산화막 계열의 물질을 사용한다.The gate hard mask 405 is to prevent the gate conductive layer 404 from being attacked in the process of forming the contact hole by etching the interlayer insulating layer during the etching process for subsequent contact formation, and the interlayer insulating layer and the etching speed are remarkably increased. Different materials are used. For example, when an oxide-based layer is used as an interlayer insulating film, a nitride-based material such as silicon nitride film (SiN) or a silicon oxynitride film (SiON) is used, and an oxide-based material is used when a polymer-based low dielectric film is used as the interlayer insulating film. do.

게이트 하드마스크(405)는 1000Å ∼ 5000Å의 두께로 형성하는 것이 바람직하다.The gate hard mask 405 is preferably formed to a thickness of 1000 kPa to 5000 kPa.

희생 하드마스크(406)는 폴리실리콘막, Al막, W막, WSix(x는 1 ∼ 2)막, WN막, Ti막, TiN막, TiSix(x는 1 ∼ 2)막, TiAlN막, TiSiN막, Pt막, Ir막, IrO2막, Ru막, RuO2막, Ag막, Au막, Co막, Au막, TaN막, CrN막, CoN막, MoN막, MoSix(x는 1 ∼ 2)막, Al2O3막, AlN막, PtSix(x는 1 ∼ 2)막 및 CrSix(x는 1 ∼ 2)막으로 이루어진 그룹으로부터 선택된 적어도 어느 하나의 박막을 이용한다.The sacrificial hard mask 406 is made of polysilicon film, Al film, W film, WSix (x is 1-2) film, WN film, Ti film, TiN film, TiSix (x is 1-2) film, TiAlN film, TiSiN Film, Pt film, Ir film, IrO 2 film, Ru film, RuO 2 film, Ag film, Au film, Co film, Au film, TaN film, CrN film, CoN film, MoN film, MoSix (x is 1 to 2) ), An Al 2 O 3 film, an AlN film, a PtSix (x is 1 to 2) film, and at least one thin film selected from the group consisting of CrSix (x is 1 to 2) film.

희생 하드마스크(406)는 300Å ∼ 3000Å의 두께로 형성하는 것이 바람직하다. The sacrificial hard mask 406 is preferably formed to a thickness of 300 kPa to 3000 kPa.                     

게이트전극 패턴(G1 ∼ G4) 사이의 기판(400)에 소스/드레인 접합 등의 불순물 확산영역(도시하지 않음)을 형성한다.An impurity diffusion region (not shown) such as a source / drain junction is formed in the substrate 400 between the gate electrode patterns G1 to G4.

이온주입을 통해 게이트전극 패턴(G1 ∼ G4) 사이에 소스/드레인 접합 영역을 형성하는 경우, 통상 게이트전극 패턴(G1 ∼ G4)에 얼라인되도록 이온주입을 통해 기판(400)에 불순물을 주입시킨 다음, 게이트전극 패턴(G1 ∼ G4) 측벽에 스페이서를 형성하고 다시 이온주입을 실시하여 LDD 구조가 되도록 하는 바, 여기서는 LDD 구조와 불순물 확산영역 및 스페이서 형성 공정을 생략하였다.When source / drain junction regions are formed between the gate electrode patterns G1 to G4 through ion implantation, impurities are implanted into the substrate 400 through ion implantation so as to be aligned with the gate electrode patterns G1 to G4. Next, spacers are formed on the sidewalls of the gate electrode patterns G1 to G4 and ion implantation is performed again to form an LDD structure. Here, the LDD structure, the impurity diffusion region, and the spacer forming process are omitted.

게이트전극 패턴(G1 ∼ G4)이 형성된 전면에 SAC 식각 공정에서 기판(400)의 어택을 방지하기 위해 식각 정지 역할을 하는 식각정지막(407)을 형성한다. 이 때, 게이트전극 패턴(G1 ∼ G4)의 프로파일을 따라 식각정지막(407)이 형성되도록 하는 것이 바람직하며, 식각정지막(407)으로는 실리콘질화막 또는 실리콘산화질화막 등의 질화막 계열의 물질막을 이용한다.An etch stop layer 407 is formed on the entire surface where the gate electrode patterns G1 to G4 are formed in order to prevent attack of the substrate 400 in the SAC etching process. In this case, the etch stop film 407 may be formed along the profile of the gate electrode patterns G1 to G4. The etch stop film 407 may be formed of a nitride film-based material film such as a silicon nitride film or a silicon oxynitride film. I use it.

식각정지막(407)으로 질화막 계열의 물질을 주로 사용하고 있으며, 그 이유는 후속 플러그 형성을 위한 SAC 식각 공정시 층간절연막으로 사용되는 산화막과의 식각선택비를 얻을 수 있고, 또한 게이트전극 패턴의 식각 손실을 방지하기 위한 것이다.Nitride-based materials are mainly used as the etch stop layer 407. The reason for this is that the etching selectivity with the oxide layer used as the interlayer insulating layer in the SAC etching process for the subsequent plug formation can be obtained, and the gate electrode pattern It is to prevent the loss of etching.

한편, 식각정지막(407)을 단일층을 사용할 수도 있고 또한 복수의 층으로 사용할 수 있다. 아울러, 질화막 계열은 기판(400)과 접촉시 스트레스를 유발하므로 기판과 접촉되는 부분에 버퍼 산화막을 형성한 구조를 사용할 수도 있다.On the other hand, the etch stop film 407 may be used as a single layer or may be used as a plurality of layers. In addition, since the nitride film series causes stress upon contact with the substrate 400, a structure in which a buffer oxide film is formed in a portion in contact with the substrate may be used.

이어서, 게이트전극 패턴(G1 ∼ G4) 및 기판 상부를 충분히 덮도록 층간절연 막(408)을 형성한다. 층간절연막(408)으로는 BPSG(Boro Phospho Silicate Glass)막, BSG(Boro Silicate Glass)막, PSG(Phospho Silicate Glass)막, TEOS(Tetra Ethyl Ortho Silicate)막, HDP(High Density Plasma) 산화막, 저유전율막, SOG(Spin On Glass)막, APL(Advanced Planarization Layer)막 등을 단독 또는 조합하여 사용할 수 있다.Subsequently, an interlayer insulating film 408 is formed to sufficiently cover the gate electrode patterns G1 to G4 and the substrate. As the interlayer insulating film 408, a BOSG (Boro Phospho Silicate Glass) film, BSG (Boro Silicate Glass) film, PSG (Phospho Silicate Glass) film, TEOS (Tetra Ethyl Ortho Silicate) film, HDP (High Density Plasma) oxide film, low A dielectric constant film, a spin on glass (SOG) film, an advanced planarization layer (APL) film, or the like may be used alone or in combination.

이어서, 게이트 하드마스크(405)가 노출되는 연마 타겟으로 CMP 공정을 실시하여 희생 하드마스크(406)와 층간절면막(408) 및 식각정지막(407)을 연마하여 제거한다. 이 때, 산화막 계열과 질화막 계열의 연마 속도가 거의 같도록 즉, 산화막 계열과 질화막 계열의 연마비가 0.8:1 ∼ 1.2:1 정도로 CMP 공정을 진행한다.Subsequently, the sacrificial hard mask 406, the interlayer cutting film 408, and the etch stop film 407 are polished and removed by performing a CMP process on the polishing target to which the gate hard mask 405 is exposed. At this time, the CMP process is performed so that the polishing rate of the oxide film series and the nitride film series is almost the same, that is, the polishing ratio of the oxide film series and the nitride film series is about 0.8: 1 to 1.2: 1.

따라서, CMP 공정시 희생 하드마스크(406)에 의해 게이트 하드마스크(405)의 어택이 방지된다.Therefore, the attack of the gate hard mask 405 is prevented by the sacrificial hard mask 406 during the CMP process.

한편, 희생 하드마스크(406)를 일부 남길 수도 있는 바, 후속 SAC 공정시 제거될 수 있을 정도로 희생 하드마스크(406)를 얇게 남김으로써, SAC 공정시 보다 높은 식각선택비를 얻을 수 있다.Meanwhile, some of the sacrificial hard mask 406 may be left, so that the sacrificial hard mask 406 may be thin enough to be removed in a subsequent SAC process, thereby obtaining a higher etching selectivity in the SAC process.

도 4b에서는 희생 하드마스크(406)가 모두 제거되어 평탄화된 공정 단면을 나타낸다.In FIG. 4B, the sacrificial hardmask 406 is removed to show a planarized process cross section.

이어서, 평판화된 전면에 포토레지스트를 스핀 코팅 등의 방법을 통해 적절한 두께로 도포한 다음, KrF, ArF 또는 F2 등의 노광원과 콘택홀의 폭을 정의하기 위한 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택 적으로 노광하고, 현상 공정을 통해 노광 공정에 의해 노광되거나 혹은 노광되지 않은 부분을 잔류시킨 다음, 후세정 공정 등을 통해 식각 잔유물 등을 제거함으로써 SAC 형성을 위한 셀콘택 오픈마스크인 포토레지스트 패턴(409)을 형성한다.Subsequently, the photoresist is applied to an appropriate thickness on the flattened front surface by spin coating or the like, and then a predetermined reticle (not shown) for defining an exposure source such as KrF, ArF or F 2 and the width of the contact hole is used. SAC formation by selectively exposing a predetermined portion of the photoresist, and remaining or unexposed portions of the photoresist through a developing process, and then removing etch residues through a post-cleaning process, etc. A photoresist pattern 409, which is a cell contact open mask for forming, is formed.

여기서, 셀콘택 오픈마스크는 홀타입(Hole type), 바타입(Bar type) 또는 티타입(T Type) 등 다양한 형태를 사용할 수 있다.Here, the cell contact open mask may use various types such as hole type, bar type, or tee type.

패턴 형성을 위한 노광시 하부 즉, 층간절연막(408)과 게이트 하드마스크(405)의 광반사도가 높임으로써 난반사가 이루어져 원하지 않는 패턴이 형성되는 것을 방지하며, 하부 구조와 포토레지스트의 접착력을 향상시킬 목적으로 포토레지스트 패턴(409)과 하부 구조사이에 반사방지막(도시하지 않음)을 형성하한다. 반사방지막은 포토레지스트와 그 식각 특성이 유사한 유기 계열의 물질을 주로 사용하며, 공정에 따라서는 이를 생략할 수도 있다.When the exposure to form a pattern, that is, the lower reflectance of the interlayer insulating film 408 and the gate hard mask 405 is increased to prevent diffuse reflection to form unwanted patterns, and improve the adhesion between the underlying structure and the photoresist. An antireflection film (not shown) is formed between the photoresist pattern 409 and the underlying structure for the purpose. The anti-reflection film mainly uses an organic-based material having similar etching characteristics to that of the photoresist, and may be omitted depending on the process.

또한, 하부 구조와 포토레지스트 사이 또는 하부 구조와 반사방지막 사이에 하드마스크를 형성할 수도 있다. 이 때 사용되는 하드마스크 재료로는 질화막 계열의 절연성 물질이나 텅스텐 또는 폴리실리콘 등의 전도성 물질을 이용할 수 있다.In addition, a hard mask may be formed between the lower structure and the photoresist or between the lower structure and the anti-reflection film. In this case, as the hard mask material, a nitride-based insulating material or a conductive material such as tungsten or polysilicon may be used.

계속해서, 도 4c에 도시된 바와 같이, 포토레지스트 패턴(409)을 식각마스크로 피식각층인 층간절연막(408)을 식각하여 이웃하는 게이트전극 패턴(G1 ∼ G4) 사이의 식각정지막(407)을 노출시키는 SAC 식각 공정을 실시하여 콘택홀(410)을 형성한다.Subsequently, as shown in FIG. 4C, the etch stop layer 407 between the adjacent gate electrode patterns G1 to G4 is etched by etching the interlayer insulating film 408 as an etched layer using the photoresist pattern 409 as an etch mask. The contact hole 410 is formed by performing a SAC etching process that exposes the exposed portions.

한편, 전술한 바와 같이 포토레지스트 패턴 형성 전에 하드마스크용 물질막을 형성하는 경우에는 포토레지스트 패턴(409)을 마스크로 하드마스크용 물질막을 식각하여 패턴을 전사한 후, 패터닝된 하드마스크용 물질막을 이용하여 층간절연막(408)을 식각한다.Meanwhile, as described above, when the hard mask material film is formed before the photoresist pattern is formed, the hard mask material film is etched using the photoresist pattern 409 as a mask to transfer the pattern, and then the patterned hard mask material film is used. The interlayer insulating film 408 is etched.

이 때, 층간절연막(408)의 식각은 통상의 SAC 식각 공정의 레시피를 적용하는 바, 불소계플라즈마 예컨대, C3F3, C2F4, C2 F6, C3F8, C4F6, C5F8 또는 C5F10 등의 CxFy(x,y는 1 ∼ 10)를 주식각가스로 하며, 여기에 SAC 공정시 폴리머를 발생시키기 위한 가스 즉, CH2F2, C3HF5 또는 CHF3 등의 가스와 O2를 첨가하며, 이 때 캐리어 가스로 He, Ne, Ar 또는 Xe 등의 비활성 가스를 사용한다.At this time, the etching of the interlayer insulating film 408 is applied to the recipe of the conventional SAC etching process, fluorine-based plasma, for example, C 3 F 3 , C 2 F 4 , C 2 F 6 , C 3 F 8 , C 4 F CxFy (x, y is 1 to 10) such as 6 , C 5 F 8, or C 5 F 10 is used as a stock angle gas, and is a gas for generating a polymer in the SAC process, that is, CH 2 F 2 , C 3 A gas such as HF 5 or CHF 3 and O 2 are added, and an inert gas such as He, Ne, Ar, or Xe is used as a carrier gas.

이어서, 식각정지막(407)을 제거하여 기판(400, 구체적으로는 불순물 확산영역)을 노출시킨다. 식각정지막(407)의 식각은 블랭킷 식각을 주로 이용한다. 이 때 콘택홀(410)이 형성된 게이트전극 패턴(G1 ∼ G4) 측면에서는 식각정지막(407)이 제거되어 스페이서(407a) 형상으로 남는다.Subsequently, the etch stop layer 407 is removed to expose the substrate 400 (specifically, an impurity diffusion region). The etching of the etch stop layer 407 mainly uses blanket etching. At this time, the etch stop layer 407 is removed on the side of the gate electrode patterns G1 to G4 where the contact holes 410 are formed to remain in the shape of the spacer 407a.

후속 공정으로는, 통상의 포토레지스트 스트립 공정을 적용하여 포토레지스트 패턴(409)을 제거하고, 콘택홀(410) 저면의 CD를 확보하고 SAC 및 블랭킷 식각 등에 공정 후 잔류하는 식각 부산물을 제거하기 위해 BOE 등의 세정액을 이용하여 습식 세정을 실시한다. 세정 시에는 BOE 또는 불산을 이용하는 바, 불산의 경우 물과 불산의 비가 50:1 ∼ 500:1인 묽은 물산을 이용하는 것이 바람직하다.Subsequently, in order to remove the photoresist pattern 409 by applying a conventional photoresist strip process, to secure the CD of the bottom of the contact hole 410, and to remove the etching by-products remaining after the process such as SAC and blanket etching. Wet cleaning is performed using cleaning liquids, such as BOE. When washing, BOE or hydrofluoric acid is used. In the case of hydrofluoric acid, it is preferable to use dilute hydrochloric acid having a ratio of 50: 1 to 500: 1.

또한, 콘택홀(410)이 형성된 기판(400) 전면에 플러그 형성용 전도막을 증착하여 콘택홀(410)을 충분히 매립시킨 후, 아이솔레이션 공정을 실시하여 콘택 패드를 형성한다. In addition, after the plug forming conductive film is deposited on the entire surface of the substrate 400 on which the contact hole 410 is formed, the contact hole 410 is sufficiently filled, and then an isolation process is performed to form a contact pad.                     

전술한 바와 같이 이루어지는 본 발명은, 게이트 하드마스크의 어택을 방지하기 위해 게이트전극 패턴 형성시 미리 기존의 하드마스크 상부에 금속 등의 전도성의 희생 하드마스크가 적층된 구조로 게이트전극 패턴을 형성하고, 층간절연막 증착 후 게이트전극 패턴 상부가 노출될 때까지 또는 희생 하드마스크가 일부 남도록 CMP 공정을 실시하여 SAC 식각마스크인 하드마스크 또는 포토레지스트 패턴이 게이트전극 패턴 상부에 위치하도록 하여 식각 타겟을 줄임으로써, 게이트전극 패턴의 게이트 하드마스크의 어택을 방지하며, 콘택 면적을 충분히 확보할 수 있음을 실시예를 통해 알아 보았다.
According to the present invention made as described above, in order to prevent the attack of the gate hard mask, the gate electrode pattern is formed in a structure in which a sacrificial hard mask of conductive material such as metal is stacked on the existing hard mask in advance when the gate electrode pattern is formed, After the deposition of the interlayer dielectric layer, the CMP process is performed until the upper portion of the gate electrode pattern is exposed or a portion of the sacrificial hard mask remains, thereby reducing the etching target by placing a hard mask or photoresist pattern, which is a SAC etching mask, on the gate electrode pattern. The embodiment has been found to prevent the attack of the gate hard mask of the gate electrode pattern and to sufficiently secure the contact area.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it is to be understood that the invention is not limited to the disclosed exemplary embodiments. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

예컨대, 전술한 본 발명의 실시예에서는 T 타입의 SAC 공정만을 그 예로 하였으나, 이외에도 라인(Line) 타입이나, 홀(Hole) 타입의 SAC 공정에도 적용이 가능하며, 게이트전극 패턴 사이 뿐만아니라 비트라인 사이를 오픈시키는 공정(즉, 스토리지노드 콘택홀 형성 공정) 또는 비아 콘택 형성 공정 등 다양한 반도체 제조 공정에 적용이 가능하다.
For example, in the above-described embodiment of the present invention, only the T type SAC process is used as an example. In addition, the SAC process may be applied to a line type or hole type SAC process, and not only between the gate electrode patterns but also the bit line. The semiconductor device may be applied to various semiconductor manufacturing processes such as opening a gap (ie, a storage node contact hole forming step) or a via contact forming step.

상술한 바와 같은 본 발명은, 콘택 플러그 형성시 식각선택비의 한계를 극복하여 SAC 페일을 방지할 수 있어, 반도체소자의 공정마진 및 수율을 향상시킬 수 있는 효과가 있다. As described above, the present invention can avoid SAC fail by overcoming the limitation of the etching selectivity when forming the contact plug, thereby improving the process margin and yield of the semiconductor device.

Claims (12)

기판 상에 전도성 희생 하드마스크/절연성 하드마스크/전도막의 적층 구조를 갖는 복수의 도전패턴을 형성하는 단계;Forming a plurality of conductive patterns having a stacked structure of a conductive sacrificial hard mask / insulating hard mask / conductive film on the substrate; 상기 도전패턴을 포함하는 구조물 표면을 따라 식각정지막을 형성하는 단계;Forming an etch stop layer along a surface of the structure including the conductive pattern; 상기 복수의 도전패턴을 포함하는 기판 전면에 층간절연막을 형성하는 단계;Forming an interlayer insulating film on an entire surface of the substrate including the plurality of conductive patterns; 상기 절연성 하드마스크가 노출되는 연마 타겟으로 화학기계적연마 공정을 실시하는 단계;Performing a chemical mechanical polishing process on the polishing target to which the insulating hard mask is exposed; 상기 절연성 하드마스크와 상기 층간절연막 상에 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern on the insulating hard mask and the interlayer insulating film; 상기 포토레지스트 패턴을 식각마스크로 상기 층간절연막을 식각하여 상기 도전패턴 사이의 상기 식각정지막을 노출시키는 콘택홀을 형성하는 단계; 및Etching the interlayer insulating layer using the photoresist pattern as an etch mask to form a contact hole exposing the etch stop layer between the conductive patterns; And 상기 식각정지막을 선택적으로 식각하여 상기 콘택홀 아래 기판을 노출시킴과 동시에 상기 도전패턴 측벽에 스페이서를 형성하는 단계Selectively etching the etch stop layer to expose a substrate under the contact hole and simultaneously forming spacers on sidewalls of the conductive pattern 를 포함하는 반도체소자의 자기정렬콘택 형성 방법.Self-aligning contact forming method of a semiconductor device comprising a. 삭제delete 청구항 3은(는) 설정등록료 납부시 포기되었습니다.Claim 3 was abandoned when the setup registration fee was paid. 제 1 항에 있어서,The method of claim 1, 상기 화학기계적연마 공정시 산화막 계열과 질화막 계열의 연마선택비가 0.8 : 1 내지 1.2 : 1인 연마 조건을 이용하는 것을 특징으로 하는 반도체소자의 자기정렬콘택 형성 방법.A method of forming a self-aligned contact in a semiconductor device, characterized in that during the chemical mechanical polishing process, polishing conditions using an oxide selectivity and a nitride selectivity are 0.8: 1 to 1.2: 1. 청구항 4은(는) 설정등록료 납부시 포기되었습니다.Claim 4 was abandoned when the registration fee was paid. 제 1 항에 있어서,The method of claim 1, 상기 희생 하드마스크는,The sacrificial hard mask, 폴리실리콘막, Al막, W막, WSix(x는 1 내지 2)막, WN막, Ti막, TiN막, TiSix(x는 1 내지 2)막, TiAlN막, TiSiN막, Pt막, Ir막, IrO2막, Ru막, RuO2막, Ag막, Au막, Co막, Au막, TaN막, CrN막, CoN막, MoN막, MoSix(x는 1 내지 2)막, Al2O3막, AlN막, PtSix(x는 1 내지 2)막 및 CrSix(x는 1 내지 2)막으로 이루어진 그룹으로부터 선택된 적어도 어느 하나의 박막인 것을 특징으로하는 반도체소자의 자기정렬콘택 형성 방법.Polysilicon film, Al film, W film, WSix (x is 1-2) film, WN film, Ti film, TiN film, TiSix (x is 1-2) film, TiAlN film, TiSiN film, Pt film, Ir film , IrO 2 film, Ru film, RuO 2 film, Ag film, Au film, Co film, Au film, TaN film, CrN film, CoN film, MoN film, MoSix (x is 1 to 2) film, Al 2 O 3 And at least one thin film selected from the group consisting of a film, an AlN film, a PtSix (x is 1 to 2) film, and a CrSix (x is 1 to 2) film. 청구항 5은(는) 설정등록료 납부시 포기되었습니다.Claim 5 was abandoned upon payment of a set-up fee. 제 4 항에 있어서,The method of claim 4, wherein 상기 희생 하드마스크를 300Å 내지 3000Å의 두께로 형성하는 것을 특징으로 하는 반도체소자의 자기정렬콘택 형성 방법.And forming the sacrificial hard mask in a thickness of 300 mW to 3000 mW. 청구항 6은(는) 설정등록료 납부시 포기되었습니다.Claim 6 was abandoned when the registration fee was paid. 제 1 항에 있어서,The method of claim 1, 상기 절연성 하드마스크는 질화막 계열인 것을 특징으로 하는 반도체소자의 자기정렬콘택 형성 방법.The insulating hard mask is a nitride film-based method for forming a self-aligned contact of the semiconductor device. 청구항 7은(는) 설정등록료 납부시 포기되었습니다.Claim 7 was abandoned upon payment of a set-up fee. 제 6 항에 있어서,The method of claim 6, 상기 절연성 하드마스크를 1000Å 내지 5000Å의 두께로 형성하는 것을 특징으로 하는 반도체소자의 자기정렬콘택 형성 방법.Forming an insulating hard mask having a thickness of 1000 mW to 5000 mW. 청구항 8은(는) 설정등록료 납부시 포기되었습니다.Claim 8 was abandoned when the registration fee was paid. 제 1 항에 있어서,The method of claim 1, 상기 층간절연막을 식각하는 단계에서,In the etching of the interlayer insulating film, CxFy(x,y는 1 ∼ 10)를 주식각가스로 하며, 여기에 CH2F2, C3HF5 또는 CHF3 중 어느 하나의 가스와 O2를 첨가하며, 캐리어 가스로 He, Ne, Ar 또는 Xe 중 어느 하나의 가스를 사용하는 것을 특징으로 하는 반도체소자의 자기정렬콘택 형성 방법.CxFy (x, y is 1 to 10) as a stock angle gas, and any one of CH 2 F 2 , C 3 HF 5, or CHF 3 and O 2 are added thereto, and as a carrier gas, He, Ne, Method for forming a self-aligned contact of a semiconductor device, characterized in that using any one of Ar or Xe gas. 청구항 9은(는) 설정등록료 납부시 포기되었습니다.Claim 9 was abandoned upon payment of a set-up fee. 제 1 항에 있어서,The method of claim 1, 상기 화학기계적연마 공정 후, After the chemical mechanical polishing process, 상기 절연성 하드마스크 및 상기 층간절연막과 상기 포토레지스트 패턴 사이에 반사방지막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체소자의 자기정렬콘택 형성 방법.And forming an anti-reflection film between the insulating hard mask and the interlayer insulating film and the photoresist pattern. 청구항 10은(는) 설정등록료 납부시 포기되었습니다.Claim 10 was abandoned upon payment of a setup registration fee. 제 9 항에 있어서,The method of claim 9, 상기 반사방지막을 형성하는 단계 후, 상기 반사방지막 상에 하드마스크용 물질막을 형성하는 단계를 더 포함하며, After forming the anti-reflection film, further comprising the step of forming a material layer for the hard mask on the anti-reflection film, 상기 포토레지스트 패턴을 형성하는 단계 후, 상기 포토레지스트 패턴을 식각마스크로 상기 하드마스크용 물질막을 식각하는 것을 특징으로 하는 반도체소자의 자기정렬콘택 형성 방법.After forming the photoresist pattern, etching the hardmask material layer using the photoresist pattern as an etching mask. 청구항 11은(는) 설정등록료 납부시 포기되었습니다.Claim 11 was abandoned upon payment of a setup registration fee. 제 1 항에 있어서,The method of claim 1, 상기 도전패턴은 게이트전극 또는 비트라인을 포함하는 것을 특징으로 하는 반도체소자의 자기정렬콘택 형성 방법.The conductive pattern may include a gate electrode or a bit line. 청구항 12은(는) 설정등록료 납부시 포기되었습니다.Claim 12 was abandoned upon payment of a registration fee. 제 1 항에 있어서,The method of claim 1, 상기 콘택홀은 바형, T형 또는 홀형 중 어느 하나의 패턴 형태인 것을 특징으로 하는 반도체소자의 자기정렬콘택 형성 방법.The contact hole is a self-aligned contact forming method of the semiconductor device, characterized in that the pattern of any one of the bar type, T type or hole type.
KR1020030085678A 2003-11-28 2003-11-28 Method for forming self align contact of semiconductor device KR101073130B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030085678A KR101073130B1 (en) 2003-11-28 2003-11-28 Method for forming self align contact of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030085678A KR101073130B1 (en) 2003-11-28 2003-11-28 Method for forming self align contact of semiconductor device

Publications (2)

Publication Number Publication Date
KR20050051988A KR20050051988A (en) 2005-06-02
KR101073130B1 true KR101073130B1 (en) 2011-10-12

Family

ID=37248132

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030085678A KR101073130B1 (en) 2003-11-28 2003-11-28 Method for forming self align contact of semiconductor device

Country Status (1)

Country Link
KR (1) KR101073130B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100762879B1 (en) * 2006-02-10 2007-10-08 주식회사 하이닉스반도체 Method of manufacturing semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100299257B1 (en) * 1998-07-13 2001-11-30 윤종용 Self-aligned contact formation method of semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100299257B1 (en) * 1998-07-13 2001-11-30 윤종용 Self-aligned contact formation method of semiconductor device

Also Published As

Publication number Publication date
KR20050051988A (en) 2005-06-02

Similar Documents

Publication Publication Date Title
US7427564B2 (en) Method for forming storage node contact plug in semiconductor device
KR100587635B1 (en) Method for fabrication of semiconductor device
JP4711658B2 (en) Manufacturing method of semiconductor device having fine pattern
KR100670662B1 (en) Method for fabrication of semiconductor device
KR100611776B1 (en) Method for fabrication of semiconductor device
KR100616499B1 (en) Method for fabrication of semiconductor device
KR100685677B1 (en) Method for fabrication of semiconductor device
KR101073130B1 (en) Method for forming self align contact of semiconductor device
KR101057759B1 (en) Semiconductor device manufacturing method
KR101073123B1 (en) Method for fabrication of semiconductor device
KR100571652B1 (en) Method for fabrication of semiconductor device capable of forming fine pattern
KR20060000912A (en) Method for fabrication of semiconductor device
KR20050041263A (en) Method for fabrication of semiconductor device
KR100507872B1 (en) Method for fabrication of semiconductor device
KR101046717B1 (en) Method for forming self-aligned contact of semiconductor device
KR100643568B1 (en) Method for fabrication of deep contact hole in semiconductor device
KR101024252B1 (en) Method for fabrication of semiconductor device
KR100701425B1 (en) Method for fabrication of semiconductor device
KR100910868B1 (en) Method for fabrication of semiconductor device
KR100816721B1 (en) Method for fabricating semiconductor device
KR100695417B1 (en) Method for fabrication of semiconductor device capable of forming fine pattern
KR20060023004A (en) Forming method of contact plug in semiconductor device
KR20050063410A (en) Method for fabrication of semiconductor device
KR100816720B1 (en) Method for fabricating semiconductor device
KR20050049255A (en) Method for fabrication of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee