KR100571652B1 - Method for fabrication of semiconductor device capable of forming fine pattern - Google Patents

Method for fabrication of semiconductor device capable of forming fine pattern Download PDF

Info

Publication number
KR100571652B1
KR100571652B1 KR1020030074178A KR20030074178A KR100571652B1 KR 100571652 B1 KR100571652 B1 KR 100571652B1 KR 1020030074178 A KR1020030074178 A KR 1020030074178A KR 20030074178 A KR20030074178 A KR 20030074178A KR 100571652 B1 KR100571652 B1 KR 100571652B1
Authority
KR
South Korea
Prior art keywords
hard mask
film
forming
etching
interlayer insulating
Prior art date
Application number
KR1020030074178A
Other languages
Korean (ko)
Other versions
KR20050038872A (en
Inventor
이민석
정태우
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020030074178A priority Critical patent/KR100571652B1/en
Priority to TW093119260A priority patent/TWI250558B/en
Priority to US10/925,856 priority patent/US7119013B2/en
Priority to JP2004304542A priority patent/JP4711658B2/en
Priority to CNB2004100865224A priority patent/CN1333456C/en
Publication of KR20050038872A publication Critical patent/KR20050038872A/en
Application granted granted Critical
Publication of KR100571652B1 publication Critical patent/KR100571652B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Abstract

본 발명은, 습식 세정에 의한 하드마스크의 리프팅 현상을 방지할 수 있는 ArF 포토리소그라피 공정을 적용한 반도체 장치 제조 방법을 제공하기 위한 것이다. 이를 위해 본 발명은, 절연성인 질화막을 콘택홀 패턴 형성시 포토레지스트 패턴(반사방지막)과 피식각층인 층간절연막 사이에 게재하여 하드마스크로 사용함으로써 ArF 노광원을 포토리소그라피 공정에 적용하는 초미세 패턴 형성 공정에서 패턴 변형을 방지하고, 절연성인 하드마스크 식각 공정과 층간절연막 식각 공정을 동일 챔버 내에서 실시할 수 있도록 함으로써 공정 시간을 단축하여 생산성을 높이며, SAC 식각 및 플러그 형성 공정에서 절연성인 하드마스크로 인해 기판과의 선택을 높여 플러그 물질의 증착시 SEG 공정 적용이 가능하게 한다.An object of the present invention is to provide a method for manufacturing a semiconductor device to which an ArF photolithography process can be applied, which can prevent a lifting phenomenon of a hard mask by wet cleaning. To this end, the present invention, by placing an insulating nitride film between the photoresist pattern (anti-reflective film) and the interlayer insulating film as an etched layer when forming a contact hole pattern to use as a hard mask, an ultrafine pattern for applying an ArF exposure source to a photolithography process Prevents pattern deformation in the forming process, improves productivity by shortening process time by allowing insulating hard mask etching process and interlayer insulating film etching process in the same chamber, and insulating hard mask in SAC etching and plug forming process This increases the choice with the substrate, enabling the SEG process to be applied during the deposition of plug material.

아울러, 본 발명은 층간절연막 증착 후 게이트 하드마스크까지 층간절연막을 평탄화하고, 평탄화된 상부에 하드마스크를 형성하여 질화막 계열의 물질막으로 서로 동질인 게이트 하드마스크와 하드마스크가 접촉되도록 함으로써, 층간절연막으로 인한 식각 타겟을 줄여 SAC 식각 공정 마진을 높임은 물론, 플러그 물질 증착 전에 실시하는 습식 세정에서 서로 이종막인 층간절연막과 하드마스크 사이의 계면 분리로 인한 하드마스크의 리프팅 현상을 방지할 수 있도록 한다.In addition, the present invention is to planarize the interlayer insulating film to the gate hard mask after the deposition of the interlayer insulating film, and to form a hard mask on the planarized upper surface to make the gate hard mask and the hard mask of the same material contact with the nitride film-based material film, interlayer insulating film By reducing the etching target due to the SAC etching process margin, and also to prevent the lifting phenomenon of the hard mask due to the separation of the interface between the interlayer insulating film and the hard mask, which is different from each other in the wet cleaning before the plug material deposition. .

불화아르곤(ArF), 질화막, 하드마스크, 리프팅, 평탄화, 라인 패턴, 홀 패턴.Argon fluoride (ArF), nitride film, hard mask, lifting, planarization, line pattern, hole pattern.

Description

미세 패턴 형성이 가능한 반도체 장치 제조 방법{METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE CAPABLE OF FORMING FINE PATTERN} TECHNICAL FOR FABRICATION OF SEMICONDUCTOR DEVICE CAPABLE OF FORMING FINE PATTERN}             

도 1a 내지 도 1d는 종래기술에 따른 랜딩 플러그 콘택 형성 공정을 도시한 단면도.1A-1D are cross-sectional views illustrating a landing plug contact forming process according to the prior art.

도 2는 LPC 형성 공정에서 발생한 ArF 포토레지스트 패턴의 변형을 도시한 SEM 사진.2 is a SEM photograph showing the deformation of the ArF photoresist pattern generated in the LPC formation process.

도 3은 ArF 공정 기술을 적용한 LPC 형성 공정에서 게이트 하드마스크의 손실을 도시한 SEM 사진.Figure 3 is a SEM photograph showing the loss of the gate hard mask in the LPC formation process applying the ArF process technology.

도 4는 ArF 공정 기술을 적용한 LPC 형성 공정에서 패턴이 무너지는 현상을 도시한 SEM 사진.4 is a SEM photograph showing a phenomenon in which a pattern collapses in an LPC forming process to which an ArF process technology is applied.

도 5a 내지 도 5f는 개선된 종래기술에 따른 ArF 노광원을 이용한 반도체소자의 패턴 형성 공정을 도시한 단면도.5A to 5F are sectional views showing a pattern forming process of a semiconductor device using an ArF exposure source according to the improved prior art.

도 6은 습식 세정 공정 후 발생하는 하드마스크의 리프팅 현상을 설명하기 위한 SEM 사진.6 is a SEM photograph for explaining the lifting phenomenon of the hard mask generated after the wet cleaning process.

도 7a 내지 도 7g는 본 발명의 일실시예에 따른 ArF 노광원을 이용한 반도체소자의 패턴 형성 공정을 도시한 단면도.7A to 7G are cross-sectional views illustrating a pattern forming process of a semiconductor device using an ArF exposure source according to an embodiment of the present invention.

도 8a 및 도 8b는 하드마스크의 두께를 670Å으로 하였을 경우의 SAC 공정시 각 물질막의 두께의 변화 및 TEM 사진을 도시한 도면.8A and 8B illustrate changes in thicknesses and TEM photographs of respective material films during the SAC process when the thickness of the hard mask is set to 670 kPa.

도 9a 및 도 9b는 하드마스크의 두께를 900Å으로 하였을 경우의 SAC 공정시 각 물질막의 두께의 변화 및 TEM 사진을 도시한 도면.9A and 9B illustrate changes in thicknesses and TEM photographs of respective material layers during the SAC process when the thickness of the hard mask is 900 mW;

도 10a 및 도 10b는 하드마스크의 두께를 1000Å으로 하였을 경우의 SAC 공정시 각 물질막의 두께의 변화 및 TEM 사진을 도시한 도면.10A and 10B are diagrams illustrating changes in the thickness of each material film and a TEM photograph during the SAC process when the thickness of the hard mask is 1000 mW.

도 11은 하드마스크의 두께를 1150Å으로 하였을 경우의 SAC 공정시 각 물질막의 두께의 변화를 도시한 그래프.FIG. 11 is a graph showing changes in the thickness of each material film during the SAC process when the thickness of the hard mask is set to 1150 GPa; FIG.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

70 : 기판 71 : 게이트 절연막70 substrate 71 gate insulating film

72 : 게이트 전도막 73 : 게이트 하드마스크72: gate conductive film 73: gate hard mask

74 : 불순물 확산영역 75, 75a: 식각정지막74: impurity diffusion region 75, 75a: etch stop film

76 : 층간절연막 83 : 플러그76: interlayer insulating film 83: plug

본 발명은 반도체 장치 제조 방법에 관한 것으로 특히, 반도체 장치의 미세 패턴 형성 방법에 관한 것으로, 더욱 상세하게는 질화막을 하드마스크로 이용하며 ArF 포토리소그라피 공정을 적용한 80nm 이하의 미세 패턴 형성 방법에 관한 것이다.The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of forming a fine pattern of a semiconductor device, and more particularly, to a method of forming a fine pattern of 80 nm or less using an ArF photolithography process using a nitride film as a hard mask. .

일반적으로 반도체 장치는 그 내부에 다수의 단위 소자들을 포함하여 이루어진다. 반도체 장치가 고집적화되면서 일정한 셀(Cell) 면적 상에 고밀도로 반도체 소자들을 형성하여야 하며, 이로 인하여 단위 소자, 예를 들면 트랜지스터, 캐패시터들의 크기는 점차 줄어들고 있다. 특히 DRAM(Dynamic Random Access Memory)과 같은 반도체 메모리 장치에서 디자인 룰(Design rule)이 감소하면서 셀의 내부에 형성되는 반도체 소자들의 크기가 점차 작아지고 있다. 실제로 최근 반도체 DRAM 장치의 최소 선폭은 0.1㎛ 이하로 형성되며, 80nm 이하까지도 요구되고 있다. 따라서 셀을 이루는 반도체 소자들의 제조 공정에 많은 어려움들이 발생하고 있다.In general, a semiconductor device includes a plurality of unit devices therein. As semiconductor devices become highly integrated, semiconductor devices must be formed at a high density on a predetermined cell area, thereby decreasing the size of unit devices, for example, transistors and capacitors. In particular, in semiconductor memory devices such as DRAM (Dynamic Random Access Memory), as the design rule is reduced, the size of semiconductor devices formed inside the cell is gradually decreasing. In fact, in recent years, the minimum line width of the semiconductor DRAM device is formed to 0.1㎛ or less, even up to 80nm is required. Therefore, many difficulties have arisen in the manufacturing process of the semiconductor devices forming the cell.

80nm 이하의 선폭을 갖는 반도체 소자에서 193nm의 파장을 갖는 ArF(불화아르곤) 노광을 이용하여 포토리소그라피 공정을 적용할 경우, 기존의 식각 공정 개념(정확한 패턴 형성과 수직한 식각 프로파일 등)에 식각 도중 발생되는 포토레지스트의 변형(Deformation)의 억제라는 추가의 요구 조건이 필요하게 된다. 이에 따라 80nm 이하의 반도체 소자 제조시에는, 식각의 관점에서 기존의 요구조건과 패턴 변형 방지라는 새로운 요구 조건을 동시에 만족하기 위한 공정 조건의 개발이 주요한 과제가 되었다.In the case of applying a photolithography process using ArF (argon fluoride) exposure having a wavelength of 193 nm in a semiconductor device having a line width of 80 nm or less, the etching process is performed in accordance with the conventional etching process concept (exact pattern formation and vertical etching profile, etc.). There is a need for additional requirements of suppression of deformation of the resulting photoresist. Accordingly, when manufacturing a semiconductor device of 80 nm or less, the development of process conditions for simultaneously satisfying the existing requirements and the new requirements of pattern deformation prevention has become a major problem in terms of etching.

한편, 반도체 소자의 고집적화가 가속화됨에 따라 반도체 소자를 이루는 여러 요소들은 적층 구조를 이루게 되었고, 이에 따라 도입된 것이 콘택 플러그(또는 패드) 개념이다.Meanwhile, as the high integration of semiconductor devices is accelerated, various elements of the semiconductor devices have a stacked structure, and thus, a contact plug (or pad) concept has been introduced.

이러한 콘택 플러그를 형성함에 있어서, 하부에서의 최소의 면적으로 접촉 면적을 넓히며 상부에서는 후속 공정에 대한 공정 마진을 넓히기 위해 콘택되는 하부에 비해 그 상부의 면적이 큰 일명, 랜딩 플러그 콘택(Landing plug contact) 기술이 도입되어 통상적으로 사용되고 있다. In forming such a contact plug, a landing plug contact is known as having a larger area at the upper part than the lower part contacted to increase the contact area with a minimum area at the lower part and to increase the process margin for subsequent processes at the upper part. ) Technology has been introduced and commonly used.

<종래기술><Private Technology>

이하, 전술한 랜딩 플러그 콘택 공정을 살펴 보는 바, 도 1a 내지 도 1d는 종래기술에 따른 랜딩 플러그 콘택 형성 공정을 도시한 단면도이다.1A to 1D are cross-sectional views illustrating a landing plug contact forming process according to the prior art.

먼저 도 1a에 도시된 바와 같이, 반도체 장치를 이루기 위한 여러 요소 예컨대, 필드절연막과 웰 등이 형성된 반도체 기판(10) 상에 게이트 하드마스크(13)/게이트 전도막(12)/게이트 절연막(11)이 적층된 게이트전극 패턴(G1, G2)을 형성한다.First, as shown in FIG. 1A, a gate hard mask 13 / gate conductive film 12 / gate insulating film 11 is formed on a semiconductor substrate 10 on which various elements for forming a semiconductor device, for example, a field insulating film and a well, are formed. ) Are stacked to form the gate electrode patterns G1 and G2.

게이트 절연막(11)은 실리콘 산화막 등의 통상적인 산화막 계열의 물질막을 이용하고, 게이트 전도막(12)은 통상 폴리실리콘, W, WN, WSix 또는 이들의 조합된 형태를 이용한다. The gate insulating film 11 uses a conventional oxide-based material film such as a silicon oxide film, and the gate conductive film 12 usually uses polysilicon, W, WN, WSi x, or a combination thereof.

게이트 하드마스크(13)는 후속 콘택 형성을 위한 식각 공정 중 층간절연막을 식각하여 콘택홀을 형성하는 과정에서 게이트 전도막(12)을 보호하기 위한 것으로서, 층간절연막과 식각 속도가 현저하게 차이나는 물질을 사용한다. 예컨대, 층간절연막으로 산화막 계열을 사용할 경우에는 실리콘 질화막(SiN) 또는 실리콘 산화 질화막(SiON) 등의 질화막 계열의 물질을 사용하고, 층간절연막으로 폴리머계 저유전율막을 사용할 경우에는 산화막 계열의 물질을 사용한다.The gate hard mask 13 is used to protect the gate conductive layer 12 in the process of forming the contact hole by etching the interlayer insulating layer during the etching process for subsequent contact formation, and the etching speed is significantly different from that of the interlayer insulating layer. Use For example, when an oxide-based layer is used as the interlayer insulating film, a nitride-based material such as silicon nitride film (SiN) or a silicon oxynitride film (SiON) is used, and when a polymer-based low dielectric film is used as the interlayer insulating film, an oxide-based material is used. do.

게이트전극 패턴(G1, G2) 사이의 기판(10)에 소스/드레인 접합 등의 불순물 확산영역(14)을 형성한다.An impurity diffusion region 14 such as a source / drain junction is formed in the substrate 10 between the gate electrode patterns G1 and G2.

통상, 이온주입을 통해 게이트전극 패턴(G1, G2) 사이에 소스/드레인 접합 영역을 형성하는 경우 게이트전극 패턴(G1, G2)에 얼라인되도록 이온주입을 통해 기판(10)에 불순물을 주입시킨 다음, 게이트전극 패턴(G1, G2) 측벽에 스페이서를 형성하고 다시 이온주입을 실시하여 LDD(Lightly Doped Drain) 구조가 되도록 하는 바, 여기서는 LDD 구조 및 스페이서 형성 공정을 생략하였다.In general, when the source / drain junction region is formed between the gate electrode patterns G1 and G2 through ion implantation, impurities are implanted into the substrate 10 through ion implantation so as to be aligned with the gate electrode patterns G1 and G2. Next, spacers are formed on sidewalls of the gate electrode patterns G1 and G2 and ion implantation is performed again to form a lightly doped drain (LDD) structure. Here, the LDD structure and the spacer forming process are omitted.

게이트전극 패턴(G1, G2)이 형성된 전면에 후속 자기정렬콘택(Self Align Contact; 이하 SAC 이라 함) 방식을 이용한 식각 공정에서 기판(10)의 어택을 방지하기 위해 식각 멈춤 역할을 하는 식각정지막(15)을 형성한다. 이 때, 게이트전극 패턴(G1, G2)의 프로파일을 따라 식각정지막(15)이 형성되도록 하는 것이 바람직하며, 질화막 계열의 물질막을 이용한다.An etch stop layer acts as an etch stop to prevent attack of the substrate 10 in an etching process using a subsequent self-aligned contact (SAC) method on the entire surface where the gate electrode patterns G1 and G2 are formed. (15) is formed. In this case, the etch stop film 15 may be formed along the profiles of the gate electrode patterns G1 and G2, and a nitride film-based material film is used.

다음으로 도 1b에 도시한 바와 같이, 식각정지막(15)이 형성된 전체 구조 상부에 산화막 계열의 층간절연막(16)을 형성한다.Next, as shown in FIG. 1B, an oxide-based interlayer insulating film 16 is formed on the entire structure where the etch stop film 15 is formed.

층간절연막(16)을 산화막 계열의 물질막으로 이용할 경우에는 BSG(Boro-Silicate-Glass)막, BPSG(Boro-Phopho-Silicate-Glass)막, PSG(Phospho-Silicate-Glass)막, TEOS(Tetra-Ethyl-Ortho-Silicate)막, HDP(High Density Plasma) 산화막 또는 SOG(Spin On Glass)막 등을 이용하며, 산화막 계열 이외에 무기 또는 유기 계 열의 저유전율막을 이용할 수 있다.When the interlayer insulating film 16 is used as an oxide-based material film, a BSG (Boro-Silicate-Glass) film, BPSG (Boro-Phopho-Silicate-Glass) film, PSG (Phospho-Silicate-Glass) film, TEOS (Tetra) -Ethyl-Ortho-Silicate (HDP) film, HDP (High Density Plasma) film, or SOG (Spin On Glass) film, etc. may be used. In addition to the oxide film, an inorganic or organic low dielectric constant film may be used.

이어서, 층간절연막(16) 상에 LPC 형성을 위한 포토레지스트 패턴(17)을 형성한다. 포토레지스트 패턴(17)과 층간절연막(16) 사이에 통상 반사방지막을 사용하나 여기서는 설명의 간략화를 위해 생략하였다.Subsequently, a photoresist pattern 17 for forming an LPC is formed on the interlayer insulating film 16. An anti-reflection film is usually used between the photoresist pattern 17 and the interlayer insulating film 16, but is omitted here for the sake of simplicity.

계속해서 도 1c에 도시된 바와 같이, 포토레지스트 패턴(17)을 식각마스크로 층간절연막(16)과 식각정지막(15)을 식각하여 이웃하는 두 게이트전극 패턴(G1, G2) 사이의 불순물 확산영역(14)을 노출시키는 콘택홀(18)을 형성한다. Subsequently, as shown in FIG. 1C, the interlayer insulating layer 16 and the etch stop layer 15 are etched using the photoresist pattern 17 as an etch mask to diffuse impurities between two adjacent gate electrode patterns G1 and G2. The contact hole 18 exposing the region 14 is formed.

전술한 콘택홀(18) 형성 공정은 대체적으로, 층간절연막(16)과 게이트 하드마스크(13)의 식각선택비를 이용한 SAC 식각 공정으로 통상 포토레지스트 패턴(16)을 식각마스크로 층간절연막(16)을 식각하여 식각정지막(15)에서 식각 멈춤을 하는 식각 공정과 식각정지막(15)을 제거하여 기판(10, 구체적으로는 불순물 확산영역(14))을 노출시키는 공정과 콘택홀(18)의 개구부를 확장하며 식각 잔류물을 제거하기 위한 세정 공정 등으로 나뉜다. 이러한 식각 공정에서는 주로 CF4 등의 CxFy(x,y는 1 ∼ 10) 가스와 CH2F2 등의 CaHbFc(a,b,c는 1 ∼ 10) 가스를 혼합하여 사용한다.The above-mentioned contact hole 18 forming process is generally a SAC etching process using an etching selectivity of the interlayer insulating film 16 and the gate hard mask 13. The photoresist pattern 16 is commonly used as an etch mask. ) And the process of exposing the substrate 10 (specifically, the impurity diffusion region 14) by removing the etch stop layer 15 by etching the etch stop layer 15 by etching. It is divided into the cleaning process to remove the etching residues by expanding the opening of the). In such an etching process, CxFy (x, y is 1 to 10) gas, such as CF 4 , and CaHbFc (a, b, c is 1 to 10) gas, such as CH 2 F 2 , are mixed and used.

한편, 고집적화에 따라 게이트전극 패턴(G1, G2)의 수직 높이가 증가하고, 이에 따라 증가한 식각 타겟에 해당한 만큼 SAC 식각시 식각 가스의 과도한 사용과 식각 시간의 증가가 불가피하다. 이는 결국 도 1c의 도면부호 '19'와 같이 게이트 하드마스크(13)의 손실을 초래하게 된다.Meanwhile, as the high integration increases, the vertical heights of the gate electrode patterns G1 and G2 increase, and thus, an excessive use of the etching gas and an increase in the etching time are inevitable when the SAC etching corresponds to the increased etching target. This results in loss of the gate hard mask 13 as shown by reference numeral 19 in FIG. 1C.

애싱(Ashing) 공정을 통해 포토레지스트 패턴(17)을 제거하는 바, 반사방지막으로 유기 계열의 물질을 사용할 경우 이러한 애싱 공정에서 포토레지스트 패턴(17)과 같이 제거된다.The photoresist pattern 17 is removed through an ashing process. When an organic material is used as the antireflection film, the photoresist pattern 17 is removed like the photoresist pattern 17 in the ashing process.

계속해서, 도 1d에 도시된 바와 같이, 콘택홀(18)이 형성된 전면에 플러그 형성용 전도성 물질을 증착하여 콘택홀(18)을 충분히 매립시킨 다음, 게이트 하드마스크(13)가 노출되는 타겟으로 평탄화 공정을 실시하여 콘택홀(18)을 통해 불순물 확산영역(14)과 전기적으로 도통되며 게이트 하드마스크(13)와 상부가 평탄화된 플러그(20)를 형성한다.Subsequently, as shown in FIG. 1D, a conductive material for forming a plug is deposited on the entire surface where the contact hole 18 is formed to sufficiently fill the contact hole 18, and then to a target to which the gate hard mask 13 is exposed. The planarization process is performed to form a plug 20 electrically connected to the impurity diffusion region 14 through the contact hole 18 and having the gate hard mask 13 and the upper portion flattened.

플러그(20) 형성용 전도성 물질막으로 가장 많이 사용되는 물질은 폴리실리콘이며, Ti, TiN 등의 배리어메탈층과 적층하여 형성하기도 하며, 텅스텐 등을 사용하기도 한다.The most commonly used material for forming the plug 20 is polysilicon, and may be formed by laminating with barrier metal layers such as Ti and TiN, and may also use tungsten.

한편, 전술한 도 1c에서 SAC 공정시 게이트 하드마스크(13)이 손실되므로 인해 플러그(20)과 게이트 전도막(12) 사이의 절연 특성이 열화될 뿐만아니라, 게이트 하드마스크(13)의 손실이 심하게 발생하여 게이트 전도막(12)이 노출될 경우 '도 1d의 도면부호21'과 같이 플러그(20)와 게이트 전도막(12) 사이의 전기적 단락 현상이 발생하게 된다.Meanwhile, since the gate hard mask 13 is lost during the SAC process in FIG. 1C described above, not only the insulation property between the plug 20 and the gate conductive layer 12 is degraded, but also the loss of the gate hard mask 13 is reduced. When the gate conductive layer 12 is severely exposed, an electrical short circuit occurs between the plug 20 and the gate conductive layer 12 as shown by reference numeral 21 of FIG. 1D.

이상에서 살펴본 바와 같이, 반도체 소자의 고집적화에 따라 LPC 형성 공정에서 게이트 하드마스크(13)의 손실은 불가피하다. 또한, 고해상도를 구현하기 위해 포토레지스트 패턴의 두께 또한 감소해야 하므로 이로 인해 식각 공정에서 포토레지스트 패턴의 마스크로서의 기능이 현저히 감소하게 되었다. 따라서, 이를 극복 하기 위해 포토레지스트 패턴(반사방지막을 사용할 경우 반사방지막)과 피식각층 사이에 하드마스크를 사용하는 기술이 도입되었으며, KrF(불화크롬) 또는 ArF 등의 레이저를 노광원으로 사용하는 포토리소그라피 공정에서 폭넓게 사용되고 있으며, 이러한 하드마스크용 물질로 연구 및 사용되어지고 있는 것은 텅스텐과 폴리실리콘이다.As described above, the loss of the gate hard mask 13 is inevitable in the LPC forming process due to the high integration of semiconductor devices. In addition, since the thickness of the photoresist pattern must also be reduced in order to achieve high resolution, this significantly reduces the function of the photoresist pattern as a mask in the etching process. Therefore, in order to overcome this problem, a technology using a hard mask is introduced between the photoresist pattern (antireflection film when using an antireflection film) and the etching layer, and a photo using a laser such as KrF (chromium fluoride) or ArF as an exposure source. Tungsten and polysilicon are widely used in the lithography process and are being studied and used as materials for such hard masks.

ArF용 포토레지스트는 아직까지 상용화 되어 있는 것으로는 COMA(CycloOlefin-Maleic Anhydride) 또는 아크릴레이드(Acrylate) 계통의 폴리머 형태, 또는 이들의 혼합 형태를 포함하고 있다. The photoresist for ArF is still commercially available and includes a polymer form of COMA (CycloOlefin-Maleic Anhydride) or Acrylate system, or a mixture thereof.

하지만, 주지된 바와 같이 ArF 노광원을 이용한 포토리소그라피 공정에서는 미세화에 적합하다는 장점이 있지만, KrF 포토리소그라피 공정 기술 등에 비해 여러가지 단점이 존재한다.However, as is well known, the photolithography process using the ArF exposure source has an advantage of being suitable for miniaturization, but there are various disadvantages compared to the KrF photolithography process technology.

도 2는 LPC 형성 공정에서 발생한 ArF 포토레지스트 패턴의 변형을 도시한 SEM(Scanning Electron Microscopy) 사진이며, 도 3은 ArF 공정 기술을 적용한 LPC 형성 공정에서 게이트 하드마스크의 손실을 도시한 SEM 사진이며, 도 4는 ArF 공정 기술을 적용한 LPC 형성 공정에서 패턴이 무너지는 현상을 도시한 SEM 사진이다.2 is a SEM (Scanning Electron Microscopy) photograph showing the deformation of the ArF photoresist pattern generated in the LPC formation process, Figure 3 is a SEM photograph showing the loss of the gate hard mask in the LPC formation process using the ArF process technology, FIG. 4 is a SEM photograph illustrating a phenomenon in which a pattern collapses in an LPC forming process to which an ArF process technology is applied.

도 2를 참조하면, ArF 포토리소그라피 공정을 적용한 LPC 형성 공정에서는 패턴을 형성하기 위해 식각공정을 진행할 때 'A'와 같이 스트라이에이션(Striation)이 일어났음을 알 수 있다. 이는 LPC 형성을 위한 식각 공정에서 주로 사용되는 불소계 가스에 대한 약한 식각 내성으로 인해 발생되는 전술한 ArF용 포토레지스트의 특성에 기인한 것이다.Referring to FIG. 2, it can be seen that in the LPC forming process to which the ArF photolithography process is applied, striation occurs as shown in 'A' when the etching process is performed to form a pattern. This is due to the above-mentioned characteristics of the photoresist for ArF, which is generated due to the weak etching resistance to the fluorine-based gas mainly used in the etching process for forming LPC.

도 3을 참조하면, LPC 형성을 위한 SAC 식각 공정 후 도시된 'B'와 같이 800Å 이상의 게이트 하드마스크의 손실이 발생하였음을 알 수 있다.Referring to FIG. 3, it can be seen that after the SAC etching process for forming the LPC, a loss of a gate hard mask of 800 Å or more occurred as shown in 'B'.

도 4의 (a)를 참조하면, LPC 형성을 위한 바형의 ArF 포토레지스트 패턴이 도시된 'C'와 같이 무너져(Pattern collapse) 소자 결함을 유발함을 알 수 있으며, 도 4의 (b)를 참조하면, 도시된 'D'와 같이 ArF 포토레지스트 패턴의 약한 부분(Weak point)에서 패턴 불량이 발생함을 알 수 있다.Referring to (a) of FIG. 4, it can be seen that the bar-shaped ArF photoresist pattern for forming LPC collapses as shown in 'C', causing device defects. Referring to FIG. 3, it can be seen that a pattern defect occurs at a weak point of the ArF photoresist pattern as illustrated in 'D'.

층간절연막을 식각하는 SAC 식각 중 게이트 하드마스크 손실의 최소화 및 충분한 콘택 면적의 확보와 같은 LPC 형성 공정시 기존의 요구 조건은 패턴 변형(Deformation)이 발생하지 않도록 하는 ArF 포토리소그라피 공정과 트레이드-오프(Trade-off) 관계이므로, 전극의 온도(Electrode temperature)와 파워(Power) 등의 공정 변수(Parameter)를 변화시킴에 따라 패턴 변형과 기존의 요구 조건은 서로 역으로 변화게 되어 공정 마진의 감소를 초래하고 결과적으로 공정 조건의 셋업(Set up)에 어려움을 주게 된다.The existing requirements in the LPC formation process, such as minimizing gate hardmask loss and ensuring sufficient contact area during SAC etching of interlayer dielectrics, are based on the ArF photolithography process and trade-off process to avoid pattern deformation. As it is a trade-off relationship, as the process parameters such as electrode temperature and power are changed, the pattern deformation and the existing requirements are reversed to each other, reducing the process margin. This results in difficulty in setting up process conditions.

예를 들면, KrF 노광원을 이용한 포토리소그라피 공정을 적용할 경우 LPC 형성을 위한 SAC 식각 공정시 전극의 온도를 60℃ 정도로 유지하는 것이 바람직하나, ArF 노광원을 이용한 포토리소그라피 공정을 적용할 경우 SAC 식각 공정시 전극의 온도를 60℃ 정도로 유지하면 식각 도중에 포토레지스트 패턴이 변형되므로 전극의 온도를 0℃ 정도로 유지하여야 한다. 전극의 온도를 낮게 유지하면 포토레지스트 패턴의 변형은 억제되는 효과를 보이나 이는 상대적으로 SAC 식각 공정의 핵심인 이종막간 예컨대, 산화막과 질화막 간의 식각선택비의 감소를 초래한다.For example, when the photolithography process using the KrF exposure source is applied, it is preferable to maintain the electrode temperature at about 60 ° C. during the SAC etching process for forming the LPC. However, when applying the photolithography process using the ArF exposure source, the SAC is applied. If the temperature of the electrode is maintained at about 60 ° C. during the etching process, the photoresist pattern is deformed during etching, so the temperature of the electrode should be maintained at about 0 ° C. When the temperature of the electrode is kept low, the deformation of the photoresist pattern is suppressed, but this causes a decrease in the etching selectivity between heterogeneous layers, for example, oxide and nitride layers, which are relatively the core of the SAC etching process.

같은 조건 하에서 SAC 식각 공정을 실시하였을 때, KrF 공정 적용시에 비해 ArF 공정 적용시에 약 200Å 이상의 게이트 하드마스크의 손실이 발생함을 확인할 수 있다. 한편, 상대적으로 많은 게이트 하드마스크의 손실을 보상하기 위하여 게이트 하드마스크의 두께를 증가시키게 되면, 게이트전극 패턴의 수직 높이를 증가시켜 게이트전극 패턴의 높이와 게이트전극 패턴 사이의 간격에 해당하는 종횡비(Aspect ratio)를 증가시켜 층간절연막의 갭-필(Gap-fill) 특성과 콘택 면적 확보에 악영향을 끼치게 된다.When the SAC etching process is performed under the same conditions, it can be seen that the gate hard mask loss of about 200 GPa or more occurs when the ArF process is applied, compared with the KrF process. On the other hand, if the thickness of the gate hard mask is increased to compensate for the loss of a relatively large gate hard mask, the vertical height of the gate electrode pattern is increased to increase the aspect ratio corresponding to the gap between the height of the gate electrode pattern and the gate electrode pattern ( Increasing the aspect ratio will adversely affect the gap-fill characteristics and the contact area of the interlayer dielectric.

또한, 게이트 하드마스크의 손실을 보완하기 위해 단차피복성(Step coverage)이 열악한 USG(Undoped Silicate Glass)막 등을 이용하여 일종의 오버행(Over-hang) 구조로 게이트 하드마스크 상부를 캡팽(Capping)하는 방법이 강구되었다. 이렇듯 캡핑 방법을 이용하여 게이트 하드마스크를 보보하는 것은 콘택 내부의 산화막(층간절연막)의 두께 제어의 어려움으로 기존의 KrF 공정에서도 일부 콘택에 대한 콘택 낫 오픈(Contact not open)에 대한 주범이 되고 있으며, 실제 생산을 위한 경쟁력 있는 LPC 구조를 형성하기 위해서는 이러한 캡핑 방법을 생략해야할 필요가 있다.In addition, to compensate for the loss of the gate hard mask, a capping of the upper part of the gate hard mask is performed by using an undoped Silicate glass (USG) film having poor step coverage. The method was devised. As described above, securing the gate hard mask using the capping method is difficult to control the thickness of the oxide layer (interlayer insulating layer) inside the contact, which is a main culprit for contact not open for some contacts even in the existing KrF process. However, it is necessary to omit this capping method in order to form a competitive LPC structure for actual production.

ArF 포토리소그라피 공정을 적용한 LPC 형성 공정 자체도 상대적으로 얇은 포토레지스트의 두께와 열악한 식각내성으로 인해 패턴 형성시 취약 부분이 발생하 는 문제점과 종횡비 증가로 인한 패턴이 무너지는 현상 등의 문제점을 내포하고 있다.The LPC formation process using the ArF photolithography process itself has problems such as weakness in pattern formation due to relatively thin photoresist thickness and poor etching resistance, and collapse of pattern due to increased aspect ratio. .

이러한 문제점들을 극복하기 위해 전술한 바와 같이 포토레지스트 패턴과 피식각층 사이에 텅스텐 또는 폴리실리콘 등을 하드마스크를 이용하였다.In order to overcome these problems, as described above, a hard mask using tungsten or polysilicon is used between the photoresist pattern and the etched layer.

텅스텐을 하드마스크로 사용하는 경우의 공정을 간략히 살펴 보면, 게이트전극 패턴이 형성된 전면에 식각정지막과 층간절연막을 형성한 다음, 층간절연막 상에 텅스텐막을 증착하고 포토레지스트 패턴을 형성한 후, 포토레지스트 패턴을 식각마스크로 텅스텐막을 식각하여 텅스텐 하드마스크를 형성한 다음, 포토레지스트 패턴을 제거하고 텅스텐 하드마스트를 식각마스크로 피식각층인 층간절연막과 식각정지막을 식각한 다음, 텅스텐 하드마스크를 제거하고 세정 공정을 실시한 후, 플러그 형성용 물질을 증착하고 평탄화 공정을 통해 플러그를 형성함으로써 LPC 공정을 완료한다.When the process of using tungsten as a hard mask is briefly described, an etch stop film and an interlayer insulating film are formed on the entire surface where the gate electrode pattern is formed, a tungsten film is deposited on the interlayer insulating film, and a photoresist pattern is formed. After etching the tungsten film using the resist pattern as an etch mask to form a tungsten hard mask, the photoresist pattern is removed, and the tungsten hard mask is etched with an etch mask to etch the interlayer insulating layer and the etch stop layer, and then the tungsten hard mask is removed. After performing the cleaning process, the LPC process is completed by depositing a plug forming material and forming a plug through a planarization process.

이렇듯 텅스텐 또는 폴리실리콘을 하드마스크 사용함으로써, 포토레지스트의 두께를 낮출 수 있어 노광시의 광 감응성을 높임은 물론 ArF 공정의 고질적인 문제인 패턴의 변형과 LPC 공정시의 문제인 게이트 하드마스크의 손실 및 콘택 면적의 축소 등의 문제를 해결할 수 있었다.By using a hard mask such as tungsten or polysilicon, the thickness of the photoresist can be lowered, thereby improving light sensitivity during exposure, loss of contact and loss of gate hard mask, which is a problem in the LPC process, as well as pattern deformation, which is a problem in the ArF process. Problems such as reduction of the area could be solved.

그러나, 텅스텐과 폴리실리콘은 모두 전도성 물질이므로 이를 제거하는 공정이 필수적으로 필요할 뿐만아니라, SAC 식각 공정시 이러한 전도성 물질을 식각하는 공정과 절연성인 층간절연막을 식각하는 공정은 서로 다른 챔버에서 실시하여야 하므로 공정 시간이 많이 걸리며, 이동에 따른 파티클(Particle)로 인한 오염이라 는 부수적인 문제를 야기하게 되는 바, 이러한 문제점들은 SAC 식각 공정가 같은 음극 패턴 형성 공정 뿐만아니라 게이트전극 패턴, 비트라인 또는 금속배선 등의 양극 패턴 형성 공정에서도 동일한 문제점을 야기시킨다.However, since both tungsten and polysilicon are conductive materials, a process of removing them is necessary. In addition, the process of etching such a conductive material and the process of etching an insulating interlayer insulating film must be performed in different chambers during the SAC etching process. The process takes a lot of time and causes a secondary problem such as contamination due to particles due to movement. These problems are not only a cathode pattern forming process such as an SAC etching process, but also a gate electrode pattern, a bit line, or a metal wiring. The same problem occurs in the anode pattern forming process.

아울러, LPC 공정의 경우에는 콘택홀 형성 후, 최근 많이 사용하고 있는 선택적 에피택셜 성장(Selective Epitaxial Growth; 이하 SEG라 함)과 같이 노출된 실리콘 기판 및 절연성 막(즉, 층간절연막)의 선택성을 이용하여 플러그용 물질을 성장시키는 기술에서는 적용하기에 부적절하다. 이는 텅스텐과 폴리실리콘은 기판과 선택성을 가지지 않기 때문이다.In addition, in the case of the LPC process, the selectivity of the exposed silicon substrate and the insulating film (ie, interlayer insulating film), such as selective epitaxial growth (SEG), which is frequently used after contact hole formation, is used. It is not suitable for application in the technology of growing plug material. This is because tungsten and polysilicon have no selectivity with the substrate.

<개선된 종래기술><Improved Prior Art>

따라서, 텅스텐 또는 폴리실리콘의 사용으로 인한 문제점을 극복하기 위해 질화막을 하드마스크로 적용하였는 바, 도 5a 내지 도 5f는 개선된 종래기술에 따른 ArF 노광원을 이용한 반도체소자의 패턴 형성 공정을 도시한 단면도로서, 이를 참조하여 상세히 설명한다.Therefore, in order to overcome the problems caused by the use of tungsten or polysilicon, a nitride film is applied as a hard mask, and FIGS. 5A to 5F illustrate a process of forming a pattern of a semiconductor device using an ArF exposure source according to the improved prior art. As sectional drawing, it demonstrates in detail with reference to this.

먼저 도 5a에 도시된 바와 같이, 반도체 장치를 이루기 위한 여러 요소 예컨대, 필드절연막과 웰 등이 형성된 반도체 기판(50) 상에 게이트 하드마스크(53)/게이트 전도막(52)/게이트 절연막(51)이 적층된 게이트전극 패턴(G1, G2)을 형성한다.First, as shown in FIG. 5A, a gate hard mask 53 / gate conductive film 52 / gate insulating film 51 is formed on a semiconductor substrate 50 on which various elements for forming a semiconductor device, for example, a field insulating film and a well, are formed. ) Are stacked to form the gate electrode patterns G1 and G2.

게이트 절연막(51)은 실리콘 산화막 등의 통상적인 산화막 계열의 물질막을 이용하고, 게이트 전도막(52)은 폴리실리콘, 텅스텐(W), 텅스텐 질화막(WNx), 텅스텐 실리사이드(WSix) 등의 단독 또는 이들의 조합된 형태를 이용한다. The gate insulating film 51 uses a conventional oxide film material film such as a silicon oxide film, and the gate conductive film 52 is made of polysilicon, tungsten (W), tungsten nitride film (WN x ), tungsten silicide (WSi x ), or the like. Use alone or in combination thereof.

게이트 하드마스크(53)는 후속 콘택 형성을 위한 식각 공정 중 층간절연막을 식각하여 콘택홀을 형성하는 과정에서 게이트 전도막(52)이 어택받는 것을 방지하기 위한 것으로서, 층간절연막과 식각 속도가 현저하게 차이나는 물질을 사용한다. 예컨대, 층간절연막으로 산화막 계열을 사용할 경우에는 실리콘 질화막(SiN) 또는 실리콘 산화질화막(SiON) 등의 질화막 계열의 물질을 사용하고, 층간절연막으로 폴리머계 저유전율막을 사용할 경우에는 산화막 계열의 물질을 사용한다.The gate hard mask 53 is to prevent the gate conductive layer 52 from being attacked in the process of forming the contact hole by etching the interlayer insulating layer during the etching process for the subsequent contact formation, and the interlayer insulating layer and the etching speed are remarkably increased. Different materials are used. For example, when an oxide-based layer is used as the interlayer insulating film, a nitride-based material such as silicon nitride film (SiN) or a silicon oxynitride film (SiON) is used, and when a polymer-based low dielectric film is used as the interlayer insulating film, an oxide-based material is used. do.

게이트전극 패턴(G1, G2) 사이의 기판(50)에 소스/드레인 접합 등의 불순물 확산영역(54)을 형성한다.An impurity diffusion region 54 such as a source / drain junction is formed in the substrate 50 between the gate electrode patterns G1 and G2.

이온주입을 통해 게이트전극 패턴(G1, G2) 사이에 소스/드레인 접합 영역을 형성하는 경우, 통상 게이트전극 패턴(G1, G2)에 얼라인되도록 이온주입을 통해 기판(50)에 불순물을 주입시킨 다음, 게이트전극 패턴(G1, G2) 측벽에 스페이서를 형성하고 다시 이온주입을 실시하여 LDD 구조가 되도록 하는 바, 여기서는 LDD 구조 및 스페이서 형성 공정을 생략하였다.When source / drain junction regions are formed between the gate electrode patterns G1 and G2 through ion implantation, impurities are implanted into the substrate 50 through ion implantation so as to be aligned with the gate electrode patterns G1 and G2. Next, spacers are formed on the sidewalls of the gate electrode patterns G1 and G2 and ion implantation is performed again to form an LDD structure. Here, the LDD structure and the spacer forming process are omitted.

게이트전극 패턴(G1, G2)이 형성된 전면에 후속 SAC 식각 공정에서 기판(50)의 어택을 방지하기 위해 식각 정지 역할을 하는 식각정지막(55)을 형성한다. 이 때, 게이트전극 패턴(G1, G2)의 프로파일을 따라 식각정지막(55)이 형성되도록 하는 것이 바람직하며, 식각정지막(55)으로는 실리콘질화막 또는 실리콘산화질화막 등의 질화막 계열의 물질막을 이용한다.An etch stop layer 55 may be formed on the entire surface where the gate electrode patterns G1 and G2 are formed to serve as an etch stop to prevent attack of the substrate 50 in a subsequent SAC etching process. In this case, the etch stop film 55 may be formed along the profiles of the gate electrode patterns G1 and G2. The etch stop film 55 may be formed of a nitride film-based material film such as a silicon nitride film or a silicon oxynitride film. I use it.

다음으로 도 5b에 도시한 바와 같이, 식각정지막(55)이 형성된 전체 구조 상부에 산화막 계열의 층간절연막(56)을 형성한다.Next, as shown in FIG. 5B, an oxide-based interlayer insulating film 56 is formed on the entire structure where the etch stop film 55 is formed.

층간절연막(56)을 산화막 계열의 물질막으로 이용할 경우에는 BSG막, BPSG막, PSG막, TEOS막, HDP 산화막 또는 SOG막 등을 이용하며, 산화막 계열 이외에 무기 또는 유기 계열의 저유전율막을 이용할 수 있다.When the interlayer insulating film 56 is used as an oxide-based material film, a BSG film, a BPSG film, a PSG film, a TEOS film, an HDP oxide film, or an SOG film may be used. In addition to the oxide film, an inorganic or organic low dielectric constant film may be used. have.

이어서, 층간절연막(56) 상에 절연성 물질이면서도 층간절연막(56)과의 식각선택비를 갖어 하드마스크 재료로 사용되는 하드마스크용 질화막(57)을 형성한다. 하드마스크용 질화막(57)은 플라즈마 화학기상증착(Plasma Enhanced Chemical Vapor Deposition) 방식을 이용한 질화막 일명, PE-질화막 또는 저압 화학기상증착(Low Pressure Chemical Vapor Deposition) 방식을 이용한 질화막 일명, LP-질화막을 사용하는 것이 바람직하며, 그 증착 두께는 후속 층간절연막(56)을 SAC 식각시 발생하는 손실량과 식각정지막(55)을 식각하여 불순물 확산영역(54)을 노출할 때 손실되는 양을 모두 고려하여(두 손실되는 양을 합하여) 식각정지막(55) 식각시 인-시튜로 자연스럽게 제거될 수 있을 정도 또는 그 이상으로 하는 것이 바람직하다. Subsequently, a nitride layer 57 for a hard mask, which is an insulating material and has an etching selectivity with the interlayer insulating layer 56, is used as a hard mask material. The nitride film 57 for hard mask includes a nitride film using plasma enhanced chemical vapor deposition method, a nitride film using PE-nitride film or low pressure chemical vapor deposition method, or an LP-nitride film. The deposition thickness is preferably used in consideration of both the amount of loss generated during subsequent SAC etching of the interlayer dielectric layer 56 and the amount lost when the impurity diffusion region 54 is exposed by etching the etch stop layer 55. It is preferable to make the etching stop film 55 (together two lost amounts) or more so that it can be naturally removed in-situ during etching.

하드마스크용 질화막(57) 상에 패턴 형성을 위한 노광시 하부 즉, 하드마스크용 질화막(57)의 광반사도가 높임으로써 난반사가 이루어져 원하지 않는 패턴이 형성되는 것을 방지하며, 하드마스크용 질화막(57)과 후속 포토레지스트의 접착력을 향상시킬 목적으로 반사방지막(58)을 형성한다.When the exposure for forming the pattern on the hard mask nitride film 57 is increased, that is, the light reflectivity of the lower mask nitride film 57 is increased to prevent diffuse reflection and prevent unwanted patterns from being formed, and the nitride film 57 for hard mask is formed. ) And the antireflection film 58 is formed for the purpose of improving the adhesion between the photoresist and the subsequent photoresist.

여기서, 반사방지막(58)은 포토레지스트와 그 식각 특성이 유사한 유기 계열(Organic)의 물질을 사용하는 것이 바람직하다. 한편, 반사방지막(58)을 사용하는 것을 공정에 따라서는 생략할 수도 있다.Here, the anti-reflection film 58 is preferably made of an organic material similar to the photoresist and the etching characteristics thereof. On the other hand, the use of the antireflection film 58 may be omitted depending on the process.

이어서, 반사방지막(58) 상에 ArF 노광원용의 포토레지스트를 스핀 코팅 등의 방법을 통해 적절한 두께로 도포한 다음, ArF 노광원과 콘택홀의 폭을 정의하기 위한 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택적으로 노광하고, 현상 공정을 통해 노광 공정에 의해 노광되거나 혹은 노광되지 않은 부분을 잔류시킨 다음, 후세정 공정 등을 통해 식각 잔유물 등을 제거함으로써 LPC 형성을 위한 포토레지스트 패턴(59)을 형성한다.Subsequently, a photoresist for an ArF exposure source is applied on the antireflection film 58 to an appropriate thickness by a method such as spin coating, and then a predetermined reticle (not shown) for defining the width of the ArF exposure source and the contact hole is applied. Photoresist for LPC formation by selectively exposing a predetermined portion of the photoresist, leaving the exposed or unexposed portions through the developing process, and then removing the etch residues through a post-cleaning process. The resist pattern 59 is formed.

도 5b에서는 LPC 형성을 위해 콘택홀이 형성된 영역(C/T)을 정의하는 포토레지스트 패턴(59)이 형성된 공정 단면을 나타낸다.In FIG. 5B, a process cross section in which a photoresist pattern 59 defining a region C / T in which contact holes are formed for forming an LPC is formed.

한편, 텅스텐 또는 폴리실리콘을 하드마스크용 물질로 사용하는 방식에서는 이들 자체의 반사도가 높아 오버래이(Over-lay)를 읽는데에 큰 문제점을 드러내어 마스크 정렬시 별도의 얼라인 키 오픈 공정이 필요하였으나, 질화막의 경우 텅스텐과 폴리실리콘에 비해 반사도가 매우 낮으므로 마스크 정렬시 큰 어려움이 없다.On the other hand, in the method of using tungsten or polysilicon as the material for the hard mask, since the reflectivity of the material itself is high, a big problem in reading the overlay is revealed, and a separate alignment key opening process is required for mask alignment. In the case of nitride film, since reflectivity is very low compared to tungsten and polysilicon, there is no great difficulty in mask alignment.

패턴 형성을 위한 포토레지스트의 두께는 얇은 하드마스크용 질화막(57)을 식각하는 정도의 두께만이 필요하므로 하드마스크를 사용하지 않거나 또는 폴리실리콘이나 텅스텐 하드마스크를 사용하는 경우에 비해 얇은 두께의 포토레지스트를 사용하여도 무방하며, 이는 패턴 형성시의 디파인(Define) 능력을 향상시키게 되어 패턴의 무너지는 현상이 없이 미세한 미세한 패턴의 형성을 가능하게 한다. Since the thickness of the photoresist for pattern formation is only about the thickness of etching the thin mask 57 for hard mask, the thickness of the photoresist is thinner than the case of using no hard mask or using polysilicon or tungsten hard mask. It is also possible to use a resist, which improves the fine ability at the time of pattern formation, thereby enabling the formation of a fine pattern without the collapse of the pattern.

계속해서, 도 5c에 도시된 바와 같이, 포토레지스트 패턴(59)을 식각마스크로 반사방지막(58)과 하드마스크용 질화막(57)을 식각하여 하드마스크(57a)를 형성한다.Subsequently, as shown in FIG. 5C, the antireflection film 58 and the hard mask nitride film 57 are etched using the photoresist pattern 59 as an etch mask to form a hard mask 57a.

애싱 공정을 통해 포토레지스트 패턴(59)을 제거하는 바, 반사방지막(58)으로 유기 계열의 물질을 사용할 경우 이러한 애싱 공정에서 포토레지스트 패턴(59)과 같이 제거된다. 애싱 공정은 통상의 포토레지스트 스트립(Photoresist strip) 장치에서 실시하는 포토레지스트 스트립 공정 또는 O2 플라즈마 처리를 포함한다.The photoresist pattern 59 is removed through an ashing process. When an organic material is used as the antireflection film 58, the photoresist pattern 59 is removed like the photoresist pattern 59 in the ashing process. The ashing process includes a photoresist strip process or O 2 plasma treatment carried out in a conventional photoresist strip apparatus.

한편, 포토레지스트 패턴(59)이 잔류할 경우 후속 SAC 식각 공정에서 패턴 불량을 초래할 수 있으므로 제거해야 한다.On the other hand, if the photoresist pattern 59 remains, it may cause a pattern defect in a subsequent SAC etching process, so it should be removed.

계속해서 도 5d에 도시된 바와 같이, 하드마스크(57a)를 식각마스크로 피식각층인 층간절연막(56)을 식각하여 이웃하는 두 게이트전극 패턴(G1, G2) 사이의 식각정지막(55)을 노출시키는 SAC 식각 공정(60)을 실시하여 콘택홀(61)을 형성한다.Subsequently, as shown in FIG. 5D, the etch stop layer 55 between two neighboring gate electrode patterns G1 and G2 is etched by etching the interlayer insulating layer 56 as an etched layer using the hard mask 57a as an etch mask. The contact hole 61 is formed by performing an SAC etching process 60 that exposes it.

이 때, 층간절연막(56)의 식각은 포토레지스트 패턴의 변형을 고려하지 않아도 되므로 하드마스크(57a)와의 선택비를 극대화시키고 콘택홀(61)의 저면 CD를 충분히 확보하는 SAC 고유의 특성을 갖는 레시피를 적용한다.At this time, since the etching of the interlayer insulating film 56 does not have to consider the deformation of the photoresist pattern, it has the characteristic of SAC that maximizes the selectivity with the hard mask 57a and sufficiently secures the bottom CD of the contact hole 61. Apply the recipe.

게이트 하드마스크(53) 손실의 대폭 축소로 인해 후속 식각정지막(55) 식각에서 발생하는 약 300Å 정도의 게이트 하드마스크(53)의 손실을 더하더라도 종래의 포토레지스트 패턴을 식각마스크로 SAC 공정을 실시하는 경우에 비해 개선된다. 이는 추가로 USG막 등을 이용하여 게이트전극 패턴(G1, G2) 상부에 캡핑층을 형성하여 게이트 하드마스크(53)를 보호할 필요가 없도록 한다. 즉, 캡핑층의 형성 공정을 생략할 수 있도록 한다. SAC process using a conventional photoresist pattern as an etch mask is performed even if the loss of the gate hard mask 53 of about 300 GPa generated in the subsequent etching stop layer 55 is added due to the drastic reduction of the loss of the gate hard mask 53. It is improved compared with the case of carrying out. This further eliminates the need to protect the gate hard mask 53 by forming a capping layer on the gate electrode patterns G1 and G2 using a USG film or the like. That is, the formation process of the capping layer can be omitted.

캡핑층 형성 공정의 생략은 공정 단순화의 측면도 있지만, 캡핑층 형성시 콘택홀 내부에 증착되는 불규칙한 두께로 인해 콘택 오픈을 위한 식각정지막(55) 식각 공정시 주로 발생하는 콘택 낫 오픈 현상을 방지할 수 있게 한다. 실제로 캡핑층을 적용하고 있는 100nm 정도의 최소 선폭을 갖는 디바이스의 경우 캡핑층 공정 제어의 실패(Control fail)가 자주 발생하고 있으며, 이를 해결하기 위해 캡핑층의 두께 및 습식 세정(Wet cleaning) 공정에 세심한 제어가 요구된다. Although the omission of the capping layer forming process is a side of the process simplification, due to the irregular thickness deposited inside the contact hole when the capping layer is formed, the etch stop layer 55 for the contact opening may prevent the contact sick opening phenomenon mainly generated during the etching process. To be able. In the case of a device having a minimum line width of about 100 nm in which a capping layer is actually applied, control failure of the capping layer process frequently occurs. To solve this problem, the thickness of the capping layer and wet cleaning Careful control is required.

또한, 디자인 룰(Design rule)이 더욱 작아지는 80nm 이하의 디바이스에서는 캡핑층 형성 공정이 오버-행(Over-hang) 등의 문제가 발생하여 실제 공정에 적용이 불가능하다. 따라서, 80nm 이하의 디바이스에서는 캡핑층의 생략이 필수적이라 할 수 있다.In addition, in a device having a design rule of 80 nm or less, a capping layer forming process may cause problems such as over-hang, and thus it may not be applied to an actual process. Therefore, the omission of the capping layer may be essential in devices of 80 nm or less.

이어서, 도 5e에 도시된 바와 같이, 식각정지막(55)을 제거하여 기판(50, 구체적으로는 불순물 확산영역(54))을 노출시킨다.Subsequently, as shown in FIG. 5E, the etch stop layer 55 is removed to expose the substrate 50, specifically, the impurity diffusion region 54.

식각정지막(55)의 식각은 도면부호 '62'와 같이 블랭킷 식각(Blanket etch)을 이용하며, 이 때 콘택홀(61) 저면의 식각정지막(55)의 게거되는 양과 거의 동일한 약 300Å 정도의 게이트 하드마스크(53)가 손실되어 총 600Å ∼ 700Å 정도의 게이트 하드마스크(53)의 손실이 발생하게 된다.The etching of the etch stop film 55 uses a blanket etch as shown by the reference numeral '62', and at this time, the etching stop film 55 is about 300 kV, which is almost equal to the amount of the etch stop film 55 at the bottom of the contact hole 61. The gate hard mask 53 is lost, resulting in the loss of the gate hard mask 53 of about 600 kV to 700 kPa.

절연성인 질화막을 하드마스크(57a)로 사용함으로 인해 일련의 LPC 형성 공 정을 동일한 장비에서 인-시튜로 진행하는 것이 가능하다. 예를 들면, 포토레지스트 스트리퍼가 장착된 투 챔버 바디(Two chamber body)의 경우 ArF 포토리소그라피 공정에 의해 하드마스크용 질화막을 식각하여 하드마스크를 형성한 후 포토레지스트 스트립 공정을 진행하고, 다른 챔버에서 SAC 식각 공정과 식각정지막 식각 공정을 실시하는 것이 가능하다. By using an insulating nitride film as the hard mask 57a, it is possible to proceed a series of LPC formation processes in-situ on the same equipment. For example, in the case of a two chamber body equipped with a photoresist stripper, a hard mask is formed by etching a nitride film for a hard mask by an ArF photolithography process, followed by a photoresist strip process, and then in another chamber. It is possible to perform a SAC etching process and an etch stop film etching process.

이는 폴리실리콘 또는 텅스텐 등의 도전성 물질을 하드마스크로 사용하는 경우 도전성 하드마스크를 식각하기 위해 서로 다른 장비를 오가며 식각해야 하는 단점을 해결할 수 있으며, 양산 적용시 TAT(Turn Around Time) 단축에 큰 도움이 될 수 있다.This can solve the disadvantage of having to etch between different equipment to etch the conductive hard mask when using a conductive material such as polysilicon or tungsten as a hard mask, and greatly help in reducing TAT (Turn Around Time) when mass production is applied. This can be

계속해서, 도 5f에 도시된 바와 같이, 콘택홀(61)이 형성된 기판(50) 전면에 플러그 형성용 전도성 물질을 증착하여 콘택홀(61)을 충분히 매립시킨 다음, 게이트 하드마스크(33)가 노출되는 타겟으로 평탄화 공정을 실시하여 콘택홀(61)을 통해 불순물 확산영역(54)과 전기적으로 도통되며 게이트 하드마스크(53)와 상부가 평탄화된 플러그(63)를 형성한다.Subsequently, as shown in FIG. 5F, a plug forming conductive material is deposited on the entire surface of the substrate 50 on which the contact hole 61 is formed to sufficiently fill the contact hole 61, and then the gate hard mask 33 is formed. A planarization process is performed on the exposed target to form a gate 63 electrically connected to the impurity diffusion region 54 through the contact hole 61 and a planarized plug 63 with the gate hard mask 53.

평탄화 공정으로는 먼저 화학기계적연마(Chemical Mechanical Polishing; 이하 CMP라 함)를 위해 메모리의 셀영역과 주변회로영역의 단차를 감소시키기 위해 플러그 물질에 대한 에치백(Etchback) 공정을 실시한다. 한편, 주변회로영역 상부에 하드마스크(57a)가 남아 있도록 할 수 있는 바, 이는 하드마스크용 질화막의 증착 두께를 조절하여 주변회로영역에 보다 두껍게 증착되도록 하거나, 또는 식각정지막(55) 제거시 블랭킷 식각을 실시하지 않고 셀영역 만을 오픈 시키는 마스크(셀 오픈 마스크)를 이용하여 식각정지막을 제거함으로써 주변회로영역에서 하드마스크(57a)가 남도록 할 수 있다.In the planarization process, an etching back process is performed on the plug material to reduce the step difference between the cell region and the peripheral circuit region of the memory for chemical mechanical polishing (hereinafter referred to as CMP). On the other hand, the hard mask (57a) can be left in the upper portion of the peripheral circuit region, which controls the deposition thickness of the nitride film for the hard mask to be deposited thicker in the peripheral circuit region, or when removing the etch stop layer (55) The hard mask 57a may be left in the peripheral circuit region by removing the etch stop layer by using a mask (cell open mask) that opens only the cell region without performing blanket etching.

주변회로영역에서 하드마스크(57a)가 남도록 하는 이유는 후속 CMP 공정 진행 중 셀영역과 주변회로영역 간의 패턴 밀도 차에 의해 주변회로영역에 격리된(Isolated) 패턴 예컨대, 게이트전극 패턴 등에 발생할 수 있는 어택을 방지하기 위함이다. 실제로 주변회로영역에 하드마스크(57a)를 잔존시켜 CMP 공정을 진행한 결과 주변회로영역에서의 게이트전극 패턴에 대한 어택을 방지할 수 있어 CMP 공정의 마진을 높일 수 있다.The reason why the hard mask 57a remains in the peripheral circuit region is that a pattern isolated from the peripheral circuit region, for example, a gate electrode pattern or the like, may be caused by a pattern density difference between the cell region and the peripheral circuit region during the subsequent CMP process. This is to prevent attack. In fact, as a result of performing the CMP process by remaining the hard mask 57a in the peripheral circuit region, it is possible to prevent attack on the gate electrode pattern in the peripheral circuit region, thereby increasing the margin of the CMP process.

이어서, CMP 공정을 통해 평탄화시 연마 타겟을 꼭 게이트 하드마스크(53)로 맞출 필요없이 사용되는 마스크 패턴의 종류에 따라 층간절연막(56)이 일부가 남도록 할 수도 있다.Subsequently, a part of the interlayer insulating film 56 may be left according to the type of mask pattern used without necessarily having to align the polishing target with the gate hard mask 53 during planarization through the CMP process.

플러그(63) 형성용 전도성 물질막으로 가장 많이 사용되는 물질은 폴리실리콘이며, Ti, TiN 등의 배리어메탈층과 적층하여 형성하기도 하며, 텅스텐 등을 사용하기도 한다.The most commonly used material for forming the plug 63 is polysilicon, and may be formed by laminating with barrier metal layers such as Ti and TiN, and may also use tungsten.

최근에는 플러그(63)를 형성할 때 전술한 증착 공정 이외에 SEG 공정 또한 많이 적용된다.In recent years, when forming the plug 63, in addition to the above-described deposition process, many SEG processes are also applied.

하드마스크(57a)로 절연성인 질화막을 사용함으로 인해 SEG 방식의 플러그(63) 형성 공정에서 폴리실리콘 증을 증착하는 방식은 물론 SEG 등의 성장 방식을 적용할 수 있다. 텅스텐 또는 폴리실리콘을 하드마스크(57a)로 사용하는 경우에는 SEG 방식을 적용할 경우 노출된 기판(50)의 불순물 확산영역(54)과 하드마 스크(57a)와의 선택성이 상실되어 하드마스크(57a)에서도 실리콘이 성장하는 문제가 발생하므로 종래의 경우 SEG 공정을 적용하기 전에 반드시 하드마스크(57a)를 제거해야만 하므로 공정상의 번거로움이 있었다. 그러나, 질화막을 하드마스크(57a)로 사용함으로 인해 SEG 공정을 적용하더라도 반드시 하드마스크(57a)를 제거할 필요가 없다. 따라서, 80nm는 물론 그 이하의 공정에 까지 플러그 형성 공정에 상관없이 적용할 수 있는 장점이 있다. Due to the use of an insulating nitride film as the hard mask 57a, a growth method such as SEG may be applied as well as a method of depositing polysilicon deposition in the plug 63 forming process of the SEG method. When tungsten or polysilicon is used as the hard mask 57a, when the SEG method is applied, the selectivity between the impurity diffusion region 54 and the hard mask 57a of the exposed substrate 50 is lost and the hard mask 57a is lost. Since the problem of growing silicon also occurs in the prior art, since the hard mask 57a must be removed before the SEG process is applied, there is a process inconvenience. However, since the nitride film is used as the hard mask 57a, it is not necessary to remove the hard mask 57a even when the SEG process is applied. Therefore, there is an advantage that can be applied regardless of the plug forming process to the process of 80nm or less.

또한, 부수적으로 플러그 물질의 증착 방식을 이용하는 종래의 경우에는 층간절연막(56)의 프로파일에 의존하여 플러그 심(Seam)이 발생하였으나, 개선된 종래기술에서는 하드마스크(57a)를 이용하여 층간절연막(56)의 프로파일을 개선함으로써 플러그 심 발생을 방지할 수 있다. 이는 종래에는 캡팅층의 증착과 습식 세정으로 층간절연막(56)의 프로파일이 플러그 물질의 증착 가정에서 심을 유발하였던 것과는 달리 개선된 종래기술에서는 층간절연막(56)의 프로파일이 약간의 양의 경사(Positive slope)를 갖게 되어 심 발생을 억제하기 때문이다.Incidentally, in the conventional case using the deposition method of the plug material, the plug seam is generated depending on the profile of the interlayer insulating film 56. However, in the improved conventional technology, the interlayer insulating film (using the hard mask 57a) is used. By improving the profile of 56), it is possible to prevent the occurrence of plug shims. This is due to the deposition of the capping layer and wet cleaning, whereas the profile of the interlayer insulating film 56 caused seams in the deposition assumption of the plug material, whereas the profile of the interlayer insulating film 56 is slightly positive in the improved art. This is because it suppresses seam generation.

한편, 전술한 바와 같이 하드마스크의 두께를 SAC 식각시의 손실되는 두께 및 식각정지막 제거시의 손실되는 두께 정도로 산정하는 것이 바람직하나, 실제 공에서는 이를 정확하게 제어하는 것이 사실상 어려우므로, 일반적으로 하드마스크를 더 두껍게 형성하고 식각정지막 제거 후 잔류하는 하드마스크는 플러그 격리를 위한 평탄화 공정에서 제거한다On the other hand, as described above, it is preferable to calculate the thickness of the hard mask to the thickness lost during the SAC etching and the thickness lost during the removal of the etch stop layer, but in practice, it is generally difficult to accurately control the thickness of the hard mask. The mask is made thicker and the remaining hard mask after removing the etch stop film is removed in the planarization process for plug isolation.

이렇듯, 식각정지막 제거 후에 잔류하는 하드마스크는 콘택 저면의 CD 확보를 위해 실시하는 습식의 세정 공정(Wet cleaning)에서 문제를 일으키게 된다.As such, the hard mask remaining after removing the etch stop layer causes a problem in the wet cleaning process performed to secure the CD on the bottom of the contact.

도 6은 습식 세정 공정 후 발생하는 하드마스크의 리프팅 현상을 설명하기 위한 SEM 사진이다.6 is a SEM photograph for explaining a lifting phenomenon of the hard mask generated after the wet cleaning process.

도 6을 참조하면, 복수의 게이트전극 패턴(G1 ∼ G4)이 일정 간격으로 배치되어 있고, 게이트전극 패턴(G1 ∼ G4)과 교차하는 방향으로 라인 형태의 LPC 형성을 위한 마스크 패턴(P1 ∼ P4)이 배치되어 있다. 마스크 패턴(P1 ∼ P4)은 층간절연막과 하드마스크가 적층된 구조이며, 마스크 패턴(P1 ∼ P4)을 이용한 SAC 및 식각정지막 식각 후 게이트전극 패턴(G1 ∼ G4) 사이에 복수의 콘택홀이 형성되어 있는 바, 비교적 작은 사이즈는 후속 스토리지노드 콘택을 위한 콘택홀(SNC)을 나타내고, 콘택홀(SNC)에 비해 비교적 큰 사이즈는 후속 비트라인 콘택을 위한 콘택홀(BLC)을 나타낸다.Referring to FIG. 6, a plurality of gate electrode patterns G1 to G4 are arranged at regular intervals, and mask patterns P1 to P4 for forming line-shaped LPCs in a direction crossing the gate electrode patterns G1 to G4. ) Is arranged. The mask patterns P1 to P4 have a structure in which an interlayer insulating film and a hard mask are stacked, and a plurality of contact holes are formed between the gate electrode patterns G1 to G4 after etching the SAC and the etch stop layer using the mask patterns P1 to P4. As formed, the relatively small size represents the contact hole SNC for the subsequent storage node contact, and the relatively large size compared to the contact hole SNC represents the contact hole BLC for the subsequent bit line contact.

그러나, SNC 및 BLC 등의 콘택홀을 형성하고 플러그 형성용 물질막을 증착 또는 성장 전에 실시하는 BOE(Buffered Oxide Etchant) 등의 습식 용액을 이용한 세정 공정에서 잔류하는 하드마스크와 층간절연막 사이의 비교적 취약한 계면에서 분리 현상이 발생하게 된다.However, the relatively weak interface between the hard mask and the interlayer insulating film remaining in the cleaning process using a wet solution such as BOE (Buffered Oxide Etchant), which forms contact holes such as SNC and BLC, and deposits a plug forming material film prior to deposition or growth. Separation phenomenon occurs at.

두 막질간의 분리로 인해 하드마스크가 리프팅(Lifting)되는 현상이 발생하는 바, 도 6의 좌측 하단부분의 'HM1'과 'HM2'는 리프팅된 하드마스크를 나타낸다. 하드마스크의 리프팅 현상은 특히 메모리 소자의 경우 주변회로영역에서 하드마스크를 잔류시키는 경우 더욱 빈번하게 발생한다.The phenomenon that the hard mask is lifted due to the separation between the two membranes occurs, and 'HM1' and 'HM2' in the lower left portion of FIG. 6 indicate a lifted hard mask. The lifting phenomenon of the hard mask occurs more frequently, especially in the case of memory devices, when the hard mask remains in the peripheral circuit area.

이러한 리프팅 문제는 패턴의 사이즈와도 밀접한 관계를 갖고 있어 접촉 면적이 작을수록 쉽게 발생하게 된다. 따라서, 80nm 이하의 기술의 구현에 있어서는 더욱 큰 문제점이 될 수 있다.This lifting problem is also closely related to the size of the pattern, so that the smaller the contact area, the more easily occurs. Therefore, there may be a greater problem in the implementation of the technology of 80nm or less.

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 습식 세정에 의한 하드마스크의 리프팅 현상을 방지할 수 있는 ArF 포토리소그라피 공정을 적용한 반도체 장치 제조 방법을 제공하는 것을 그 목적으로 한다.
SUMMARY OF THE INVENTION The present invention has been proposed to solve the above problems of the prior art, and an object thereof is to provide a method for manufacturing a semiconductor device using an ArF photolithography process capable of preventing a lifting phenomenon of a hard mask by wet cleaning.

상기의 목적을 달성하기 위해 본 발명은, 셀영역과 주변회로영역을 구비하는 기판 상에 제1 하드마스크용 질화막/전도막 구조를 갖고 서로 이웃하는 복수의 도전패턴을 형성하는 단계; 상기 도전패턴이 형성된 프로파일을 따라 식각정지막을 형성하는 단계; 상기 식각정지막이 형성된 기판 전면에 층간절연막을 형성하는 단계; 상기 제1 하드마스크용 질화막의 상부가 노출될 때까지 상기 층간절연막과 상기 식각정지막을 제거하여 평탄화시키는 단계; 노출된 상기 제1 하드마스크용 질화막 및 상기 층간절연막 상에 제2 하드마스크용 질화막을 형성하는 단계; 상기 제2 하드마스크용 질화막 상에 반사방지막을 형성하는 단계; 상기 반사방지막 상에 ArF 노광원을 이용한 포토리소그라피 공정을 통해 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 반사방지막과 상기 제2 하드마스크용 질화막을 선택적으로 식각하여 하드마스크를 형성하는 단계; 상기 포토레지스트 패턴 및 상기 반사방지막을 제거하는 단계; 상기 하드마스크를 식각마스크로 상기 이웃하는 도전패턴 사이의 상기 층간절연막을 식각하여 상기 식각정지막을 노출시키는 콘택홀을 형성하는 단계; 상기 콘택홀 저면에서의 상기 식각정지막을 제거하여 상기 기판을 노출시키는 단계; 및 상기 콘택홀 내부를 세정하는 단계를 포함하는 반도체 장치 제조 방법을 제공한다.In order to achieve the above object, the present invention includes the steps of forming a plurality of conductive patterns adjacent to each other having a first hard mask nitride film / conductive film structure on a substrate having a cell region and a peripheral circuit region; Forming an etch stop layer along the profile in which the conductive pattern is formed; Forming an interlayer insulating film on an entire surface of the substrate on which the etch stop film is formed; Removing and planarizing the interlayer insulating layer and the etch stop layer until an upper portion of the first hard mask nitride layer is exposed; Forming a second hard mask nitride film on the exposed first hard mask nitride film and the interlayer insulating film; Forming an anti-reflection film on the second hard mask nitride film; Forming a photoresist pattern on the anti-reflection film through a photolithography process using an ArF exposure source; Selectively etching the anti-reflection film and the second hard mask nitride layer using the photoresist pattern as an etching mask to form a hard mask; Removing the photoresist pattern and the anti-reflection film; Forming a contact hole exposing the etch stop layer by etching the interlayer insulating layer between the adjacent conductive patterns using the hard mask as an etch mask; Removing the etch stop layer on the bottom of the contact hole to expose the substrate; And cleaning the inside of the contact hole.

본 발명은, 절연성인 질화막을 콘택홀 패턴 형성시 포토레지스트 패턴(반사방지막)과 피식각층인 층간절연막 사이에 게재하여 하드마스크로 사용함으로써 ArF 노광원을 포토리소그라피 공정에 적용하는 초미세 패턴 형성 공정에서 패턴 변형을 방지하고, 절연성인 하드마스크 식각 공정과 층간절연막 식각 공정을 동일 챔버 내에서 실시할 수 있도록 함으로써 공정 시간을 단축하여 생산성을 높이며, SAC 식각 및 플러그 형성 공정에서 절연성인 하드마스크로 인해 기판과의 선택을 높여 플러그 물질의 증착시 SEG 공정 적용이 가능하게 한다.According to the present invention, an ultra-fine pattern forming process for applying an ArF exposure source to a photolithography process is performed by placing an insulating nitride film between a photoresist pattern (anti-reflective film) and an interlayer insulating film as an etched layer when forming a contact hole pattern and using it as a hard mask. Prevents pattern deformation, improves productivity by shortening process time by allowing insulating hard mask etching process and interlayer insulating film etching process in the same chamber, and insulated hard mask in SAC etching and plug forming process The selection with the substrate is increased to enable application of the SEG process in the deposition of plug material.

아울러, 본 발명은 층간절연막 증착 후 게이트 하드마스크까지 층간절연막을 평탄화하고, 평탄화된 상부에 하드마스크를 형성하여 질화막 계열의 물질막으로 서로 동질인 게이트 하드마스크와 하드마스크가 접촉되도록 함으로써, 층간절연막으로 인한 식각 타겟을 줄여 SAC 식각 공정 마진을 높임은 물론, 플러그 물질 증착 전에 실시하는 습식 세정에서 서로 이종막인 층간절연막과 하드마스크 사이의 계면 분리로 인한 하드마스크의 리프팅 현상을 방지할 수 있도록 한다.In addition, the present invention is to planarize the interlayer insulating film to the gate hard mask after the deposition of the interlayer insulating film, and to form a hard mask on the planarized upper surface to make the gate hard mask and the hard mask of the same material contact with the nitride film-based material film, interlayer insulating film By reducing the etching target due to the SAC etching process margin, and also to prevent the lifting phenomenon of the hard mask due to the separation of the interface between the interlayer insulating film and the hard mask, which is different from each other in the wet cleaning before the plug material deposition. .

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명을 보다 용이하게 실시할 수 있도록 하기 위하여 본 발명의 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다.DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art can more easily implement the present invention.

도 7a 내지 도 7g는 본 발명의 일실시예에 따른 ArF 노광원을 이용한 반도체소자의 패턴 형성 공정을 도시한 단면도로서, 이를 참조하여 상세히 설명한다.7A to 7G are cross-sectional views illustrating a pattern forming process of a semiconductor device using an ArF exposure source according to an embodiment of the present invention, which will be described in detail with reference to the drawings.

후술하는 본 발명의 일실시예에서는 반도체소자의 스페이스 패턴(Space pattern) 예컨대, 콘택홀 패턴 형성 공정을 그 일예로 하여 설명하는 바, 본 발명의 적용 대상이 되는 콘택홀 패턴은 금속배선 콘택과 비트라인 또는 캐패시터의 스토리지 노드 콘택을 위한 소스/드레인 접합 등의 기판 내의 불순물 접합층과의 콘택 및 콘택 패드 형성을 위한 공정 등에 적용이 가능하다.In an embodiment of the present invention described below, a process of forming a space pattern, for example, a contact hole pattern, of a semiconductor device is described as an example. The contact hole pattern to which the present invention is applied is a metal wiring contact and a bit. The present invention can be applied to a process for forming a contact pad and contact with an impurity bonding layer in a substrate such as a source / drain junction for a storage node contact of a line or a capacitor.

먼저 도 7a에 도시된 바와 같이, 반도체 장치를 이루기 위한 여러 요소 예컨대, 필드절연막과 웰 등이 형성된 반도체 기판(70) 상에 게이트 하드마스크(73)/게이트 전도막(72)/게이트 절연막(71)이 적층된 게이트전극 패턴(G1, G2)을 형성한다.First, as shown in FIG. 7A, a gate hard mask 73 / gate conductive film 72 / gate insulating film 71 is formed on a semiconductor substrate 70 on which various elements for forming a semiconductor device, for example, a field insulating film and a well, are formed. ) Are stacked to form the gate electrode patterns G1 and G2.

게이트 절연막(71)은 실리콘 산화막 등의 통상적인 산화막 계열의 물질막을 이용하고, 게이트 전도막(72)은 폴리실리콘, 텅스텐(W), 텅스텐 질화막(WNx), 텅스텐 실리사이드(WSix) 등의 단독 또는 이들의 조합된 형태를 이용한다. The gate insulating film 71 uses a conventional oxide film material film such as a silicon oxide film, and the gate conductive film 72 is made of polysilicon, tungsten (W), tungsten nitride film (WN x ), tungsten silicide (WSi x ), or the like. Use alone or in combination thereof.

게이트 하드마스크(73)는 후속 콘택 형성을 위한 식각 공정 중 층간절연막을 식각하여 콘택홀을 형성하는 과정에서 게이트 전도막(72)이 어택받는 것을 방지하기 위한 것으로서, 층간절연막과 식각 속도가 현저하게 차이나는 물질을 사용한다. 예컨대, 층간절연막으로 산화막 계열을 사용할 경우에는 실리콘 질화막(SiN) 또는 실리콘 산화질화막(SiON) 등의 질화막 계열의 물질을 사용하고, 층간절연막으로 폴리머계 저유전율막을 사용할 경우에는 산화막 계열의 물질을 사용한다.The gate hard mask 73 is to prevent the gate conductive layer 72 from being attacked in the process of forming the contact hole by etching the interlayer insulating layer during the etching process for subsequent contact formation, and the interlayer insulating layer and the etching speed are remarkably increased. Different materials are used. For example, when an oxide-based layer is used as the interlayer insulating film, a nitride-based material such as silicon nitride film (SiN) or a silicon oxynitride film (SiON) is used, and when a polymer-based low dielectric film is used as the interlayer insulating film, an oxide-based material is used. do.

게이트전극 패턴(G1, G2) 사이의 기판(70)에 소스/드레인 접합 등의 불순물 확산영역(74)을 형성한다.An impurity diffusion region 74 such as a source / drain junction is formed in the substrate 70 between the gate electrode patterns G1 and G2.

이온주입을 통해 게이트전극 패턴(G1, G2) 사이에 소스/드레인 접합 영역을 형성하는 경우, 통상 게이트전극 패턴(G1, G2)에 얼라인되도록 이온주입을 통해 기판(70)에 불순물을 주입시킨 다음, 게이트전극 패턴(G1, G2) 측벽에 스페이서를 형성하고 다시 이온주입을 실시하여 LDD 구조가 되도록 하는 바, 여기서는 LDD 구조 및 스페이서 형성 공정을 생략하였다.When source / drain junction regions are formed between the gate electrode patterns G1 and G2 through ion implantation, impurities are implanted into the substrate 70 through ion implantation so as to be aligned with the gate electrode patterns G1 and G2. Next, spacers are formed on the sidewalls of the gate electrode patterns G1 and G2 and ion implantation is performed again to form an LDD structure. Here, the LDD structure and the spacer forming process are omitted.

게이트전극 패턴(G1, G2)이 형성된 전면에 후속 SAC 식각 공정에서 기판(70)의 어택을 방지하기 위해 식각 정지 역할을 하는 식각정지막(75)을 형성한다. 이 때, 게이트전극 패턴(G1, G2)의 프로파일을 따라 식각정지막(75)이 형성되도록 하는 것이 바람직하며, 식각정지막(75)으로는 실리콘질화막 또는 실리콘산화질화막 등의 질화막 계열의 물질막을 이용한다.An etch stop layer 75 is formed on the entire surface where the gate electrode patterns G1 and G2 are formed to serve as an etch stop to prevent attack of the substrate 70 in a subsequent SAC etching process. In this case, the etch stop film 75 may be formed along the profiles of the gate electrode patterns G1 and G2. The etch stop film 75 may be formed of a nitride film-based material film such as a silicon nitride film or a silicon oxynitride film. I use it.

다음으로, 식각정지막(75)이 형성된 전체 구조 상부에 산화막 계열의 층간절연막(76)을 형성한다.Next, an oxide-based interlayer insulating film 76 is formed on the entire structure where the etch stop film 75 is formed.

층간절연막(76)을 산화막 계열의 물질막으로 이용할 경우에는 BSG막, BPSG막, PSG막, TEOS막, HDP 산화막 또는 SOG막 등을 이용하며, 산화막 계열 이외에 무기 또는 유기 계열의 저유전율막을 이용할 수 있다.When the interlayer insulating film 76 is used as an oxide-based material film, a BSG film, a BPSG film, a PSG film, a TEOS film, an HDP oxide film, or an SOG film may be used. In addition to the oxide film, an inorganic or organic low dielectric constant film may be used. have.

이어서, 게이트 하드마스크(73)가 노출될 때까지 층간절연막(76)과 식각정지 막(75)을 제거하여 게이트 하드마스(73)와 층간절연막(76)이 실질적으로 평탄화되도록 한다.Subsequently, the interlayer insulating film 76 and the etch stop film 75 are removed until the gate hard mask 73 is exposed to substantially planarize the gate hard mask 73 and the interlayer insulating film 76.

이 때, CMP 공정을 적용하며, 게이트 하드마스크(73)의 손실되는 양이 100Å 이하로 최소가 되도록 해야 하며, 적절한 연마 슬러리(Slurry)의 사용으로 남아 있는 게이트 하드마스(73)와 층간절연막(76)의 굴곡이 발생하지 않도록 하는 것이 중요하다.In this case, the CMP process is applied, and the amount of loss of the gate hard mask 73 is minimized to 100 μm or less, and the gate hard mask 73 and the interlayer insulating film remaining by the use of an appropriate polishing slurry are used. It is important that the bending of 76) does not occur.

도 7b는 게이트 하드마스(73)와 층간절연막(76)이 CMP 공정을 통해 평탄화된 공정 단면을 나타낸다.FIG. 7B shows a process cross section in which the gate hard mask 73 and the interlayer insulating film 76 are planarized through a CMP process.

이어서, 층간절연막(76) 상에 절연성 물질이면서도 층간절연막(76)과의 식각선택비를 갖어 하드마스크 재료로 사용되는 하드마스크용 질화막(77)을 형성한다. 하드마스크용 질화막(77)은 PE-질화막 또는 LP-질화막을 사용하는 것이 바람직하며, 그 증착 두께는 후속 층간절연막(76)을 SAC 식각시 발생하는 손실량과 식각정지막(75)을 식각하여 불순물 확산영역(74)을 노출할 때 손실되는 양을 모두 고려하여(두 손실되는 양을 합하여) 식각정지막(75) 식각시 인-시튜로 자연스럽게 제거될 수 있을 정도 또는 그 이상으로 하는 것이 바람직하다. Subsequently, a nitride layer 77 for a hard mask, which is an insulating material and has an etching selectivity with the interlayer insulating film 76, is used as a hard mask material. For the hard mask nitride film 77, a PE-nitride film or an LP-nitride film is preferably used, and the deposition thickness thereof is an impurity by etching the etch stop film 75 and the amount of loss generated during the subsequent SAC etching of the interlayer insulating film 76. Considering all the amounts lost when exposing the diffusion region 74 (together, the amounts lost), the etch stop layer 75 may be at least enough to be naturally removed in-situ during etching. .

예컨대, 본 발명의 바람직한 실시예에서는 SAC 식각시의 300Å과 식각정지막(75) 식각시의 300Å를 고려하여 500Å ∼ 800Å 정도(100nm 이하의 디바이스인 경우)의 두께로 하였는 바, 이는 적용되는 레티클(Reticle)이나 디바이스에 따라 달라질 수 있다.For example, in the preferred embodiment of the present invention, considering the 300 Å at the time of SAC etching and the 300 Å at the time of etching stop film 75, the thickness of 500 Å to 800 ((for devices of 100 nm or less) is applied. (Reticle) or may vary depending on the device.

하드마스크용 질화막(77) 상에 패턴 형성을 위한 노광시 하부 즉, 하드마스 크용 질화막(77)의 광반사도가 높임으로써 난반사가 이루어져 원하지 않는 패턴이 형성되는 것을 방지하며, 하드마스크용 질화막(77)과 후속 포토레지스트의 접착력을 향상시킬 목적으로 반사방지막(78)을 형성한다.When the exposure for pattern formation on the hard mask nitride film 77 is performed, the light reflectivity of the lower portion, that is, the hard mask nitride film 77 is increased, thereby preventing unwanted reflections from being formed and forming a hard mask nitride film 77 ) And the antireflection film 78 is formed for the purpose of improving the adhesion between the photoresist and the subsequent photoresist.

여기서, 반사방지막(78)은 포토레지스트와 그 식각 특성이 유사한 유기 계열의 물질을 사용하는 것이 바람직하다.Here, the anti-reflection film 78 preferably uses an organic material similar to the photoresist and the etching characteristics thereof.

아울러, 본 실시예에서는 반사방지막(78)을 사용하는 것을 그 예로 하였으나, 공정에 따라서는 이를 생략할 수도 있다.In addition, in this embodiment, but using the anti-reflection film 78 as an example, it may be omitted depending on the process.

이어서, 반사방지막(78) 상에 ArF 노광원용의 포토레지스트를 스핀 코팅 등의 방법을 통해 적절한 두께로 도포한 다음, ArF 노광원과 콘택홀의 폭을 정의하기 위한 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택적으로 노광하고, 현상 공정을 통해 노광 공정에 의해 노광되거나 혹은 노광되지 않은 부분을 잔류시킨 다음, 후세정 공정 등을 통해 식각 잔유물 등을 제거함으로써 LPC 형성을 위한 포토레지스트 패턴(79)을 형성한다.Subsequently, a photoresist for an ArF exposure source is applied on the antireflection film 78 to an appropriate thickness by a method such as spin coating, and then a predetermined reticle (not shown) for defining the width of the ArF exposure source and the contact hole is applied. Photoresist for LPC formation by selectively exposing a predetermined portion of the photoresist, leaving the exposed or unexposed portions through the developing process, and then removing the etch residues through a post-cleaning process. The resist pattern 79 is formed.

도 7c에서는 LPC 형성을 위해 콘택홀이 형성된 영역(C/T)을 정의하는 포토레지스트 패턴(79)이 형성된 공정 단면을 나타낸다.In FIG. 7C, a process cross section in which a photoresist pattern 79 defining a region C / T in which contact holes are formed for forming an LPC is formed.

한편, 텅스텐 또는 폴리실리콘을 하드마스크용 물질로 사용하는 방식에서는 이들 자체의 반사도가 높아 오버래이를 읽는데에 큰 문제점을 드러내어 마스크 정렬시 별도의 얼라인 키 오픈 공정이 필요하였으나, 질화막의 경우 텅스텐과 폴리실리콘에 비해 반사도가 매우 낮으므로 마스크 정렬시 큰 어려움이 없다.On the other hand, in the method of using tungsten or polysilicon as a material for hard mask, since the reflectivity of the material itself is high, it shows a big problem in reading the overlay, requiring a separate alignment key opening process for mask alignment. Reflectivity is very low compared to polysilicon, so there is no great difficulty in mask alignment.

패턴 형성을 위한 포토레지스트의 두께는 얇은 하드마스크용 질화막(77)을 식각하는 정도의 두께만이 필요하므로 하드마스크를 사용하지 않거나 또는 폴리실리콘이나 텅스텐 하드마스크를 사용하는 경우에 비해 얇은 두께의 포토레지스트를 사용하여도 무방하며, 이는 패턴 형성시의 디파인 능력을 향상시키게 되어 패턴의 무너지는 현상이 없이 미세한 미세한 패턴의 형성을 가능하게 한다. Since the thickness of the photoresist for pattern formation is only about the thickness of etching the thin film of the hard mask nitride film 77, the thickness of the photoresist is thinner than the case of using no hard mask or using polysilicon or tungsten hard mask. It is also possible to use a resist, which improves the fine ability at the time of pattern formation, thereby enabling the formation of a fine pattern without the collapse of the pattern.

따라서, 80nm 이하의 최소 선폭을 갖는 제조 기술에도 적용이 가능하게 된다. 예컨대, 80nm 기술에서 포토레지스트의 예상 두께는 1500Å이므로 700Å 정도의 하드마스크용 질화막(57)의 식각에 필요한 포토레지스트의 최소 두께는 1000Å 정도로 예상되므로 80nm 이하의 공정에서 충분히 적용할 수 있다.Therefore, the present invention can be applied to a manufacturing technique having a minimum line width of 80 nm or less. For example, since the expected thickness of the photoresist in the 80nm technology is 1500Å, the minimum thickness of the photoresist required for etching the nitride film 57 for the hard mask of about 700 예상 is expected to be about 1000 수, and thus it can be sufficiently applied in a process of 80 nm or less.

계속해서, 도 7d에 도시된 바와 같이, 포토레지스트 패턴(79)을 식각마스크로 반사방지막(78)과 하드마스크용 질화막(77)을 식각하여 하드마스크(77a)를 형성한다.Subsequently, as shown in FIG. 7D, the anti-reflection film 78 and the hard mask nitride film 77 are etched using the photoresist pattern 79 as an etch mask to form the hard mask 77a.

ArF 포토리소그라피 공정을 적용하는 경우 특히, 라인 형태의 질화막을 식각하여 패턴을 형성하는 것은 공정 레시피의 셋업이 극히 어려운 것 중의 하나에 속하는 바, 1000Å 이하의 질화막에 대해 공정 조건을 확보하는 것이 매우 중요하다.In the case of applying the ArF photolithography process, in particular, forming a pattern by etching the nitride film in the form of a line is one of the extremely difficult to set up the process recipe. Therefore, it is very important to secure the process conditions for the nitride film of 1000 Å or less. Do.

이를 위해 우선 하드마스크용 질화막(77)을 식각하기 위해서는 스트라이에이션 및 패턴 변형에 유리한 레시피를 적용해야 하며, 그 특징은 전극의 온도가 낮고, 장비 내의 구조가 플라즈마 소스와 바이어스가 독립적으로 제어되며, 바이어스 파워가 극도로 낮아야 유리하게 된다.To this end, in order to etch the nitride film 77 for the hard mask, a recipe that is advantageous for straining and pattern deformation should be applied. The characteristics of the electrode are low temperature, the structure in the equipment is controlled independently of the plasma source and bias, The extremely low bias power is advantageous.

이를 실험적으로 얻은 레시피는 TEL사의 SCCM 장비를 사용하였을 경우 '50mTorr의 챔버 내의 압력과 1000W의 소스 파워와 200W의 바이어스 파워와 20SCCM 의 O2와 100SCCM의 CF4 및 0℃의 전극 온도'라는 레시피가 바람직함을 실험을 통해 얻을 수 있었다.The experimental recipe was obtained by using TEL's SCCM equipment: 'The pressure in the chamber of 50 mTorr, the source power of 1000 W, the bias power of 200 W, the electrode temperature of O 2 of 20 SCCM and CF 4 and 0 ° C of 100 SCCM'. Desirability could be obtained through experiments.

전술한 레시피는 유기 계열의 반사방지막(78)의 식각과 함께 하드마스크용 질화막(77)의 식각이 동시에 일어나게 하며, 도 7d의 하드마스크(77a) 구조를 실현하기 위해 중요하다. 또한, 이 때 식각 가스와 식각 시간의 제어를 통해 바형 패턴인 하드마스크(77a)의 CD를 조절할 수 있어 후속 SAC 식각 공정을 통한 콘택홀 저면의 CD 확보를 위한 마진을 높일 수 있다.The above-described recipe allows the etching of the hard mask nitride film 77 simultaneously with the etching of the organic antireflection film 78 and is important for realizing the hard mask 77a structure of FIG. 7D. In this case, the CD of the hard mask 77a having a bar pattern may be controlled by controlling the etching gas and the etching time, thereby increasing the margin for securing the CD of the bottom of the contact hole through the subsequent SAC etching process.

애싱 공정을 통해 포토레지스트 패턴(79)을 제거하는 바, 반사방지막(78)으로 유기 계열의 물질을 사용할 경우 이러한 애싱 공정에서 포토레지스트 패턴(79)과 같이 제거된다. 애싱 공정은 통상의 포토레지스트 스트립 장치에서 실시하는 포토레지스트 스트립 공정 또는 O2 플라즈마 처리를 포함한다.The photoresist pattern 79 is removed through the ashing process. When the organic material is used as the anti-reflection film 78, the photoresist pattern 79 is removed like the photoresist pattern 79 in the ashing process. The ashing process includes a photoresist strip process or O 2 plasma treatment performed in a conventional photoresist strip apparatus.

한편, 포토레지스트 패턴(79)이 잔류할 경우 후속 SAC 식각 공정에서 패턴 불량을 초래할 수 있으므로 제거해야 한다.On the other hand, if the photoresist pattern 79 remains, it may cause a pattern defect in a subsequent SAC etching process, so it should be removed.

계속해서 도 7e에 도시된 바와 같이, 하드마스크(77a)를 식각마스크로 피식각층인 층간절연막(76)을 식각하여 이웃하는 두 게이트전극 패턴(G1, G2) 사이의 식각정지막(75)을 노출시키는 SAC 식각 공정(80)을 실시하여 콘택홀(81)을 형성한다.Subsequently, as shown in FIG. 7E, the etch stop layer 75 between the two adjacent gate electrode patterns G1 and G2 is etched by etching the interlayer insulating layer 76 as an etched layer using the hard mask 77a as an etch mask. The contact hole 81 is formed by performing an SAC etching process 80 that exposes it.

이 때, 층간절연막(76)의 식각은 포토레지스트 패턴의 변형을 고려하지 않아도 되므로 하드마스크(77a)와의 선택비를 극대화시키고 콘택홀(81)의 저면 CD를 충 분히 확보하는 SAC 고유의 특성을 갖는 레시피를 적용한다.At this time, since the etching of the interlayer insulating film 76 does not have to take into consideration the deformation of the photoresist pattern, the characteristic of SAC that maximizes the selectivity with the hard mask 77a and sufficiently secures the bottom CD of the contact hole 81 is obtained. Apply the recipe you have.

포토레지스트에 의한 카본(Carbon, C) 소스의 공급이 제한되는 이유로 통상의 SAC 레시피에 비해 높은 선택비를 갖는 가스의 사용이 필수적이며, 기존의 C4F8 보다는 C4F6 또는 C5F8 등의 CF2 래디컬을 다량으로 발생시키는 가스를 사용하는 것이 바람직하다.Due to the limited supply of carbon (C) sources by photoresist, the use of a gas with a higher selectivity compared to conventional SAC recipes is essential, and C 4 F 6 or C 5 F rather than conventional C 4 F 8 It is preferable to use a gas which generates a large amount of CF 2 radicals such as 8 .

또한, 고선택비 사용에 따라 상대적으로 취약해지는 콘택 저면의 CD 확보를 위해 적절한 레시피의 설정이 요구된다. 설정된 레시피는 40℃ 정도의 높은 전극 온도를 사용하여 선택비를 개선시키고 O2를 첨가하여 콘택홀(81) 저면의 CD를 확보한다. In addition, it is required to set the appropriate recipe to secure the CD of the bottom of the contact, which becomes relatively weak due to the use of high selectivity. The set recipe uses a high electrode temperature of about 40 ° C. to improve the selectivity and add O 2 to secure the CD on the bottom of the contact hole 81.

이를 실험적으로 얻은 SAC 레시피는 TEL사의 SCCM 장비를 사용하였을 경우 '40mTorr의 챔버 내의 압력과 500W의 소스 파워와 1200W의 바이어스 파워와 7SCCM의 C5F8과 800SCCM의 Ar과 5SCCM의 O2 및 40℃의 전극 온도'라는 레시피가 바람직함을 실험을 통해 얻을 수 있었다. The experimental SAC recipe was obtained using TEL's SCCM equipment with a pressure of 40 mTorr, source power of 500 W, source power of 1200 W, bias power of 1200 W, C 5 F 8 of 7 SCCM, Ar 2 of 800 SCCM, and O 2 and 40 ° C. of 5 SCCM. It was obtained through experiments that the recipe 'electrode temperature of' is preferred.

한편, 도 7b에서 살펴 본 바와 같이 층간절연막(76)을 제거하여 하드마스크(77a)와 게이트 하드마스크(73)가 직접 접촉되도록 하였으므로, 제거된 층간절연막(76)의 두께 만큼 식각 타겟이 감소하게 되며, 이로 인해 SAC 식각 공정의 마진을 증가시킬 수 있다. 예컨대, 통상의 경우 SAC 공정시 게이트 하드마스크(73)의 손실이 300Å ∼ 400Å이나, 여기서는 식각 타겟의 감소로 인해 100Å ∼ 200Å 정도로 줄일 수 있으며, 식각 시간이 감소하게 된다.Meanwhile, as shown in FIG. 7B, the interlayer insulating layer 76 is removed to directly contact the hard mask 77a and the gate hard mask 73, so that the etching target is reduced by the thickness of the removed interlayer insulating layer 76. This may increase the margin of the SAC etching process. For example, in the case of the SAC process, the loss of the gate hard mask 73 is 300 mW to 400 mW. However, the gate hard mask 73 can be reduced to about 100 mW to 200 mW due to the reduction of the etching target, and the etching time is reduced.

또한, 계산상으로 예컨대, 층간절연막의 두께가 5000Å에서 3500Å 정도로 약 1500Å 정도 감소하게 되어 실제로 약 10% 정도의 콘택홀(81) 저면의 CD를 확장시킬 수 있게 된다.In addition, for example, the thickness of the interlayer insulating film is reduced by about 1500 mW from 5000 mW to 3500 mW, so that the CD of the bottom surface of the contact hole 81 can be expanded by about 10%.

게이트 하드마스크(73) 손실의 대폭 축소로 인해 후속 식각정지막(75) 식각에서 발생하는 약 300Å 정도의 게이트 하드마스크(73)의 손실을 더하더라도 포토레지스트 패턴을 식각마스크로 SAC 공정을 실시하는 경우에 비해 개선되었음을 알 수 있다. 이는 추가로 USG막 등을 이용하여 게이트전극 패턴(G1, G2) 상부에 캡핑층을 형성하여 게이트 하드마스크(73)를 보호할 필요가 없도록 한다. 즉, 캡핑층의 형성 공정을 생략할 수 있도록 한다. The SAC process is performed using the photoresist pattern as an etch mask even if the loss of the gate hard mask 73 is increased by about 300 [mu] s resulting from the subsequent etching stop layer 75 etching due to the drastic reduction of the loss of the gate hard mask 73. It can be seen that the improvement compared to the case. This further eliminates the need to protect the gate hard mask 73 by forming a capping layer on the gate electrode patterns G1 and G2 using a USG film or the like. That is, the formation process of the capping layer can be omitted.

캡핑층 형성 공정의 생략은 공정 단순화의 측면도 있지만, 캡핑층 형성시 콘택홀 내부에 증착되는 불규칙한 두께로 인해 콘택 오픈을 위한 식각정지막(75) 식각 공정시 주로 발생하는 콘택 낫 오픈 현상을 방지할 수 있게 한다. 실제로 캡핑층을 적용하고 있는 100nm 정도의 최소 선폭을 갖는 디바이스의 경우 캡핑층 공정 제어의 실패가 자주 발생하고 있으며, 이를 해결하기 위해 캡핑층의 두께 및 습식 세정 공정에 세심한 제어가 요구된다. Although the omission of the capping layer forming process is a side of the process simplification, due to the irregular thickness deposited inside the contact hole when the capping layer is formed, the etch stop layer 75 for the contact opening may be prevented from occurring mainly during the etching process. To be able. In the case of a device having a minimum line width of about 100 nm in which a capping layer is actually applied, failure of the capping layer process control frequently occurs. To solve this problem, careful control of the capping layer thickness and wet cleaning process is required.

또한, 디자인 룰이 더욱 작아지는 80nm 이하의 디바이스에서는 캡핑층 형성 공정이 오버-행 등의 문제가 발생하여 실제 공정에 적용이 불가능하다. 따라서, 80nm 이하의 디바이스에서는 캡핑층의 생략이 필수적이라 할 수 있다.In addition, in a device of 80 nm or less, in which the design rule becomes smaller, the capping layer forming process may have problems such as over-hanging, and thus it may not be applicable to an actual process. Therefore, the omission of the capping layer may be essential in devices of 80 nm or less.

이어서, 도 7f에 도시된 바와 같이, 식각정지막(75)을 제거하여 기판(70, 구체적으로는 불순물 확산영역(74))을 노출시킨다.Subsequently, as shown in FIG. 7F, the etch stop layer 75 is removed to expose the substrate 70, specifically, the impurity diffusion region 74.

식각정지막(75)의 식각은 도면부호 '82'와 같이 블랭킷 식각을 이용하며, 이 때 콘택홀(81) 저면의 식각정지막(75)의 게거되는 양과 거의 동일한 약 300Å 정도의 게이트 하드마스크(73)가 손실되어 총 400Å ∼ 500Å 정도의 게이트 하드마스크(73)의 손실이 발생하게 된다.The etching of the etch stop layer 75 uses a blanket etch as shown by reference numeral '82', and at this time, a gate hard mask having a gate hard mask of about 300 μs which is almost equal to the amount of the etch stop layer 75 at the bottom of the contact hole 81. 73 is lost, and the total loss of the gate hard mask 73 of about 400 GPa to 500 GPa occurs.

식각정지막(75) 식각시에는 전술한 바와 같이, 층간절연막(76) 상부에 잔존하는 하드마스크(77a)가 인-시튜로 제거되도록 하는 것이 바람직하나, 이를 제어하는 것이 실질적으로 어려우므로 식각정지막(75) 제거 후 게이트 하드마스크(73) 상부에 하드마스크(77a)가 잔류하게 된다.As described above, when the etch stop layer 75 is etched, the hard mask 77a remaining on the interlayer insulating layer 76 is preferably removed in-situ. After removing the film 75, the hard mask 77a remains on the gate hard mask 73.

절연성인 질화막을 하드마스크(77a)로 사용함으로 인해 일련의 LPC 형성 공정을 동일한 장비에서 인-시튜로 진행하는 것이 가능하다. 예를 들면, 포토레지스트 스트리퍼가 장착된 투 챔버 바디(Two chamber body)의 경우 ArF 포토리소그라피 공정에 의해 하드마스크용 질화막을 식각하여 하드마스크를 형성한 후 포토레지스트 스트립 공정을 진행하고, 다른 챔버에서 SAC 식각 공정과 식각정지막 식각 공정을 실시하는 것이 가능하다. 이 경우 도 7d에서 포토레지스트 패턴(79)이 형성된 기판(70)을 이러한 식각 장치에 장입하여 사용하는 것이 바람직하다.By using an insulating nitride film as the hard mask 77a, it is possible to proceed a series of LPC formation processes in-situ in the same equipment. For example, in the case of a two chamber body equipped with a photoresist stripper, a hard mask is formed by etching a nitride film for a hard mask by an ArF photolithography process, followed by a photoresist strip process, and then in another chamber. It is possible to perform a SAC etching process and an etch stop film etching process. In this case, the substrate 70 having the photoresist pattern 79 formed in FIG. 7D is preferably used in this etching apparatus.

이는 폴리실리콘 또는 텅스텐 등의 도전성 물질을 하드마스크로 사용하는 경우 도전성 하드마스크를 식각하기 위해 서로 다른 장비를 오가며 식각해야 하는 단점을 해결할 수 있으며, 양산 적용시 TAT 단축에 큰 도움이 될 수 있다.This can solve the disadvantage of having to etch between different equipment in order to etch the conductive hard mask when using a conductive material such as polysilicon or tungsten as a hard mask, and can be a great help in shortening the TAT when mass production is applied.

계속해서, 도 7g에 도시된 바와 같이, 콘택홀(81)이 형성된 기판(70) 전면에 플러그 형성용 전도성 물질을 증착하여 콘택홀(81)을 충분히 매립시킨 다음, 게이 트 하드마스크(73)가 노출되는 타겟으로 평탄화 공정을 실시하여 콘택홀(81)을 통해 불순물 확산영역(74)과 전기적으로 도통되며 게이트 하드마스크(73)와 상부가 평탄화된 플러그(73)를 형성한다.Subsequently, as shown in FIG. 7G, a conductive material for forming a plug is deposited on the entire surface of the substrate 70 on which the contact hole 81 is formed to sufficiently fill the contact hole 81, and then the gate hard mask 73. The planarization process is performed on the target exposed to form a plug 73 electrically connected to the impurity diffusion region 74 through the contact hole 81 and planarized on the gate hard mask 73.

평탄화 공정으로는 먼저 CMP를 위해 메모리의 셀영역과 주변회로영역의 단차를 감소시키기 위해 플러그 물질에 대한 에치백 공정을 실시한다. 한편, 주변회로영역 상부에 하드마스크(77a)가 남아있도록 할 수 있는 바, 이는 하드마스크용 질화막의 증착 두께를 조절하여 주변회로영역에 보다 두껍게 증착되도록 하거나, 또는 식각정지막(75) 제거시 블랭킷 식각을 실시하지 않고 셀영역 만을 오픈 시키는 마스크(셀 오픈 마스크)를 이용하여 식각정지막을 제거함으로써 주변회로영역에서 하드마스크(77a)가 남도록 할 수 있다.In the planarization process, an etch back process is performed on the plug material to reduce the step difference between the cell region and the peripheral circuit region of the memory for CMP. On the other hand, the hard mask (77a) can be left on the upper peripheral circuit region, which is to control the deposition thickness of the nitride film for the hard mask to be deposited thicker in the peripheral circuit region, or when removing the etch stop film (75) The hard mask 77a may be left in the peripheral circuit region by removing the etch stop layer by using a mask (cell open mask) that opens only the cell region without performing blanket etching.

주변회로영역에서 하드마스크(77a)가 남도록 하는 이유는 후속 CMP 공정 진행 중 셀영역과 주변회로영역 간의 패턴 밀도 차에 의해 주변회로영역에 격리된 패턴 예컨대, 게이트전극 패턴 등에 발생할 수 있는 어택을 방지하기 위함이다. 실제로 주변회로영역에 하드마스크(77a)를 잔존시켜 CMP 공정을 진행한 결과 주변회로영역에서의 게이트전극 패턴에 대한 어택을 방지할 수 있어 CMP 공정의 마진을 높일 수 있다.The reason why the hard mask 77a remains in the peripheral circuit region is to prevent an attack that may occur in a pattern isolated from the peripheral circuit region, for example, a gate electrode pattern, by a pattern density difference between the cell region and the peripheral circuit region during a subsequent CMP process. To do this. In fact, as a result of performing the CMP process by remaining the hard mask 77a in the peripheral circuit region, the attack on the gate electrode pattern in the peripheral circuit region can be prevented, thereby increasing the margin of the CMP process.

이어서, CMP 공정을 통해 평탄화시 연마 타겟을 꼭 게이트 하드마스크(73)로 맞출 필요없이 사용되는 마스크 패턴의 종류에 따라 층간절연막(76)이 일부가 남도록 할 수도 있다.Subsequently, a part of the interlayer insulating film 76 may be left according to the type of mask pattern used without necessarily having to align the polishing target with the gate hard mask 73 during planarization through the CMP process.

플러그(83) 형성용 전도성 물질막으로 가장 많이 사용되는 물질은 폴리실리 콘이며, Ti, TiN 등의 배리어메탈층과 적층하여 형성하기도 하며, 텅스텐 등을 사용하기도 한다.The most widely used material for forming the plug 83 is polysilicon, and may be formed by laminating with barrier metal layers such as Ti and TiN, and may also use tungsten or the like.

최근에는 플러그(83)를 형성할 때 전술한 증착 공정 이외에 SEG 공정 또한 많이 적용된다.Recently, when forming the plug 83, in addition to the above-described deposition process, many SEG processes are also applied.

하드마스크(77a)로 절연성인 질화막을 사용함으로 인해 SEG 방식의 플러그(83) 형성 공정에서 폴리실리콘 증을 증착하는 방식은 물론 SEG 등의 성장 방식을 적용할 수 있다. 텅스텐 또는 폴리실리콘을 하드마스크(77a)로 사용하는 경우에는 SEG 방식을 적용할 경우 노출된 기판(70)의 불순물 확산영역(74)과 하드마스크(77a)와의 선택성이 상실되어 하드마스크(77a)에서도 실리콘이 성장하는 문제가 발생하므로 Due to the use of an insulating nitride film as the hard mask 77a, a growth method such as SEG may be applied as well as a method of depositing polysilicon deposition in the plug 83 forming process of the SEG method. When tungsten or polysilicon is used as the hard mask 77a, when the SEG method is applied, the selectivity between the impurity diffusion region 74 and the hard mask 77a of the exposed substrate 70 is lost and the hard mask 77a is lost. The problem of silicon growing in the

텅스텐 또는 폴리실리콘을 하드마스크(77a)로 사용하는 경우에는 SEG 공정을 적용하기 전에 반드시 하드마스크(77a)를 제거해야만 하므로 공정상의 번거로움이 있었다. 그러나, 질화막을 하드마스크(77a)로 사용함으로 인해 SEG 공정을 적용하더라도 반드시 하드마스크(77a)를 제거할 필요가 없다. 따라서, 80nm는 물론 그 이하의 공정에 까지 플러그 형성 공정에 상관없이 적용할 수 있는 장점이 있다. 또한, 부수적으로 플러그 물질의 증착 방식을 이용하는 경우에는 층간절연막(76)의 프로파일에 의존하여 플러그 심이 발생하였으나, 하드마스크(77a)를 이용하여 층간절연막(76)의 프로파일을 개선함으로써 플러그 심 발생을 방지할 수 있다. 이는 도전성 하드마스크를 사용할 시에는 캡팅층의 증착과 습식 세정으로 층간절연막(76)의 프로파일이 플러그 물질의 증착 과정에서 심을 유발하였던 것과는 달리 층간절 연막(76)의 프로파일이 약간의 양의 경사를 갖게 되어 심 발생을 억제하기 때문이다.When tungsten or polysilicon is used as the hard mask 77a, the hard mask 77a must be removed before the SEG process is applied. However, since the nitride film is used as the hard mask 77a, it is not necessary to remove the hard mask 77a even if the SEG process is applied. Therefore, there is an advantage that can be applied regardless of the plug forming process to the process of 80nm or less. Incidentally, when a plug material deposition method is used, a plug seam is generated depending on the profile of the interlayer insulating film 76. However, the plug seam is generated by improving the profile of the interlayer insulating film 76 using the hard mask 77a. It can prevent. This is because when the conductive hard mask is used, the profile of the interlayer insulating film 76 is slightly inclined by the deposition of the capping layer and the wet cleaning, whereas the profile of the interlayer insulating film 76 causes seam during the deposition of the plug material. This is because it suppresses the occurrence of seams.

도 8a 및 도 8b는 하드마스크의 두께를 670Å으로 하였을 경우의 SAC 공정시 각 물질막의 두께의 변화 및 TEM 사진을 도시하고 있고, 도 9a 및 도 9b는 하드마스크의 두께를 900Å으로 하였을 경우의 SAC 공정시 각 물질막의 두께의 변화 및 TEM 사진을 도시하고 있고, 도 10a 및 도 10b는 하드마스크의 두께를 1000Å으로 하였을 경우의 SAC 공정시 각 물질막의 두께의 변화 및 TEM 사진을 도시하고 있으며, 도 11은 하드마스크의 두께를 1150Å으로 하였을 경우의 SAC 공정시 각 물질막의 두께의 변화를 도시하고 있다.8A and 8B illustrate changes in the thickness of each material film and a TEM photograph when the hard mask thickness is 670 kPa. FIGS. 9A and 9B show the SAC when the hard mask thickness is 900 kPa. FIG. 10A and FIG. 10B illustrate changes in the thickness of each material film and a TEM picture during the SAC process when the thickness of the hard mask is 1000 mW. 11 shows the change of the thickness of each material film during the SAC process when the thickness of the hard mask is 1150 GPa.

이하에서는 도 8a 내지 도 11을 참조하여 전술한 하드마스크의 두께의 변화에 따른 특징을 살펴 본다.Hereinafter, with reference to FIGS. 8A to 11, the characteristics according to the change in the thickness of the hard mask described above will be described.

하드마스크의 두께Hard mask thickness 셀영역Cell area 주변회로영역Peripheral Circuit Area (A)최초 하드마스크의 두께(Å)(A) Thickness of the first hard mask 670670 670670 (B)SAC 식각 후 잔류하는 하드마스크의 두께(Å)(B) Hard mask thickness remaining after SAC etching 300300 500500 (C)콘택 오픈 후 잔류하는 하드마스크의 두께(Å)(C) Hard mask thickness remaining after contact opening 00 200200 (D)플러그 격리 후 잔류하는 하드마스크의 두께(Å)(D) Thickness of hard mask remaining after plug isolation 00 00

상기의 표 1은 도 8a에 도시된 그래프를 표로 도시한 것으로, LPC 형성을 위한 각 공정시 셀 및 주변회로영역에서의 하드마스크의 두께 변화를 나타내고 있다.Table 1 is a graph of the graph shown in Figure 8a, and shows the change in the thickness of the hard mask in the cell and peripheral circuit area during each process for forming the LPC.

이를 참조하면, (A)의 단계 즉, 하드마스크 형성 단계에서 최초 하드마스크를 670Å의 두께로 형성하였으며, (B)의 단계 즉, 층간절연막을 식각하는 SAC 식각 공정에서 셀영역에서 370Å 주변회로영역에서 170Å의 하드마스크가 각각 손실되어 결국 두 영역에서 각각 300Å과 500Å의 하드마스크가 각각 잔류함을 알 수 있다.Referring to this, in the step (A), that is, the hard mask forming step, the first hard mask was formed to a thickness of 670 Å, and in step (B), that is, etching the interlayer insulating film. In the SAC etching process, 170 Å hard masks are lost in the 370 Å peripheral circuit region in the cell region, and 300 Å and 500 하드 hard masks remain in the two regions, respectively.

(C)의 단계 즉, 식각정지막을 제거하는 단계에서 셀영역에서는 300Å 주변회로영역에서 300Å의 하드마스크가 각각 손실되어 결국 두 영역에서 각각 0Å과 200Å의 하드마스크가 잔류함을 알 수 있다. 한편, 플러그 격리를 위해 에치백 및/또는 CMP 공정을 실시한 후인 (D)의 단계에서는 두 영역 모두에서 잔류하는 하드마스크가 없음을 알 수 있다.In the step (C), that is, the step of removing the etch stop layer, the hard mask of 300 mV is lost in the peripheral circuit area of 300 mV in the cell region, and thus, the hard masks of 0 mV and 200 mV remain in the two regions. On the other hand, it can be seen that in the step (D) after the etch back and / or CMP process for plug isolation, there is no hard mask remaining in both regions.

도 8b는 셀영역에 해당하는 도 6을 X-X' 방향으로 절취한 단면에 대응하는 TEM 사진이다. 도 8b를 참조하면, 층간절연막(76)이 식각되어 있으며, 블랭킷 식각을 통해 식각정지막을 제거하는 단계(C)에서 인-시튜로 셀영역에서 하드마스크가 모두 제거되어 층간절연막(76) 상에 잔류하는 하드마스크가 존재하지 않음을 알 수 있다.FIG. 8B is a TEM photograph corresponding to a cross section taken along the line X-X ′ of FIG. 6 corresponding to the cell region. Referring to FIG. 8B, the interlayer insulating layer 76 is etched, and in step (C) of removing the etch stop layer through blanket etching, all hard masks are removed from the cell region in-situ so that the interlayer insulating layer 76 is formed on the interlayer insulating layer 76. It can be seen that there is no remaining hard mask.

이 경우에는 식각정지막 제거시 인-시튜로 하드마스크가 제거되므로 후속 습식 세정 공정에서 발생하는 하드마스크의 리프팅 현상을 방지할 수 있다.In this case, since the hard mask is removed in-situ when the etch stop layer is removed, the lifting phenomenon of the hard mask generated in the subsequent wet cleaning process can be prevented.

하드마스크의 두께Hard mask thickness 셀영역Cell area 주변회로영역Peripheral Circuit Area (A)최초 하드마스크의 두께(Å)(A) Thickness of the first hard mask 900900 900900 (B)SAC 식각 후 잔류하는 하드마스크의 두께(Å)(B) Hard mask thickness remaining after SAC etching 500500 740740 (C)콘택 오픈 후 잔류하는 하드마스크의 두께(Å)(C) Hard mask thickness remaining after contact opening 140140 380380 (D)플러그 격리 후 잔류하는 하드마스크의 두께(Å)(D) Thickness of hard mask remaining after plug isolation 00 00

상기의 표 2는 도 9a에 도시된 그래프를 표로 도시한 것으로, LPC 형성을 위한 각 공정시 셀 및 주변회로영역에서의 하드마스크의 두께 변화를 나타내고 있다.Table 2 is a graph of the graph shown in Figure 9a, and shows the change in the thickness of the hard mask in the cell and peripheral circuit area during each process for forming the LPC.

이를 참조하면, (A)의 단계 즉, 하드마스크 형성 단계에서 최초 하드마스크를 900Å의 두께로 형성하였으며, (B)의 단계 즉, 층간절연막을 식각하는 SAC 식각 공정에서 셀영역에서 400Å 주변회로영역에서 160Å의 하드마스크가 각각 손실되어 결국 두 영역에서 각각 500Å과 740Å의 하드마스크가 각각 잔류함을 알 수 있다.Referring to this, in the step (A), that is, the hard mask forming step, the first hard mask was formed to a thickness of 900 Å, and in step (B), that is, etching the interlayer insulating film. In the SAC etching process, the hard mask of 160 각각 is lost in the 400 Å peripheral circuit region in the cell region, and thus, the hard masks of 500 Å and 740 잔류 remain in the two regions, respectively.

(C)의 단계 즉, 식각정지막을 제거하여 콘택 영역을 오픈하는 단계에서 셀영역에서는 360Å 주변회로영역에서 360Å의 하드마스크가 각각 손실되어 결국 두 영역에서 각각 140Å과 380Å의 하드마스크가 잔류함을 알 수 있다. In the step (C), that is, removing the etch stop layer and opening the contact region, the hard mask of 360 에서 is lost in the peripheral circuit region of 360 에서는 in the cell region, and 140 Å and 380 하드 hard masks remain in the two regions. Able to know.

한편, 플러그 격리를 위해 에치백 및/또는 CMP 공정을 실시한 후인 (D)의 단계에서는 두 영역 모두에서 잔류하는 하드마스크가 없음을 알 수 있다.On the other hand, it can be seen that in the step (D) after the etch back and / or CMP process for plug isolation, there is no hard mask remaining in both regions.

도 9b는 셀영역에 해당하는 도 6을 X-X' 방향으로 절취한 단면에 대응하는 TEM 사진이다. 도 9b를 참조하면, 층간절연막(76)이 식각되어 있으며, 블랭킷 식각을 통해 식각정지막을 제거하는 단계(C) 후 셀영역에서 140Å의 하드마스크(77a)가 층간절연막(76) 상에 잔류함을 알 수 있다.FIG. 9B is a TEM photograph corresponding to a cross section taken along the line X-X ′ of FIG. 6 corresponding to the cell region. Referring to FIG. 9B, the interlayer insulating film 76 is etched and a hard mask 77a of 140 Å remains on the interlayer insulating film 76 in the cell region after the step (C) of removing the etch stop film through the blanket etching. It can be seen.

이 경우에는 콘택 오픈 공정 후 실시하는 BOE를 이용한 습식 세정 공정에서 하드마스크(77a)의 리프팅이 발생할 가능성이 높아진다.In this case, the lifting of the hard mask 77a in the wet cleaning process using the BOE performed after the contact opening process increases.

따라서, 제시한 본 발명과 같이 층간절연막을 연마하여 게이트 하드마스크와 평탄화한 후 그 상부에 하드마스크를 형성함으로써, 세정에 의한 하드마스크(77a)의 리프팅 발생을 억제할 수 있다.Therefore, as shown in the present invention, the interlayer insulating film is polished and planarized with the gate hard mask, and then a hard mask is formed thereon, thereby preventing the occurrence of lifting of the hard mask 77a by cleaning.

하드마스크의 두께Hard mask thickness 셀영역Cell area 주변회로영역Peripheral Circuit Area (A)최초 하드마스크의 두께(Å)(A) Thickness of the first hard mask 10001000 10001000 (B)SAC 식각 후 잔류하는 하드마스크의 두께(Å)(B) Hard mask thickness remaining after SAC etching 600600 830830 (C)콘택 오픈 후 잔류하는 하드마스크의 두께(Å)(C) Hard mask thickness remaining after contact opening 250250 500500 (D)플러그 격리 후 잔류하는 하드마스크의 두께(Å)(D) Thickness of hard mask remaining after plug isolation 00 100100

상기의 표 3은 도 10a에 도시된 그래프를 표로 도시한 것으로, LPC 형성을 위한 각 공정시 셀 및 주변회로영역에서의 하드마스크의 두께 변화를 나타내고 있다.Table 3 is a graph of the graph shown in Figure 10a, and shows the thickness change of the hard mask in the cell and the peripheral circuit area during each process for forming the LPC.

이를 참조하면, (A)의 단계 즉, 하드마스크 형성 단계에서 최초 하드마스크를 1000Å의 두께로 형성하였으며, (B)의 단계 즉, 층간절연막을 식각하는 SAC 식각 공정에서 셀영역에서 400Å 주변회로영역에서 170Å의 하드마스크가 각각 손실되어 결국 두 영역에서 각각 600Å과 830Å의 하드마스크가 각각 잔류함을 알 수 있다.Referring to this, in the step (A), that is, the hard mask forming step, the first hard mask was formed to a thickness of 1000 Å, and in step (B), that is, etching the interlayer insulating film. In the SAC etching process, the 170kW hard mask is lost in the 400kV peripheral circuit area in the cell area, and thus, the 600kW and 830kW hard masks remain in the two areas.

(C)의 단계 즉, 식각정지막을 제거하여 콘택 영역을 오픈하는 단계에서 셀영역에서는 350Å 주변회로영역에서 330Å의 하드마스크가 각각 손실되어 결국 두 영역에서 각각 250Å과 500Å의 하드마스크가 잔류함을 알 수 있다. In the step of (C), that is, removing the etch stop layer and opening the contact region, the hard mask of 330 Å is lost in the 350 Å peripheral circuit region in the cell region, and 250 Å and 500 하드 hard mask remain in the two regions. Able to know.

한편, 플러그 격리를 위해 에치백 및/또는 CMP 공정을 실시한 후인 (D)의 단계에서는 셀영역에서 0Å 주변회로영역에서는 100Å의 하드마스크가 잔류한다.On the other hand, in the step (D) after the etch back and / or CMP process is performed for plug isolation, a hard mask of 100 Å remains in the 0 Å peripheral circuit region in the cell region.

도 10b는 셀영역에 해당하는 도 6을 Y-Y' 방향으로 절취한 단면에 대응하는 TEM 사진이다. 도 10b를 참조하면, 전술한 도 7f와 같이 식각정지막이 제거되어 콘 택홀(81)을 통해 콘택 영역이 오픈된 상태를 나타내는 바, 이 경우에도 전술한 도 9와 유사하게 블랭킷 식각을 통해 식각정지막을 제거하는 단계(C) 후 셀영역에서 250Å의 하드마스크가 층간절연막(76) 상에 잔류하게 되며, 이에 따라 콘택 오픈 공정 후 실시하는 BOE를 이용한 습식 세정 공정에서 하드마스크의 리프팅이 발생할 가능성이 높아진다. 따라서, 제시한 본 발명과 같이 층간절연막을 연마하여 게이트 하드마스크와 평탄화한 후 그 상부에 하드마스크를 형성함으로써, 세정에 의한 하드마스크의 리프팅 발생을 억제할 수 있다. FIG. 10B is a TEM photograph corresponding to a cross section taken along the line Y-Y 'corresponding to the cell region. Referring to FIG. 10B, as shown in FIG. 7F, the etch stop layer is removed and the contact region is opened through the contact hole 81. In this case, similarly to FIG. 9, etch stop is performed through blanket etching. After removing the film (C), the hard mask of 250 Å remains in the interlayer insulating film 76 in the cell region, so that the lifting of the hard mask may occur in the wet cleaning process using the BOE performed after the contact opening process. Increases. Therefore, as shown in the present invention, the interlayer insulating film is polished and planarized with the gate hard mask, and then a hard mask is formed thereon, thereby preventing the occurrence of lifting of the hard mask by cleaning.

하드마스크의 두께Hard mask thickness 셀영역Cell area 주변회로영역Peripheral Circuit Area (A)최초 하드마스크의 두께(Å)(A) Thickness of the first hard mask 11501150 11501150 (B)SAC 식각 후 잔류하는 하드마스크의 두께(Å)(B) Hard mask thickness remaining after SAC etching 750750 980980 (C)콘택 오픈 후 잔류하는 하드마스크의 두께(Å)(C) Hard mask thickness remaining after contact opening 400400 630630 (D)플러그 격리 후 잔류하는 하드마스크의 두께(Å)(D) Thickness of hard mask remaining after plug isolation 0∼500-50 230230

상기의 표 4는 도 11에 도시된 그래프를 표로 도시한 것으로, LPC 형성을 위한 각 공정시 셀 및 주변회로영역에서의 하드마스크의 두께 변화를 나타내고 있다.Table 4 above shows the graph of FIG. 11 as a table, and shows the change of the thickness of the hard mask in the cell and the peripheral circuit area during each process for forming the LPC.

이를 참조하면, (A)의 단계 즉, 하드마스크 형성 단계에서 최초 하드마스크를 1150Å의 두께로 형성하였으며, (B)의 단계 즉, 층간절연막을 식각하는 SAC 식각 공정에서 셀영역에서 400Å 주변회로영역에서 170Å의 하드마스크가 각각 손실되어 결국 두 영역에서 각각 750Å과 980Å의 하드마스크가 각각 잔류함을 알 수 있다.Referring to this, in the step (A), that is, the hard mask forming step, the first hard mask was formed to a thickness of 1150 Å, and in step (B), that is, etching the interlayer insulating film. In the SAC etching process, the hard mask of 170 마 is lost in the 400 Å peripheral circuit region in the cell region, and thus, the 750 Å and 980 하드 hard masks remain in the two regions, respectively.

(C)의 단계 즉, 식각정지막을 제거하여 콘택 영역을 오픈하는 단계에서 셀영 역에서는 350Å 주변회로영역에서 350Å의 하드마스크가 각각 손실되어 결국 두 영역에서 각각 400Å과 630Å의 하드마스크가 잔류함을 알 수 있다. In the step (C), that is, removing the etch stop layer and opening the contact region, 350 하드 hard masks are lost in the 350 Å peripheral circuit region in the cell region, and 400 Å and 630 하드 hard masks remain in the two regions. Able to know.

한편, 플러그 격리를 위해 에치백 및/또는 CMP 공정을 실시한 후인 (D)의 단계에서는 셀영역에서 0Å ∼ 50Å 주변회로영역에서는 230Å의 하드마스크가 잔류한다.On the other hand, in the step (D) after the etch back and / or CMP process for plug isolation, 230 Å hard mask remains in the 0 Å to 50 Å peripheral circuit region in the cell region.

이 경우에도 전술한 도 10a와 유사하게 블랭킷 식각을 통해 식각정지막을 제거하는 단계(C) 후 셀영역에서 400Å의 하드마스크가 층간절연막 상에 잔류하게 되며, 이에 따라 콘택 오픈 공정 후 실시하는 BOE를 이용한 습식 세정 공정에서 하드마스크의 리프팅이 발생할 가능성이 높아진다. 따라서, 제시한 본 발명과 같이 층간절연막을 연마하여 게이트 하드마스크와 평탄화한 후 그 상부에 하드마스크를 형성함으로써, 세정에 의한 하드마스크의 리프팅 발생을 억제할 수 있다.In this case, similarly to FIG. 10A, after removing the etch stop layer through blanket etching (C), a hard mask of 400 잔류 remains in the interlayer insulating layer in the cell region, and accordingly, a BOE performed after the contact opening process is performed. In the wet cleaning process, the lifting of the hard mask is more likely to occur. Therefore, as shown in the present invention, the interlayer insulating film is polished and planarized with the gate hard mask, and then a hard mask is formed thereon, thereby preventing the occurrence of lifting of the hard mask by cleaning.

한편, 전술한 도 10a와 도 10b 및 도 11에 제시된 바와 같이 하드마스크의 두께를 너무 두껍게 형성할 경우에는 포토레지스트에 대한 선택비 문제가 발생할 수 있다.On the other hand, when the thickness of the hard mask is formed too thick, as shown in FIGS. 10A, 10B and 11 described above, a selectivity ratio for the photoresist may occur.

따라서, 하드마스크의 두께를 반도체 소자의 디자인 룰과 적용되는 공정에 따라 적절하게 조절하는 것이 바람직하다.Therefore, it is desirable to appropriately adjust the thickness of the hard mask according to the design rule of the semiconductor device and the process applied.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

예컨대, 전술한 본 발명의 실시예에서는 라인 타입의 SAC 공정만을 그 예로 하였으나, 이외에도 홀(Hole) 타입의 SAC 공정에도 적용이 가능하며, 게이트전극 패턴 사이 뿐만아니라 비트라인 사이를 오픈시키는 공정(즉, 스토리지노드 콘택홀 형성 공정) 또는 비아 콘택 형성 공정 등 다양한 반도체 제조 공정에 적용이 가능하다.For example, in the above-described embodiment of the present invention, only the line-type SAC process is used as an example, but it is also applicable to the hole-type SAC process, and the process of opening not only between the gate electrode patterns but also between the bit lines (ie, , Storage node contact hole forming process), or via contact forming process.

상술한 바와 같은 본 발명은, 질화막을 하드마스크로 사용함으로 인해 갖는 여러가지 장점을 모두 가지면서도 콘택 오픈 후 실시하는 습식 세정에서 잔류하는 하드마스크가 리프팅되어 소자의 불량을 유발하는 것을 방지할 수 있어, 80nm 이하의 디자인 룰 등 미세 패턴의 구현을 반도체 장치 제조시 결함 발생을 최소화하여 수율을 향상시킬 수 있는 효과가 있다.The present invention as described above, while having all the advantages of using the nitride film as a hard mask, it is possible to prevent the hard mask remaining in the wet cleaning performed after the contact is opened to cause the defect of the device, The implementation of a fine pattern such as a design rule of 80nm or less has the effect of improving the yield by minimizing the occurrence of defects in manufacturing semiconductor devices.

Claims (13)

셀영역과 주변회로영역을 구비하는 기판 상에 제1 하드마스크용 질화막/전도막 구조를 갖고 서로 이웃하는 복수의 도전패턴을 형성하는 단계;Forming a plurality of conductive patterns adjacent to each other having a first hard mask nitride film / conductive film structure on a substrate having a cell region and a peripheral circuit region; 상기 도전패턴이 형성된 프로파일을 따라 식각정지막을 형성하는 단계;Forming an etch stop layer along the profile in which the conductive pattern is formed; 상기 식각정지막이 형성된 기판 전면에 층간절연막을 형성하는 단계;Forming an interlayer insulating film on an entire surface of the substrate on which the etch stop film is formed; 상기 제1 하드마스크용 질화막의 상부가 노출될 때까지 상기 층간절연막과 상기 식각정지막을 제거하여 평탄화시키는 단계;Removing and planarizing the interlayer insulating layer and the etch stop layer until an upper portion of the first hard mask nitride layer is exposed; 노출된 상기 제1 하드마스크용 질화막 및 상기 층간절연막 상에 제2 하드마스크용 질화막을 형성하는 단계;Forming a second hard mask nitride film on the exposed first hard mask nitride film and the interlayer insulating film; 상기 제2 하드마스크용 질화막 상에 반사방지막을 형성하는 단계;Forming an anti-reflection film on the second hard mask nitride film; 상기 반사방지막 상에 ArF 노광원을 이용한 포토리소그라피 공정을 통해 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern on the anti-reflection film through a photolithography process using an ArF exposure source; 상기 포토레지스트 패턴을 식각마스크로 상기 반사방지막과 상기 제2 하드마스크용 질화막을 선택적으로 식각하여 하드마스크를 형성하는 단계;Selectively etching the anti-reflection film and the second hard mask nitride layer using the photoresist pattern as an etching mask to form a hard mask; 상기 포토레지스트 패턴 및 상기 반사방지막을 제거하는 단계;Removing the photoresist pattern and the anti-reflection film; 상기 하드마스크를 식각마스크로 상기 이웃하는 도전패턴 사이의 상기 층간절연막을 식각하여 상기 식각정지막을 노출시키는 콘택홀을 형성하는 단계;Forming a contact hole exposing the etch stop layer by etching the interlayer insulating layer between the adjacent conductive patterns using the hard mask as an etch mask; 상기 콘택홀 저면에서의 상기 식각정지막을 제거하여 상기 기판을 노출시키는 단계; 및Removing the etch stop layer on the bottom of the contact hole to expose the substrate; And 상기 콘택홀 내부를 세정하는 단계Cleaning the inside of the contact hole 를 포함하는 반도체 장치 제조 방법.A semiconductor device manufacturing method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 층간절연막과 상기 식각정지막을 제거하여 평탄화시키는 단계에서, Removing the interlayer insulating layer and the etch stop layer to planarize 화학기계적연마 공정을 적용하며, 상기 도전패턴의 상부가 100Å 이내로 손실되도록 하는 것을 특징으로 하는 반도체 장치 제조 방법.And applying a chemical mechanical polishing process so that the upper part of the conductive pattern is lost within 100 mW. 제 1 항에 있어서,The method of claim 1, 상기 층간절연막은 산화막 계열의 물질막이며, The interlayer insulating film is an oxide film-based material film, 상기 콘택홀을 형성하는 단계에서, 자기정렬콘택 식각 공정을 이용하는 것을 특징으로 하는 반도체 장치 제조 방법.And forming a contact hole using a self-aligned contact etching process. 제 3 항에 있어서,The method of claim 3, wherein 상기 도전패턴은 상기 자기정렬콘택 식각시 손실되는 양과, 상기 식각정지막을 제거하는 단계에서 손실되는 양을 합한 것보다 큰 두께로 상기 제1 하드마스크용 질화막을 형성하는 것을 특징으로 하는 반도체 장치 제조 방법.The conductive pattern is a semiconductor device manufacturing method, characterized in that to form a nitride film for the first hard mask having a thickness larger than the sum of the amount lost during the etching of the self-aligned contact and the amount removed in the step of removing the etch stop layer. . 제 3 항에 있어서,The method of claim 3, wherein 상기 자기정렬콘택 식각시 C4F6 또는 C5F8 가스를 이용하는 것을 특징으로 하는 반도체 장치 제조 방법.And C 4 F 6 or C 5 F 8 gas for etching the self-aligned contact. 제 1 항에 있어서,The method of claim 1, 상기 식각정지막을 제거하는 단계에서, 블랭킷 식각을 이용하는 것을 특징으로 하는 반도체 장치 제조 방법.And removing the etch stop layer, using a blanket etch. 제 6 항에 있어서,The method of claim 6, 상기 콘택홀을 형성하는 단계에서 손실되는 양과, 상기 식각정지막을 제거하는 단계에서 손실되는 양을 합한 것과 같거나 큰 두께로 상기 제2 하드마스크용 질화막을 형성하는 것을 특징으로 하는 반도체 장치 제조 방법.And forming the nitride film for the second hard mask to have a thickness equal to or greater than the sum of the amount lost in the forming of the contact hole and the amount lost in the removing of the etch stop layer. 제 1 항에 있어서,The method of claim 1, 상기 식각정지막을 제거하는 단계에서, 셀 오픈 마스크를 이용하여 상기 셀영역에서의 상기 식각정지막을 제거하는 것을 특징으로 하는 반도체 장치 제조 방법.And removing the etch stop layer in the cell region using a cell open mask. 제 1 항에 있어서,The method of claim 1, 상기 세정하는 단계 후, After the cleaning step, 상기 노출된 기판에 전기적으로 도통된 플러그를 형성하는 단계를 더 포함하며, Forming an electrically conductive plug in the exposed substrate, 상기 플러그를 형성하는 단계는,Forming the plug, 상기 노출된 기판에 도통되도록 플러그 형성용 물질을 형성하는 단계;Forming a plug forming material to be conductive with the exposed substrate; 상기 셀영역과 상기 주변회로영역의 단차를 줄이기 위해 증착된 상기 플러그 형성용 물질의 일부를 에치백하여 제거하는 단계; 및Etching back and removing a portion of the plug forming material deposited to reduce the step difference between the cell region and the peripheral circuit region; And 상기 도전패턴 상부가 노출되는 타겟으로 상기 플러그 형성용 물질을 연마하여 격리된 플러그를 형성하는 단계Polishing the plug forming material with a target to which the conductive pattern is exposed to form an isolated plug 를 포함하는 것을 특징으로 하는 반도체 장치 제조 방법.A semiconductor device manufacturing method comprising a. 제 9 항에 있어서,The method of claim 9, 상기 플러그 형성용 물질을 형성하는 단계는, Forming the plug forming material, 상기 기판 전면에 상기 플러그 형성용 물질을 증착하는 방식 또는 선택적 에피택셜 성장을 통해 상기 노출된 기판으로부터 성장시키는 방식을 이용하는 것을 특징으로 하는 반도체 장치 제조 방법.And depositing the plug-forming material on the entire surface of the substrate or growing from the exposed substrate through selective epitaxial growth. 제 1 항에 있어서,The method of claim 1, 상기 포토레지스트 패턴은, 라인 타입 또는 홀 타입을 포함하는 것을 특징으로 하는 반도체 장치 제조 방법.The photoresist pattern includes a line type or a hole type. 제 1 항에 있어서,The method of claim 1, 상기 도전패턴은 게이트전극 패턴, 비트라인 또는 금속배선 중 어느 하나를 포함하는 것을 특징으로 하는 반도체 장치 제조 방법.The conductive pattern includes any one of a gate electrode pattern, a bit line or a metal wiring. 제 1 항에 있어서,The method of claim 1, 상기 포토레지스트 패턴을 형성하는 단계 후, After forming the photoresist pattern, 제1 및 제2 챔버를 포함하는 식각 장치에 상기 포토레지스트 패턴이 형성된 기판을 장입하는 단계를 더 포함하며, Charging the substrate on which the photoresist pattern is formed in an etching apparatus including first and second chambers, 상기 하드마스크를 형성하는 단계와 상기 포토레지스트 패턴 및 상기 반사방지막을 제거하는 단계를 제1 챔버에서 실시하며,Forming the hard mask and removing the photoresist pattern and the anti-reflection film in a first chamber, 상기 콘택홀을 형성하는 단계와 상기 식각정지막을 제거하는 단계를 제2 챔버에서 실시하는 것을 특징으로 하는 반도체 장치 제조 방법.Forming the contact hole and removing the etch stop layer in a second chamber.
KR1020030074178A 2003-10-23 2003-10-23 Method for fabrication of semiconductor device capable of forming fine pattern KR100571652B1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020030074178A KR100571652B1 (en) 2003-10-23 2003-10-23 Method for fabrication of semiconductor device capable of forming fine pattern
TW093119260A TWI250558B (en) 2003-10-23 2004-06-30 Method for fabricating semiconductor device with fine patterns
US10/925,856 US7119013B2 (en) 2003-10-23 2004-08-24 Method for fabricating semiconductor device with fine patterns
JP2004304542A JP4711658B2 (en) 2003-10-23 2004-10-19 Manufacturing method of semiconductor device having fine pattern
CNB2004100865224A CN1333456C (en) 2003-10-23 2004-10-21 Method for fabricating semiconductor device with fine patterns

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030074178A KR100571652B1 (en) 2003-10-23 2003-10-23 Method for fabrication of semiconductor device capable of forming fine pattern

Publications (2)

Publication Number Publication Date
KR20050038872A KR20050038872A (en) 2005-04-29
KR100571652B1 true KR100571652B1 (en) 2006-04-17

Family

ID=37241186

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030074178A KR100571652B1 (en) 2003-10-23 2003-10-23 Method for fabrication of semiconductor device capable of forming fine pattern

Country Status (1)

Country Link
KR (1) KR100571652B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100695417B1 (en) 2003-12-22 2007-03-15 주식회사 하이닉스반도체 Method for fabrication of semiconductor device capable of forming fine pattern

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7897499B2 (en) 2006-02-24 2011-03-01 Hynix Semiconductor Inc. Method for fabricating a semiconductor device with self-aligned contact
KR100772679B1 (en) * 2006-11-14 2007-11-02 주식회사 하이닉스반도체 Method for fabricating semiconductor device
KR100950553B1 (en) * 2007-08-31 2010-03-30 주식회사 하이닉스반도체 Method for forming contact in semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040075638A (en) * 2003-02-22 2004-08-30 삼성전자주식회사 Method of manufacturing semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040075638A (en) * 2003-02-22 2004-08-30 삼성전자주식회사 Method of manufacturing semiconductor device

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
1020040075638

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100695417B1 (en) 2003-12-22 2007-03-15 주식회사 하이닉스반도체 Method for fabrication of semiconductor device capable of forming fine pattern

Also Published As

Publication number Publication date
KR20050038872A (en) 2005-04-29

Similar Documents

Publication Publication Date Title
KR100673884B1 (en) Method for fabrication of semiconductor device capable of protecting attack by wet cleaning
US6316329B1 (en) Forming a trench mask comprising a DLC and ASH protecting layer
JP4711658B2 (en) Manufacturing method of semiconductor device having fine pattern
KR100585007B1 (en) Method for fabrication of semiconductor device capable of forming fine pattern
KR100670662B1 (en) Method for fabrication of semiconductor device
KR100611776B1 (en) Method for fabrication of semiconductor device
US20050280035A1 (en) Semiconductor device and method for fabricating the same
KR100685677B1 (en) Method for fabrication of semiconductor device
KR100571652B1 (en) Method for fabrication of semiconductor device capable of forming fine pattern
KR100268422B1 (en) Contact pad of semiconductor device and method of forming the same
KR20050116600A (en) Forming method of contact plug in semiconductor device
KR100695417B1 (en) Method for fabrication of semiconductor device capable of forming fine pattern
KR101057759B1 (en) Semiconductor device manufacturing method
KR100537187B1 (en) Method for fabrication of semiconductor device
KR101073130B1 (en) Method for forming self align contact of semiconductor device
KR101073126B1 (en) Method for fabrication of semiconductor device capable of protecting attack by wet clening
KR100701425B1 (en) Method for fabrication of semiconductor device
KR20010046152A (en) Method of fabrication capacitor in high capacity of memory device
KR20050029430A (en) Method for fabrication of semiconductor device
KR101046717B1 (en) Method for forming self-aligned contact of semiconductor device
KR20060029007A (en) Method for fabrication of semiconductor device
KR20060038589A (en) Forming method of plug in semiconductor device
KR20060030553A (en) Method for fabrication of semiconductor device
KR20050049255A (en) Method for fabrication of semiconductor device
KR20060038588A (en) Method for fabrication of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130325

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140324

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160321

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170323

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180326

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20190325

Year of fee payment: 14