KR100924879B1 - Method for fabricating mim structure capacitor - Google Patents

Method for fabricating mim structure capacitor Download PDF

Info

Publication number
KR100924879B1
KR100924879B1 KR1020070136744A KR20070136744A KR100924879B1 KR 100924879 B1 KR100924879 B1 KR 100924879B1 KR 1020070136744 A KR1020070136744 A KR 1020070136744A KR 20070136744 A KR20070136744 A KR 20070136744A KR 100924879 B1 KR100924879 B1 KR 100924879B1
Authority
KR
South Korea
Prior art keywords
titanium
layer
nitride
nitride film
tin
Prior art date
Application number
KR1020070136744A
Other languages
Korean (ko)
Other versions
KR20090068932A (en
Inventor
신종훈
Original Assignee
주식회사 동부하이텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 동부하이텍 filed Critical 주식회사 동부하이텍
Priority to KR1020070136744A priority Critical patent/KR100924879B1/en
Priority to US12/334,500 priority patent/US7977184B2/en
Publication of KR20090068932A publication Critical patent/KR20090068932A/en
Application granted granted Critical
Publication of KR100924879B1 publication Critical patent/KR100924879B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/06Solid dielectrics
    • H01G4/08Inorganic dielectrics
    • H01G4/12Ceramic dielectrics
    • H01G4/1272Semiconductive ceramic capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/33Thin- or thick-film capacitors 
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/957Making metal-insulator-metal device

Abstract

본 발명은 MIM 구조 커패시터 제조방법에 관한 것으로서, MIM 구조 커패시터를 위해 하부 전극 금속층 상에 절연층인 나이트라이드막과 상부 전극 금속층인 티타뉴/질화 티타늄(Ti/TiN)을 차례로 증착시키는 단계와, 상부 전극 금속층 상에 포토레지스트를 도포하고, 포토레지스트층을 패터링하는 단계와, 패터링된 포토레지스트층을 식각 마스크로 하여 나이트라이드막을 엔드포인트로 하여 나이트라이드막이 잔존할 수 있도록 상부 금속 전극층인 티타뉴/질화 티타늄(Ti/TiN)을 선택적으로 식각하는 단계와, 잔존하는 나이트라이드막을 제거하는 단계를 포함한다. 따라서 본 발명에 의하면 MIM 커패시터의 패터링시 CDE(chemical dry etching:화학적건식식각)를 사용함으로써, MIM 커패시터의 측벽에 티타늄/질화 티타늄(Ti/TiN)이 잔존하는 것을 방지하여 MIM 구조 커패시터의 특성을 향상시킬 수 있는 효과가 있다.The present invention relates to a method for manufacturing a MIM structure capacitor, comprising: depositing a nitride film as an insulating layer and a titanium / titanium nitride (Ti / TiN) layer as an insulating layer on a lower electrode metal layer for a MIM structure capacitor; Applying a photoresist on the upper electrode metal layer, patterning the photoresist layer, and using the patterned photoresist layer as an etch mask, the nitride film as an endpoint so that the nitride film remains Selectively etching the titanium / titanium nitride (Ti / TiN); and removing the remaining nitride film. Therefore, according to the present invention, by using chemical dry etching (CDE) during the patterning of the MIM capacitor, the characteristics of the MIM structure capacitor by preventing the remaining titanium / titanium nitride (Ti / TiN) on the side wall of the MIM capacitor There is an effect to improve.

MIM, 상부 전극 금속층, 하부 전극 금속층, 티타뉴/질화 티타늄(Ti/TiN), 쇼트 MIM, upper electrode metal layer, lower electrode metal layer, titanium / titanium nitride (Ti / TiN), short

Description

MIM 구조 커패시터 제조방법{METHOD FOR FABRICATING MIM STRUCTURE CAPACITOR} MIM structure capacitor manufacturing method {METHOD FOR FABRICATING MIM STRUCTURE CAPACITOR}

본 발명은 MIM 구조 커패시터 제조방법에 관한 것으로, 더욱 상세하게는 금속/절연체/금속(Metal/Insulator/Metal: MIM) 구조 커패시터에서 패터링시 MIM 커패시터의 측벽에 부착되는 티타뉴/질화 티타늄(Ti/TiN)으로 인하여 발생하는 쇼트를 방지하기 위한 MIM 구조 커패시터 제조방법에 관한 것이다.The present invention relates to a method of manufacturing a MIM structure capacitor, and more particularly, a titanic / titanium nitride (Ti) attached to a sidewall of a MIM capacitor when patterning in a metal / insulator / metal (MIM) structure capacitor. / TiN) relates to a method of manufacturing a MIM structure capacitor for preventing shorts caused by.

일반적으로, 반도체 소자에 사용하는 커패시터는 그 구조에 따라 크게 PIP(Poly Insulator Poly) 커패시터와 MIM 커패시터로 구분되며, 각 구조의 커패시터는 각각의 고유한 특성이 있어 반도체 소자의 특성에 따라 적절히 선택되어 사용되고 있다.In general, capacitors used in semiconductor devices are classified into PIP (Poly Insulator Poly) capacitors and MIM capacitors according to their structure. Capacitors of each structure have their own characteristics and are appropriately selected according to the characteristics of the semiconductor device. It is used.

이중 특히 MIM 구조 커패시터는 고주파를 사용하는 반도체 소자에 사용되고 있는데, 이는 상기 PIP 구조의 커패시터는 상부 전극 및 하부 전극을 도전성 폴리실리콘으로 사용하기 때문에 상분전극 하부전극과 절연체 박막 계면에서 산화반응이 일어나 커패시턴스의 용량이 줄어드는 문제점이 있는 반면, MIM 구조 커패시터는 비저항이 작고 내부에 공핍에 의한 기생 커패시턴스가 없어 높은 용량의 구현이 가능하기 때문이다.Particularly, MIM structure capacitors are used for semiconductor devices using high frequency. Since the capacitors of the PIP structure use upper electrodes and lower electrodes as conductive polysilicon, the capacitance occurs due to oxidation reaction between the upper electrode lower electrode and the insulator thin film interface. While there is a problem in that the capacitance of the capacitor is reduced, the MIM structure capacitor has a small specific resistance and there is no parasitic capacitance due to depletion therein, thereby enabling high capacitance implementation.

즉, 고주파를 사용하는 반도체 소자에서는 RC 지연에 의해 소자 특성이 달라질 수 있기 때문에 가급적 전기적 특성이 좋은 Metal을 사용하는 MIM 구조의 커패시터가 사용되는 것이다.That is, in the semiconductor device using high frequency, since the device characteristics may be changed by RC delay, a capacitor having a MIM structure using metal having good electrical characteristics is used.

도 1a는 종래 MIM(Metal Insulator Metal) 커패시터의 공정 단면도를 도시한 것으로, 도 1a에서와 같이 MIM 구조를 위해 티타늄/질화 티타늄(Ti/TiN)(100, 102), 알루미늄 구리(AlCu)(104), 티타늄/질화 티타늄(Ti/TiN)(106, 108)으로 된 하부 전극 금속층을 형성하고, 하부 전극 금속층 위로 절연층인 나이트라이드(Nitride)막(110)과 상부 전극 금속층인 티타뉴/질화 티타늄(Ti/TiN)(112, 114)을 차례로 증착시킨다. 이어서 상부 전극 금속층 위로 포토레지스트(photo-resist)를 도포하고, 포토레지스트층을 패터닝(patterning)하여 패터닝된 포토레지스트층을 식각 마스크로 한 반응성 이온 식각(Reactive Ion Etching ; RIE) 공정을 통해 상부 전극 금속층(112, 114) 및 절연층의 나이트라이드막(110)을 차례로 식각하여 MIM 구조를 형성시키게 된다.FIG. 1A illustrates a process cross-sectional view of a conventional metal insulator metal (MIM) capacitor, including titanium / titanium nitride (Ti / TiN) 100, 102 and aluminum copper (AlCu) 104 for the MIM structure as shown in FIG. 1A. ), A lower electrode metal layer made of titanium / titanium nitride (Ti / TiN) 106 and 108, and a nitride film 110 as an insulating layer and a titanium / nitride as an upper electrode metal layer over the lower electrode metal layer. Titanium (Ti / TiN) 112 and 114 are deposited one after the other. Then, a photoresist is applied on the upper electrode metal layer, and the upper electrode is subjected to a reactive ion etching process using a patterned photoresist layer as an etching mask by patterning the photoresist layer. The metal layers 112 and 114 and the nitride film 110 of the insulating layer are sequentially etched to form a MIM structure.

그러나, 상기한 바와 같은 종래 MIM 커패시터의 구조에서는 기본적으로 상부 전극 금속층의 패터링시 즉, 드라이 에칭시 절연층인 나이트라이드(Nitride)막(110)과 고선택적으로 에칭이 곤란하여, 절연층의 두께가 얇은 경우 절연층까지 모두 에칭되며, 이때 하부 전극 금속층인 티타늄/질화 티타늄(Ti/TiN)(106, 108)이 에칭되고 도 1b에서와 같이 MIM 커패시터의 측벽에 부착되어 쇼트가 발생되는 문제점이 있었다.However, in the structure of the conventional MIM capacitor as described above, it is difficult to etch highly selectively with the nitride film 110, which is an insulating layer during patterning of the upper electrode metal layer, that is, during dry etching. When the thickness is thin, all of the insulating layers are etched. In this case, titanium / titanium nitride (Ti / TiN) 106 and 108, which are lower electrode metal layers, are etched and attached to the sidewall of the MIM capacitor as shown in FIG. There was this.

따라서 본 발명은, MIM 커패시터의 패터링시 CDE(chemical dry etching:화학적건식식각)를 사용함으로써, MIM 커패시터의 측벽에 티타늄/질화 티타늄(Ti/TiN)이 잔존하는 것을 방지하여 쇼트의 발생을 억제할 수 있는 MIM 구조 커패시터 제조방법을 제공하는 것을 그 목적으로 한다.Therefore, the present invention, by using chemical dry etching (CDE) during the patterning of the MIM capacitor, prevents the occurrence of short by preventing the remaining titanium / titanium nitride (Ti / TiN) on the side wall of the MIM capacitor It is an object of the present invention to provide a method for manufacturing a MIM structure capacitor.

상기 목적을 달성하기 위하여 본 발명은, MIM 구조 커패시터 제조방법으로서, MIM 구조 커패시터를 위해 하부 전극 금속층 상에 절연층인 나이트라이드막과 상부 전극 금속층인 티타뉴/질화 티타늄(Ti/TiN)을 차례로 증착시키는 단계와, 상부 전극 금속층 상에 포토레지스트를 도포하고, 포토레지스트층을 패터링하는 단계와, 패터링된 포토레지스트층을 식각 마스크로 하여 나이트라이드막을 엔드포인트로 하여 나이트라이드막이 잔존할 수 있도록 상부 금속 전극층인 티타뉴/질화 티타늄(Ti/TiN)을 선택적으로 식각하는 단계와, 잔존하는 나이트라이드막을 제거하는 단계를 포함하는 MIM 구조 커패시터 제조방법을 제공한다.In order to achieve the above object, the present invention provides a MIM structure capacitor, in which a nitride film as an insulating layer and a titanine / titanium nitride (Ti / TiN) as an upper electrode metal layer are sequentially formed on a lower electrode metal layer for a MIM structure capacitor. Depositing, applying a photoresist on the upper electrode metal layer, patterning the photoresist layer, and using the patterned photoresist layer as an etch mask and the nitride film as an endpoint, the nitride film may remain. A method of fabricating an MIM structure capacitor, including selectively etching an upper metal electrode layer of titanium / titanium nitride (Ti / TiN), and removing the remaining nitride film.

여기서 바람직하게 나이트라이드막이 잔존할 수 있도록 상부 금속 전극층인 티타뉴/질화 티타늄(Ti/TiN)을 선택적으로 식각하는 단계에서, CDE(chemical dry etching:화학적건식식각)로 에칭이 이루어지며, 더욱 바람직하게는 나이트라이드막은 오버 에칭으로 전체 두께에서 10% 정도 식각되는 것을 특징으로 한다.Here, in the step of selectively etching the upper metal electrode layer of titanium / titanium nitride (Ti / TiN) so that the nitride film may remain, etching is performed by chemical dry etching (CDE), more preferably. Preferably, the nitride film is etched by about 10% of the total thickness by over etching.

또한, 바람직하게 잔존하는 나이트라이드막을 제거하는 단계에서, 나이트라이드막의 제거는 습식 식각이 사용되며, 이때 H3PO4로 하여 잔존하는 나이트라이드막의 제거가 이루어진다.Further, preferably, in the step of removing the remaining nitride film, wet etching is used for removing the nitride film, and at this time, the remaining nitride film is removed as H 3 PO 4 .

이상 설명한 바와 같이 본 발명의 MIM 구조 커패시터 제조방법에 따르면, MIM 커패시터의 패터링시 CDE(chemical dry etching:화학적건식식각)를 사용함으로써, MIM 커패시터의 측벽에 티타늄/질화 티타늄(Ti/TiN)이 잔존하는 것을 방지하여 MIM 구조 커패시터의 특성을 향상시킬 수 있는 효과가 있다.As described above, according to the MIM structure capacitor manufacturing method of the present invention, by using chemical dry etching (CDE) during patterning of the MIM capacitor, titanium / titanium nitride (Ti / TiN) is formed on the sidewall of the MIM capacitor. By preventing the remaining, there is an effect that can improve the characteristics of the MIM structure capacitor.

이하 첨부된 도면을 참조하여 본 발명의 동작 원리를 상세히 설명한다. 하기에서 본 발명을 설명함에 있어서 공지 기능 또는 구성에 대한 구체적인 설명이 본 발명의 요지를 불필요하게 흐릴 수 있다고 판단되는 경우에는 그 상세한 설명을 생략할 것이다. 그리고 후술되는 용어들은 본 발명에서의 기능을 고려하여 정의된 용어들로서 이는 사용자, 운용자의 의도 또는 관례 등에 따라 달라질 수 있다. 그러 므로 그 정의는 본 명세서 전반에 걸친 내용을 토대로 내려져야 할 것이다. Hereinafter, the operating principle of the present invention will be described in detail with reference to the accompanying drawings. In the following description of the present invention, when it is determined that a detailed description of a known function or configuration may unnecessarily obscure the subject matter of the present invention, the detailed description thereof will be omitted. Terms to be described later are terms defined in consideration of functions in the present invention, and may be changed according to intentions or customs of users or operators. Therefore, the definition should be made based on the contents throughout the specification.

도 2a 내지 도 2c는 본 발명의 실시예에 따른 MIM 구조 커패시터 제조방법의 공정 단면도이다.2A through 2C are cross-sectional views illustrating a method of manufacturing a MIM structure capacitor according to an exemplary embodiment of the present invention.

본 발명의 MIM 구조 커패시터 제조방법에 따르면, MIM 구조 커패시터를 위해 하부 전극 금속층 상에 절연층인 나이트라이드막과 상부 전극 금속층인 티타뉴/질화 티타늄(Ti/TiN)을 차례로 증착시키는 단계와, 상부 전극 금속층 상에 포토레지스트를 도포하고, 포토레지스트층을 패터링하는 단계와, 패터링된 포토레지스트층을 식각 마스크로 하여 나이트라이드막을 엔드포인트로 하여 나이트라이드막이 잔존할 수 있도록 상부 금속 전극층인 티타뉴/질화 티타늄(Ti/TiN)을 선택적으로 식각하는 단계와, 잔존하는 나이트라이드막을 제거하는 단계를 포함한다.According to the MIM structure capacitor manufacturing method of the present invention, the step of depositing a nitride film as an insulating layer and a titanium electrode / titanium nitride (Ti / TiN) of the upper electrode metal layer on the lower electrode metal layer in order for the MIM structure capacitor, and Applying a photoresist on the electrode metal layer, patterning the photoresist layer, and using the patterned photoresist layer as an etch mask to form a nitride film as an endpoint so that the nitride film remains. Selectively etching the titanium / titanium nitride (Ti / TiN); and removing the remaining nitride film.

여기서 도 2a 내지 도 2c를 참고하여 각 단계를 상세히 설명하기로 한다.Here, each step will be described in detail with reference to FIGS. 2A to 2C.

먼저 도 2a에서와 같이 2개의 티타늄(211, 217)과 질화 티타늄(213, 219), 알루미늄 구리(AlCu)(215)로 구성되는 하부 전극 금속층(210)을 스퍼터링(sputtering)을 이용하여 증착 형성하고, 하부 전극 금속층(210) 위로 MIM 구조 커패시터의 절연층으로서 나이트라이막(220)을 증착시키며, 나이트라이드막(220) 상부에 하나의 티타늄/질화 티타늄(Ti/TiN)(232, 234)으로 구성되는 상부 전극 금속층(230)을 형성시킨다.First, as shown in FIG. 2A, the lower electrode metal layer 210 formed of two titanium (211, 217), titanium nitride (213, 219), and aluminum copper (AlCu) 215 is formed by sputtering. The nitride layer 220 is deposited on the lower electrode metal layer 210 as an insulating layer of the MIM structure capacitor, and one titanium / titanium nitride (Ti / TiN) 232 and 234 is disposed on the nitride layer 220. An upper electrode metal layer 230 is formed.

이어서 도 2b에서와 같이, 상부 전극 금속층(230) 위로 포토레지스트를 도포하고, 포토레지스트층을 패터닝하여 패터닝된 포토레지스트층(240)을 식각 마스크 (도시하지 않음)로 하여 차례로 상부 금속 전극층(230)인 티타뉴/질화 티타늄(Ti/TiN)(232, 234)과 나이트라이드막(220)을 엔드포인트로 하여 식각이 이루어진다.Subsequently, as shown in FIG. 2B, the photoresist is applied onto the upper electrode metal layer 230, and the patterned photoresist layer 240 is patterned to form an etch mask (not shown). Etching is performed using titanium / titanium nitride (Ti / TiN) 232 and 234 and nitride film 220 as endpoints.

이때, 종래와 같이 하부 전극 금속층(210)의 티타늄/질화 티타늄(Ti/TiN)(217, 219)까지 에칭이 이루어지면 쇼트가 발생되기 때문에 상부 금속 전극층(230)인 티타뉴/질화 티타늄(Ti/TiN)(232, 234)까지 식각이 이루어지며, 바람직하게 CDE(chemical dry etching:화학적건식식각)로 에칭이 이루어지고, 더욱 바람직하게는 나이트라이드막(220)은 오버 에칭으로 전체 두께에서 10% 정도 식각이 이루어진다.In this case, since etching occurs to the titanium / titanium nitride (Ti / TiN) 217 and 219 of the lower electrode metal layer 210 as in the related art, since the short is generated, the titanine / titanium nitride (Ti) as the upper metal electrode layer 230 is formed. / TiN) (232, 234) is etched, preferably by etching with a chemical dry etching (CDE), more preferably the nitride film 220 is over-etched at a total thickness of 10 Etching is performed about%.

따라서 쇼트의 방지를 위하여 나이트라이드막(220)을 일부 남기게 된다.Therefore, the nitride film 220 is partially left to prevent short.

한편, 하부 전극 금속층(210)은 대략 5000Å의 두께로 형성하고, 절연층의 나이트라이드막(220)은 600Å의 두께로 형성한다. 또한 상부 전극 금속층(230)은 Ti/TiN막(232, 234)이 각각 500Å/1500Å의 두께로 형성되며, 포토레지스트 마스크는 13000Å으로 형성된다. 이에 잔존하는 나이트라이드막(220)은 600Å의 두께에서 10% 정도 손실되어 540Å 정도의 두께를 가지고서 잔존하게 된다.On the other hand, the lower electrode metal layer 210 is formed to a thickness of approximately 5000 kPa, and the nitride film 220 of the insulating layer is formed to a thickness of 600 kPa. In the upper electrode metal layer 230, the Ti / TiN films 232 and 234 are formed to have a thickness of 500 mW / 1500 mW, respectively, and the photoresist mask is formed to be 13000 mW. The nitride film 220 remaining therein is lost by about 10% at a thickness of 600 kV and remains at a thickness of about 540 kV.

그리고 도 2c에서는, 하부 전극 금속층(210) 상에서 잔존하는 나이트라이드막(220)을 제거하게 된다.In FIG. 2C, the nitride film 220 remaining on the lower electrode metal layer 210 is removed.

나이트라이드막(220)의 제거는 바람직하게 습식 식각이 사용되며, 더욱 바람직하게는 모든 케미칼이 사용 가능한 것은 아니며, 예를 들어 본 발명에서는 H3PO4 로 하여 잔존하는 나이트라이드막(220)의 제거가 이루어진다.Removal of the nitride film 220 is preferably wet etching, more preferably not all of the chemical can be used, for example, in the present invention of the remaining nitride film 220 as H 3 PO 4 Removal takes place.

그러므로 본 발명에서는 MIM 구조의 커패시터 제조에 있어서, 상부 전극 금속층(230)의 티타늄/질화 티타늄(Ti/TiN)(232, 234)을 CDE(chemical dry etching:화학적건식식각)로 에칭하고 이와 같이 오버 에칭된 남은 나이트라이드막(220)은 습식 식각으로 제거시킴으로써 MIM 구조 커패시터의 특성을 향상시킬 수 있으며, 식각 공정의 안정성을 확보할 수 있어 생산성을 도모할 수 있고, 또한 후속 공정의 공정 마진을 증대시킬 수 있게 된다.Therefore, in the present invention, in the manufacture of the capacitor of the MIM structure, the titanium / titanium nitride (Ti / TiN) 232 and 234 of the upper electrode metal layer 230 are etched by CDE (chemical dry etching) and thus over. The remaining etched nitride film 220 may be removed by wet etching to improve the characteristics of the MIM structure capacitor, to secure the stability of the etching process, to increase productivity, and to increase the process margin of subsequent processes. You can do it.

이상에서 설명한 것은 본 발명에 따른 MIM 구조 커패시터 제조방법은 하나의 바람직한 실시예에 불과한 것으로서, 본 발명은 상기한 실시예에 한정되지 않고, 이하의 특허청구범위에서 청구하는 바와 같이 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 분야에서 통상의 지식을 가진 자라면 누구든지 다양한 변경 실시가 가능한 범위까지 본 발명의 기술적 정신이 있다고 할 것이다.As described above, the method for manufacturing a MIM structure capacitor according to the present invention is just one preferred embodiment, and the present invention is not limited to the above-described embodiment, and the scope of the present invention is as claimed in the following claims. Without departing from the scope of the present invention, any person having ordinary skill in the art will have the technical spirit of the present invention to the extent that various modifications can be made.

도 1a 및 도 1b는 종래 MIM(Metal Insulator Metal) 커패시터의 공정 단면도를 도시한 것이고, 1A and 1B illustrate a cross-sectional view of a conventional metal insulator metal (MIM) capacitor.

도 2a 내지 도 2c는 본 발명의 실시예에 따른 MIM 구조 커패시터 제조방법의 공정 단면도이다.2A through 2C are cross-sectional views illustrating a method of manufacturing a MIM structure capacitor according to an exemplary embodiment of the present invention.

<도면의 주요부분에 대한 부호의 설명><Description of the symbols for the main parts of the drawings>

210 : 하부 전극 금속층 211, 217 : 티타늄210: lower electrode metal layer 211, 217: titanium

213, 219 : 질화 티타늄 215 : 알루미늄 구리213 and 219 titanium nitride 215 aluminum copper

220 : 나이트라이드막 230 : 상부 전극 금속층220: nitride film 230: upper electrode metal layer

232 : 티타늄 234 : 질화 티타늄232: titanium 234: titanium nitride

240 : 포토레지스트층240: photoresist layer

Claims (5)

MIM 구조 커패시터 제조방법으로서,As the MIM structure capacitor manufacturing method, 상기 MIM 구조 커패시터를 위해 하부 전극 금속층 상에 절연층인 나이트라이드막과 상부 전극 금속층인 티타뉴/질화 티타늄(Ti/TiN)을 차례로 증착시키는 단계와,Depositing a nitride film as an insulating layer and titanium / titanium nitride (Ti / TiN) as an insulating layer on a lower electrode metal layer for the MIM structure capacitor; 상기 상부 전극 금속층 상에 포토레지스트를 도포하고, 포토레지스트층을 패터링하는 단계와,Applying a photoresist on the upper electrode metal layer and patterning the photoresist layer; 상기 패터링된 포토레지스트층을 식각 마스크로 하여 상기 나이트라이드막을 엔드포인트로 하여 상기 나이트라이드막이 잔존할 수 있도록 상기 상부 금속 전극층인 상기 티타뉴/질화 티타늄(Ti/TiN)을 선택적으로 식각하는 단계와,Selectively etching the titanide / titanium nitride (Ti / TiN) as the upper metal electrode layer so that the nitride layer remains using the patterned photoresist layer as an etch mask and the nitride layer as an endpoint Wow, 상기 잔존하는 나이트라이드막을 제거하는 단계Removing the remaining nitride film 를 포함하는 MIM 구조 커패시터 제조방법.MIM structure capacitor manufacturing method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 나이트라이드막이 잔존할 수 있도록 상기 상부 금속 전극층인 상기 티타뉴/질화 티타늄(Ti/TiN)을 선택적으로 식각하는 단계에서,Selectively etching the titanium / titanium nitride (Ti / TiN) that is the upper metal electrode layer so that the nitride film remains; CDE(chemical dry etching:화학적건식식각)로 에칭이 이루어지는 MIM 구조 커패시터 제조방법.Method for manufacturing a MIM structure capacitor, which is etched by CDE (chemical dry etching). 제 1 항에 있어서,The method of claim 1, 상기 나이트라이드막이 잔존할 수 있도록 상기 상부 금속 전극층인 상기 티타뉴/질화 티타늄(Ti/TiN)을 선택적으로 식각하는 단계에서,Selectively etching the titanium / titanium nitride (Ti / TiN) that is the upper metal electrode layer so that the nitride film remains; 상기 나이트라이드막은 오버 에칭으로 전체 두께에서 10% 정도 식각되는 MIM 구조 커패시터 제조방법.The nitride film is MIM structure capacitor manufacturing method which is etched by about 10% in the total thickness by over etching. 제 1 항에 있어서,The method of claim 1, 상기 잔존하는 나이트라이드막을 제거하는 단계에서,In the step of removing the remaining nitride film, 상기 나이트라이드막의 제거는 습식 식각이 사용되는 MIM 구조 커패시터 제조방법.The removal of the nitride layer is a MIM structure capacitor manufacturing method using wet etching. 제 4 항에 있어서,The method of claim 4, wherein 상기 습식 식각시 H3PO4로 하여 상기 잔존하는 나이트라이드막이 제거되는 MIM 구조 커패시터 제조방법.The method of claim 1 , wherein the remaining nitride film is removed as H 3 PO 4 during the wet etching.
KR1020070136744A 2007-12-24 2007-12-24 Method for fabricating mim structure capacitor KR100924879B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020070136744A KR100924879B1 (en) 2007-12-24 2007-12-24 Method for fabricating mim structure capacitor
US12/334,500 US7977184B2 (en) 2007-12-24 2008-12-14 Method for fabricating MIM structure capacitor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070136744A KR100924879B1 (en) 2007-12-24 2007-12-24 Method for fabricating mim structure capacitor

Publications (2)

Publication Number Publication Date
KR20090068932A KR20090068932A (en) 2009-06-29
KR100924879B1 true KR100924879B1 (en) 2009-11-02

Family

ID=40789141

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070136744A KR100924879B1 (en) 2007-12-24 2007-12-24 Method for fabricating mim structure capacitor

Country Status (2)

Country Link
US (1) US7977184B2 (en)
KR (1) KR100924879B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011228462A (en) * 2010-04-19 2011-11-10 Taiyo Yuden Co Ltd Thin film capacitor
KR20170024921A (en) * 2015-08-26 2017-03-08 삼성전자주식회사 Method for manufacturing light emitting diode
JP2022182404A (en) * 2021-05-28 2022-12-08 東京エレクトロン株式会社 Method for etching and etching device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030094996A (en) * 2002-06-11 2003-12-18 동부전자 주식회사 Method for manufacturing semiconductor device with mim type capacitor
KR20040022950A (en) * 2002-09-10 2004-03-18 아남반도체 주식회사 Method for fabricating mim capacitor
KR20040057083A (en) * 2002-12-24 2004-07-02 동부전자 주식회사 Method Building Capcitor Layer in MIM Structure
KR100688686B1 (en) 2005-12-29 2007-03-02 동부일렉트로닉스 주식회사 Method for fabricating mim structure capacitor

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG79292A1 (en) * 1998-12-11 2001-03-20 Hitachi Ltd Semiconductor integrated circuit and its manufacturing method
KR100500444B1 (en) * 2002-12-26 2005-07-12 삼성전자주식회사 fabrication method of a metal-insulator-metal capacitor
KR100532937B1 (en) * 2003-07-15 2005-12-02 매그나칩 반도체 유한회사 Method for forming capacitor of semiconductor device
KR100551326B1 (en) * 2003-09-01 2006-02-09 동부아남반도체 주식회사 Method for manufacturing a semiconductor device having capacitor

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030094996A (en) * 2002-06-11 2003-12-18 동부전자 주식회사 Method for manufacturing semiconductor device with mim type capacitor
KR20040022950A (en) * 2002-09-10 2004-03-18 아남반도체 주식회사 Method for fabricating mim capacitor
KR20040057083A (en) * 2002-12-24 2004-07-02 동부전자 주식회사 Method Building Capcitor Layer in MIM Structure
KR100688686B1 (en) 2005-12-29 2007-03-02 동부일렉트로닉스 주식회사 Method for fabricating mim structure capacitor

Also Published As

Publication number Publication date
US20090162987A1 (en) 2009-06-25
KR20090068932A (en) 2009-06-29
US7977184B2 (en) 2011-07-12

Similar Documents

Publication Publication Date Title
US20080185684A1 (en) Method and structure for integrating mim capacitors within dual damascene processing techniques
KR100924879B1 (en) Method for fabricating mim structure capacitor
JP4425707B2 (en) Semiconductor device and manufacturing method thereof
JP2008210843A (en) Fabrication process of semiconductor device and semiconductor device
KR100955834B1 (en) Method for fabricating mim structure capacitor
KR100688686B1 (en) Method for fabricating mim structure capacitor
US7846808B2 (en) Method for manufacturing a semiconductor capacitor
KR20060001362A (en) Capacitor of semiconudctor device and method of manufacturing the same
KR100781446B1 (en) Method for manufacturing mim type capacitor on the semiconductor device
KR100641916B1 (en) Method for forming storage node of semiconductor devices
KR20100071206A (en) Mim capacitor of semiconductor device and manufacturing method thereof
KR100329072B1 (en) Capacitor Manufacturing Method for Semiconductor Devices
KR100345664B1 (en) method for forming bottom electrode of capacitor in semiconductor device
JP2004071840A (en) Manufacturing method for semiconductor device
KR100971325B1 (en) Metal-insulator-metal capacitor manufacturing method for semiconductor device
KR100807513B1 (en) Metal-insulator-metal capacitor forming method for semiconductor device
KR100842471B1 (en) A method for forming a mim capacitor in a semiconductor device
KR100688724B1 (en) Method for manufacturing high volume mim capacitor
JP2004266005A (en) Method for manufacturing semiconductor device
TWI521758B (en) Resistive memory and fabricating method thereof
KR100924861B1 (en) Method for fabricating mim structure capacitor
KR100945877B1 (en) Method for fabricating a capacitor in a semiconductor
TW202109745A (en) Method of manufacturing interconnect structure
KR20040059860A (en) Method for manufacturing mim capacitor in a semiconductor stack structure
KR20080029314A (en) Method for fabricating capacitor in semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120926

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee