KR100905996B1 - Method for fabricating semiconductor device using dual damascene process - Google Patents

Method for fabricating semiconductor device using dual damascene process Download PDF

Info

Publication number
KR100905996B1
KR100905996B1 KR1020020037249A KR20020037249A KR100905996B1 KR 100905996 B1 KR100905996 B1 KR 100905996B1 KR 1020020037249 A KR1020020037249 A KR 1020020037249A KR 20020037249 A KR20020037249 A KR 20020037249A KR 100905996 B1 KR100905996 B1 KR 100905996B1
Authority
KR
South Korea
Prior art keywords
dual damascene
semiconductor device
damascene process
etching
film
Prior art date
Application number
KR1020020037249A
Other languages
Korean (ko)
Other versions
KR20040001915A (en
Inventor
이성권
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020020037249A priority Critical patent/KR100905996B1/en
Publication of KR20040001915A publication Critical patent/KR20040001915A/en
Application granted granted Critical
Publication of KR100905996B1 publication Critical patent/KR100905996B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체소자의 듀얼 다마신 공정에 관한 것으로, 특히 비아퍼스트 방식의 듀얼다마신 공정에서의 트렌치 형성시 절연막의 손실에 의한 식각 프로파일을 개선하기에 적합한 듀얼 다마신 공정을 이용한 반도체소자 제조방법을 제공하기 위한 것으로 이를 위해 본 발명은, 전도층 상의 절연막을 선택적으로 식각하여 상기 전도층을 노출시키는 비아홀을 형성하는 단계; 후속 트렌치 식각 공정에서의 상기 비아홀을 통한 상기 전도층의 손상을 방지하기 위해, 상기 비아홀에 매립되며 그 상부가 평탄화된 배리어막을 형성하는 단계; 상기 배리어막을 경화시키는 단계; 상기 배리어막 상에 상기 비아홀과 오버랩되어 듀얼 다마신 구조를 이루는 트렌치 형성을 위한 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 절연막 일부를 식각하여 트렌치를 형성하는 단계; 및 상기 포토레지스트 패턴과 상기 배리어막을 제거하는 단계를 포함하는 듀얼 다마신 공정을 이용한 반도체소자 제조방법을 제공한다.The present invention relates to a dual damascene process of a semiconductor device, and more particularly, a method of manufacturing a semiconductor device using a dual damascene process suitable for improving an etching profile due to loss of an insulating film during trench formation in a via first type dual damascene process. To this end, the present invention provides a method for forming a via hole exposing the conductive layer by selectively etching the insulating film on the conductive layer; Forming a barrier film buried in the via hole and planarized thereon to prevent damage to the conductive layer through the via hole in a subsequent trench etching process; Curing the barrier film; Forming a photoresist pattern on the barrier layer to form trenches overlapping the via holes to form a dual damascene structure; Etching a portion of the insulating layer using the photoresist pattern as an etching mask to form a trench; And it provides a semiconductor device manufacturing method using a dual damascene process comprising the step of removing the photoresist pattern and the barrier film.

듀얼 다마신, 비아홀, 트렌치, 퍼시팅(Faceting), 금속배선, 트렌치, SADD, VFDD, TFDD, 경화, 배리어막.Dual damascene, via hole, trench, faceting, metallization, trench, SADD, VFDD, TFDD, hardening, barrier film.

Description

듀얼 다마신 공정을 이용한 반도체소자 제조방법{METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING DUAL DAMASCENE PROCESS} Method for manufacturing semiconductor device using dual damascene process {METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING DUAL DAMASCENE PROCESS}             

도 1a 내지 도 1d는 비아퍼스트법에 의한 듀얼다마신 공정을 개략적으로 도시한 단면도.1A to 1D are cross-sectional views schematically showing the dual damascene process by the via first method.

도 2a 내지 도 2e는 본 발명의 일실시예에 따른 듀얼 다마신 공정을 이용한 반도체소자 제조 공정을 도시한 단면도.
2A to 2E are cross-sectional views illustrating a semiconductor device manufacturing process using a dual damascene process according to an embodiment of the present invention.

*도면의 주요 부분에 대한 부호의 설명* Explanation of symbols for the main parts of the drawings

30 : 전도층 31 : 제1절연막30 conductive layer 31 first insulating film

32 : 식각정지막 33 : 제2절연막32: etching stop film 33: second insulating film

36 : 배리어막 37 : 포토레지스트 패턴36 barrier film 37 photoresist pattern

38 : 트렌치
38: trench

본 발명은 반도체소자 제조방법에 관한 것으로, 특히 듀얼 다마신(Dual Damascene) 공정을 이용한 반도체소자 제조방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device using a dual damascene process.

일반적으로 반도체소자 제조시 소자와 소자간 또는 배선과 배선간을 전기적으로 연결시키기 위해 금속배선을 사용하고 있다. In general, in the manufacture of semiconductor devices, metal wiring is used to electrically connect the devices and the devices, or the wiring and the wiring.

이러한 금속배선 재료로는 알루미늄(Al) 또는 텅스텐(W)이 널리 사용되고 있으나, 낮은 융점과 높은 비저항으로 인하여 초고집적 반도체 소자에 더이상 적용이 어렵게 되었다. 반도체 소자의 초고집적화에 따라 비저항은 낮고 일렉트로마이그레이션(Electromigration; 이하 EM이라 함) 및 스트레스마이그레이션(Stressmigration; 이하 SM라 함) 등의 신뢰성이 우수한 물질의 이용이 필요하게 되었으며, 이에 부합할 수 있는 가장 적합한 재료로 구리가 최근에 관심의 대상이 되고 있다.Aluminum (Al) or tungsten (W) is widely used as the metallization material, but due to low melting point and high resistivity, it is no longer applicable to ultra-high density semiconductor devices. As ultra-high integration of semiconductor devices requires the use of materials with low specific resistance and highly reliable materials such as electromigration (hereinafter referred to as EM) and stress migration (hereinafter referred to as SM). Copper has recently been of interest as a suitable material.

구리를 금속배선 재료로 이용하는 이유는, 구리의 녹는점이 1080℃로서 비교적 높을 뿐만 아니라(알루미늄: 660℃, 텅스텐: 3400℃), 비저항은 1.7μΩ㎝로서 알루미늄(2.7μΩ㎝), 텅스텐(5.6μΩ㎝)보다 매우 낮기 때문이다.The reason why copper is used as a metal wiring material is not only that the melting point of copper is relatively high as 1080 ° C. (aluminum: 660 ° C., tungsten: 3400 ° C.), but the specific resistance is 1.7 μm cm, aluminum (2.7 μΩ cm) and tungsten (5.6 μΩ). It is because it is much lower than cm).

그러나, 구리를 이용한 배선 공정은 식각이 어렵고, 부식이 확산되는 문제를 지니고 있어서, 실용화에 상당한 어려움을 지니고 있었다.However, the wiring process using copper has a problem that the etching is difficult and the corrosion is diffused, and thus, there is a considerable difficulty in practical use.

이를 개선하고 실용화하기 위하여 싱글 다마신 공정(Single damascene process) 또는 듀얼 다마신 공정을 적용하였는데, 특히 듀얼 다마신 공정을 주로 적용하고 있다.The single damascene process or the dual damascene process is applied to improve and put this into practical use. In particular, the dual damascene process is mainly applied.

여기서, 다마신 공정이라 함은 절연막(Dielectric layer)을 사진식각 공정을 통해 패터닝하여 트렌치(Trench)를 형성하고, 이 트렌치에 텅스텐(W), 알루미늄(Al), 구리(Cu) 등의 도전 물질을 채워 넣고 필요한 배선 이외의 도전 물질은 에치백(Etchback)이나 화학적기계적연마(Chemical Mechanical Polishing; 이하 CMP라 함) 등의 기술을 이용하여 제거하므로써 먼저 형성한 트렌치 모양으로 배선을 형성하는 기술이다.Here, the damascene process is to form a trench by patterning a dielectric layer through a photolithography process, the conductive material such as tungsten (W), aluminum (Al), copper (Cu), etc. The conductive material other than the necessary wiring is filled in by using a technique such as etching back or chemical mechanical polishing (hereinafter referred to as CMP) to form the wiring in the trench shape formed earlier.

상기한 다마신 공정, 특히 듀얼 다마신 공정은 주로 DRAM 등의 비트 라인(Bit line) 또는 워드라인(Wordline), 금속배선 형성에 이용되며, 특히 다층 금속배선에서 상층 금속배선과 하층 금속배선을 접속시키기 위한 비아홀을 동시에 형성할 수 있을 뿐만아니라, 금속배선에 의해 발생하는 단차를 제거할 수 있으므로 후속 공정을 용이하게 하는 장점이 있다.The damascene process, in particular the dual damascene process, is mainly used for forming bit lines, word lines, and metal interconnections such as DRAMs. In particular, the upper and lower metal interconnections are connected in a multilayer metal interconnection. Not only can the via holes to be formed at the same time, but also can eliminate the step caused by the metal wiring has the advantage of facilitating subsequent processes.

듀얼 다마신 공정은 크게 비아퍼스트법(Via First Dual Damascene; 이하 VFDD라 함)과 트렌치퍼스트법(Trench First Dual Damascene; 이하 TFDD라 함) 및 셀프얼라인법(Self-Align Dual Damascene; 이하 SADD라 함) 등이 있는 바, 도 1a 내지 도 1d는 비아퍼스트법에 의한 듀얼다마신 공정을 개략적으로 도시한 단면도로서, 이를 참조하여 살펴본다.The dual damascene process is mainly referred to as Via First Dual Damascene (hereinafter referred to as VFDD), Trench First Dual Damascene (hereinafter referred to as TFDD) and Self-Align Dual Damascene (hereinafter referred to as SADD). 1A to 1D are cross-sectional views schematically illustrating a dual damascene process using a via first method, which will be described with reference to the drawings.

도 1a를 참조하면, 플러그 또는 금속배선 등의 전도층(10) 상에 질화막 계열의 제1식각정지막(11)과 산화막 계열의 제1절연막(12)과 질화막 계열의 제2식각정지막(13)과 산화막 계열의 제2절연막(14) 및 질화막 계열의 제3식각정지막(15)이 형성되어 있으며, 그 상부에 비아홀을 정의하기 위한 포토레지스트 패턴(16)이 형성되어 있다. 여기서, 도시된 'V'는 비아홀 예정영역을 나타낸다. Referring to FIG. 1A, a nitride-based first etch stop layer 11, an oxide-based first insulating layer 12, and a nitride-based second etch stop layer 11 may be formed on a conductive layer 10 such as a plug or a metal wiring. 13), an oxide-based second insulating film 14 and a nitride-based third etch stop film 15 are formed, and a photoresist pattern 16 for defining a via hole is formed thereon. Here, 'V' illustrated represents a via hole planned area.                         

한편, 전술한 제1, 2절연막(12, 14)은 다층구조인 것을 포함하며, 도시된 바와 같이 각 절연막의 사이와 전도층(10) 상부에는 식각 공정에 따른 전도층(10) 및 각 절연막의 손상을 방지하고 식각 프로파일을 얻기 위해 식각정지 역할을 하는 질화막 계열의 다수의 식각정지막이 형성되어 있다.Meanwhile, the above-described first and second insulating films 12 and 14 may include a multi-layered structure, and as illustrated, the conductive layer 10 and each insulating film are formed between the insulating films and on the conductive layer 10 by an etching process. In order to prevent the damage and to obtain an etching profile, a plurality of nitride stop films of the nitride film series which serve as an etch stop are formed.

도 1b에 도시된 바와 같이, 포토레지스트 패턴(12)을 식각마스크로 제1, 2절연막(12, 14)과 제2, 3식각정지막(13, 15)을 선택적으로 식각하여 제1식각정지막(11)에서 식각정지를 한 다음, 계속되는 식각 공정을 통해 제거함으로써, 전도층(10) 표면을 노출시키는 비아홀(17)을 형성한 다음, 포토레지스트 스트립(Photoresist strip) 공정을 통해 포토레지스트 패턴(16)을 제거하고, 세정 공정을 통해 식각 잔류물을 제거한다.As shown in FIG. 1B, the first and second insulating layers 12 and 14 and the second and third etch stop layers 13 and 15 are selectively etched by using the photoresist pattern 12 as an etch mask. The etch stop is performed on the film 11 and then removed through a subsequent etching process to form a via hole 17 exposing the surface of the conductive layer 10, and then a photoresist pattern through a photoresist strip process. (16) is removed and the etching residue is removed by a cleaning process.

이어서 도 1c에 도시된 바와 같이, 비아홀(17)이 형성된 전체 구조 상부에 반사방지막(18)을 형성하는 바, 이는 포토레지스트의 노광시 광의 반사를 방지하는 고유의 역할과 비아홀(18) 형성에 따라 노출된 전도층(10)의 후속 트렌치 식각 공정에 따른 손상을 방지하기 위한 배리어로서의 역할을 동시에 하기 위한 것으로, 주로 유기계열(Organic)을 사용하여 비아홀(17)을 매립할 수 있을 정도의 두께로 형성한다.Subsequently, as shown in FIG. 1C, an antireflection film 18 is formed on the entire structure in which the via hole 17 is formed, which serves to prevent reflection of light during exposure of the photoresist and to form the via hole 18. Accordingly, the conductive layer 10 serves as a barrier for preventing damage caused by the subsequent trench etching process of the exposed conductive layer 10 and is thick enough to fill the via hole 17 using an organic layer. To form.

반사방지막(18) 상에 포토레지스트를 소정의 두께로 도포한 다음, ArF 또는 KrF 등의 노광원(도시하지 않음)과 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택적으로 노광하고, 현상 공정을 통해 노광 공정을 통해 노광되거나 혹은 노광되지 않은 부분을 잔류시킨 다음, 후세정 공정 등을 통해 식각 잔류물을 제거함으로써 트렌치 구조를 정의하기 위한 포토레지스트 패턴(19)을 형성한다. 도시된 'T'는 트렌치 형성 영역을 나타낸다. 이 때, 트렌치 형성 영역 내에 비아홀(17) 영역이 오버랩되도록 한다.The photoresist is coated on the antireflection film 18 to a predetermined thickness, and then a predetermined portion of the photoresist is selectively selected using an exposure source (not shown) such as ArF or KrF and a predetermined reticle (not shown). The photoresist pattern 19 for defining the trench structure is formed by exposing and leaving portions exposed or unexposed through the exposure process through the developing process, and then removing the etching residue through the post-cleaning process or the like. . 'T' shown represents the trench formation region. At this time, the via hole 17 region is overlapped in the trench formation region.

한편, 반사방지막(18)의 형성 과정에서 비아홀(17) 영역의 제2절연막(14) 상부의 에지 부분에서 도시된 'X'와 같이 그 증착되는 두께가 얇아지는 두께의 불균일성이 나타나게 된다.Meanwhile, in the process of forming the anti-reflection film 18, a non-uniformity of the thickness of the deposited thickness becomes thinner, such as 'X' shown in the edge portion of the upper portion of the second insulating film 14 in the via hole 17 region.

계속해서 도 1d에 도시된 바와 같이, 포토레지스트 패턴(19)을 식각마스크로 반사방지막(18)과 제2절연막(14)을 선택적으로 식각하여 트렌치(20)를 형성하는 바, 이 때 비아홀(17)에 의해 노출된 전도층(10)은 반사방지막(18)에 의해 보호된다.Subsequently, as shown in FIG. 1D, the trench 20 is formed by selectively etching the anti-reflection film 18 and the second insulating film 14 using the photoresist pattern 19 as an etch mask. The conductive layer 10 exposed by 17 is protected by an antireflection film 18.

한편, 전술한 바와 같은 반사방지막(18)의 불균일한 도포 특성에 의해 트렌치(20) 형성 과정시 절연막 에지 부분에서의 식각 타겟이 감소하면서 식각 프로파일의 변형이 발생하는 것이다. 이는 절연막 및 식각정지막으로 각각 사용되는 산화막과 질화막의 식각선택비가 한계에 달했기 때문에 발생하는 문제점이다.On the other hand, due to the non-uniform coating characteristics of the anti-reflection film 18 as described above, during the formation of the trench 20, the etching target at the edge portion of the insulating film is reduced and deformation of the etching profile occurs. This is a problem that occurs because the etching selectivity of the oxide film and the nitride film used as the insulating film and the etch stop film reaches a limit.

이러한 퍼시팅(Faceting) 현상은 이는 후속 반사방지막(18)과 포토레지스트 스트립 및 세정 공정에서 그 손상 부위를 따라 제1절연막(11) 내부로의 케미컬 등의 침투를 가속화시켜 결국 전도층간의 전기적 단락을 유발시키거나 전기적 특성 열화를 초래하게 되어 반도체소자의 수율과 신뢰성을 떨어뜨리게 된다.
This faceting phenomenon accelerates the penetration of chemicals and the like into the first anti-reflection film 18 and the photoresist strip and cleaning process along the damaged part in the cleaning process, resulting in an electrical short between the conductive layers. Or deterioration of electrical characteristics, resulting in lower yield and reliability of semiconductor devices.

본 발명은 상기한 종래기술의 문제점을 해결하기 위한 것으로서, 비아퍼스트 방식의 듀얼다마신 공정에서의 트렌치 형성시 절연막의 손실에 의한 식각 프로파일을 개선하기에 적합한 듀얼 다마신 공정을 이용한 반도체소자 제조방법을 제공하는데 그 목적이 있다.
The present invention is to solve the above problems of the prior art, a semiconductor device manufacturing method using a dual damascene process suitable for improving the etching profile due to the loss of the insulating film during the trench formation in the via-first dual damascene process The purpose is to provide.

상기의 목적을 달성하기 위한 본 발명은, 전도층 상의 절연막을 선택적으로 식각하여 상기 전도층을 노출시키는 비아홀을 형성하는 단계; 후속 트렌치 식각 공정에서의 상기 비아홀을 통한 상기 전도층의 손상을 방지하기 위해, 상기 비아홀에 매립되며 그 상부가 평탄화된 배리어막을 형성하는 단계; 상기 배리어막을 경화시키는 단계; 상기 배리어막 상에 상기 비아홀과 오버랩되어 듀얼 다마신 구조를 이루는 트렌치 형성을 위한 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 절연막 일부를 식각하여 트렌치를 형성하는 단계; 및 상기 포토레지스트 패턴과 상기 배리어막을 제거하는 단계를 포함하는 듀얼 다마신 공정을 이용한 반도체소자 제조방법을 제공한다.
The present invention for achieving the above object, the step of selectively etching the insulating film on the conductive layer to form a via hole for exposing the conductive layer; Forming a barrier film buried in the via hole and planarized thereon to prevent damage to the conductive layer through the via hole in a subsequent trench etching process; Curing the barrier film; Forming a photoresist pattern on the barrier layer to form trenches overlapping the via holes to form a dual damascene structure; Etching a portion of the insulating layer using the photoresist pattern as an etching mask to form a trench; And it provides a semiconductor device manufacturing method using a dual damascene process comprising the step of removing the photoresist pattern and the barrier film.

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부 도면을 참조하여 설명하는 바, 도 2a 내지 도 2e는 본 발명의 일실시예에 따른 듀얼 다마신 공정을 이용한 반도체소자 제조 공정을 도시한 단면도로서, 이를 참조하여 상세하게 후술한다.Hereinafter, the most preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. 2A to 2E are cross-sectional views illustrating a semiconductor device manufacturing process using a dual damascene process according to an embodiment of the present invention, which will be described later in detail.

도 2a를 참조하면, 플러그 또는 금속배선 등의 전도층(30) 상에 산화막 계열의 제1절연막(31)과 질화막 계열의 식각정지막(32)과 산화막 계열의 제2절연막(33)이 형성되어 있으며, 그 상부에 비아홀을 정의하기 위한 포토레지스트 패턴(34)이 형성되어 있다. 여기서, 도시된 'V'는 비아홀 예정영역을 나타낸다.Referring to FIG. 2A, an oxide-based first insulating layer 31, a nitride-based etching stop layer 32, and an oxide-based second insulating layer 33 are formed on a conductive layer 30 such as a plug or a metal wiring. The photoresist pattern 34 for defining the via hole is formed on the upper portion thereof. Here, 'V' illustrated represents a via hole planned area.

한편, 전술한 제1, 2절연막(31, 33)은 BSG(Boro Silicate Glass)막, BPSG(Boro Phospho Silicate Glass)막, PSG(Phospho Silicate Glass)막, TEOS(Tetra Ethyl Ortho Silicate)막, HDP(High Density Plasma) 산화막 또는 USG(Undoped Silicate Glass)막 등이 다층구조인 것을 포함하며, 도시된 바와 같이 각 절연막의 사이와 전도층(30) 상부에는 식각 공정에 따른 전도층(30) 및 각 절연막의 손상을 방지하고 식각 프로파일을 얻기 위해 식각정지 역할을 하는 질화막 계열의 다수의 식각정지막이 형성되어 있는 바, 도면의 간략화를 위해 생략하였다.Meanwhile, the above-described first and second insulating films 31 and 33 may include a BSG (Boro Silicate Glass) film, a BPSG (Boro Phospho Silicate Glass) film, a PSG (Phospho Silicate Glass) film, a TEOS (Tetra Ethyl Ortho Silicate) film, and an HDP. (High Density Plasma) oxide film or USG (Undoped Silicate Glass) film, etc. may include a multi-layered structure, and as shown, between the insulating layer and the conductive layer 30 above the conductive layer 30 and each of the etching process according to the etching process. In order to prevent damage to the insulating film and to obtain an etching profile, a plurality of nitride stop films of the nitride film series serving as an etch stop are formed, and thus are omitted for simplicity of the drawings.

한편, 식각정지막(32)은 100Å ∼ 1000Å의 두께로 형성하는 것이 바람직하다.On the other hand, the etch stop film 32 is preferably formed to a thickness of 100 kPa to 1000 kPa.

도 2b에 도시된 바와 같이, 포토레지스트 패턴(34)을 식각마스크로 제1, 2절연막(31, 33)과 식각정지막(32)을 선택적으로 식각하여 전도층(30) 표면을 노출시키는 비아홀(35)을 형성한다.As shown in FIG. 2B, via holes exposing the surface of the conductive layer 30 by selectively etching the first and second insulating layers 31 and 33 and the etch stop layer 32 using the photoresist pattern 34 as an etch mask. (35) is formed.

한편, 전도층(30) 상에는 통상적으로 질화막 계열의 식각정지막을 사용한다.On the other hand, on the conductive layer 30, an etching stop film of a nitride film series is usually used.

이어서, 포토레지스트 스트립 공정을 통해 포토레지스트 패턴(34)을 제거하고, 세정 공정을 통해 식각 잔류물을 제거한다. Subsequently, the photoresist pattern 34 is removed through a photoresist strip process, and the etching residue is removed through a cleaning process.                     

이어서 도 2c에 도시된 바와 같이, 비아홀(35)이 형성된 전체 구조 상부에 배리어막(18)을 형성하는 바, 이는 포토레지스트의 노광시 광의 반사를 방지하는 반사방지막의 역할과 비아홀(35) 형성에 따라 노출된 전도층(30)의 후속 트렌치 식각 공정에 따른 손상을 방지하기 위한 배리어로서의 역할을 동시에 하기 위한 것으로, 주로 유기계열의 물질을 사용하여 비아홀(35)을 매립할 수 있을 정도의 두께로 형성한다.Subsequently, as shown in FIG. 2C, the barrier layer 18 is formed on the entire structure in which the via hole 35 is formed, which serves as an anti-reflection film that prevents reflection of light when the photoresist is exposed and the via hole 35 is formed. In order to simultaneously serve as a barrier for preventing damage caused by the subsequent trench etching process of the exposed conductive layer 30, the thickness of the conductive layer 30 is large enough to fill the via hole 35 using an organic material. To form.

이어서, CMP 공정을 통해 배리어막(36) 상부를 평탄화 시킨 다음, 배리어막(36)의 식각내성을 향상시키기 위해 경화시킨다.Subsequently, the upper portion of the barrier layer 36 is planarized through a CMP process and then cured to improve the etching resistance of the barrier layer 36.

구체적으로, 경화시키는 방법으로는 He, Ne, Ar 또는 N2 등의 비활성 가스를 배리어막(36)에 이온주입 방법을 통해 스터핑(Stuffing)하는 방법과 전자빔(Electron beam) 주사를 통한 방법 등이 있으며, 이러한 이온주입 후 유기계열의 반사방지막 또는 포토레지스트 성분인 배리어막(35)이 플로우(Flow)되지 않을 온도 내에서 베이킹하는 바, 100℃ ∼ 150℃ 정도의 온도에서 10초 ∼ 1800초 동안 실시하는 것이 바람직하다.Specifically, the hardening method includes a method of stuffing an inert gas such as He, Ne, Ar, or N 2 into the barrier layer 36 through an ion implantation method, a method through electron beam scanning, or the like. After the ion implantation, the organic anti-reflection film or the photoresist component barrier film 35 is baked at a temperature that will not flow. For 10 seconds to 1800 seconds at a temperature of about 100 ° C. to 150 ° C. It is preferable to carry out.

전술한 경화처리를 통해 배리어막(36)의 막치밀도가 증가하게 되므로 그만큼 식각내성이 향상된다.Since the film density of the barrier layer 36 is increased through the above-described curing treatment, etching resistance is improved accordingly.

다음으로 도 2d에 도시된 바와 같이, 배리어막(36) 상에 포토레지스트를 소정의 두께로 도포한 다음, ArF 또는 KrF 등의 노광원(도시하지 않음)과 소정의 레티클(도시하지 않음)을 이용하여 포토레지스트의 소정 부분을 선택적으로 노광하고, 현상 공정을 통해 노광 공정을 통해 노광되거나 혹은 노광되지 않은 부분을 잔 류시킨 다음, 후세정 공정 등을 통해 식각 잔류물을 제거함으로써 트렌치 구조를 정의하기 위한 포토레지스트 패턴(37)을 형성한다. 도시된 'T'는 트렌지 형성 영역을 나타낸다. 이 때, 트렌치 형성 영역 내에 비아홀(35) 영역이 오버랩되도록 한다.Next, as shown in FIG. 2D, a photoresist is applied to the barrier layer 36 to a predetermined thickness, and then an exposure source (not shown) such as ArF or KrF and a predetermined reticle (not shown) are applied. Define a trench structure by selectively exposing a predetermined portion of the photoresist, remaining exposed or unexposed through a developing process, and then removing etching residues through a post-cleaning process. A photoresist pattern 37 for forming is formed. 'T' shown represents the trench formation region. At this time, the via hole 35 region is overlapped in the trench formation region.

이어서, 포토레지스트 패턴(37)을 식각마스크로 배리어막(36)과 제2절연막(33)을 선택적으로 식각하여 트렌치(38)를 형성하는 바, 이 때 비아홀(35)에 의해 노출된 전도층(30)은 반사방지막(36)에 의해 보호되며, 식각정지막(32)에서 식각정지가 일어난다.Subsequently, the barrier layer 36 and the second insulating layer 33 are selectively etched using the photoresist pattern 37 as an etch mask to form the trench 38. In this case, the conductive layer exposed by the via hole 35 is formed. 30 is protected by the antireflection film 36, and the etch stop occurs in the etch stop film 32.

한편, 전술한 경화를 통해 식각내성이 증가된 배리어막(36)은 그 식각속도가 산화막 계열의 제2절연막(33)에 비해 현저히 낮아지게 되어 비아홀(35)을 매립하는 배리어막(36)의 손실은 거의 발생하지 않는다.On the other hand, the barrier layer 36 whose etch resistance is increased through the above-described curing is significantly lower than that of the oxide-based second insulating layer 33, so that the barrier layer 36 filling the via hole 35 is formed. Loss rarely occurs.

따라서, 트랜치(38) 식각시 식각정지막(32)과 제1절연막(31)의 손실에 따른 식각프로파일의 변형을 방지할 수 있다.Therefore, when the trench 38 is etched, deformation of the etching profile due to the loss of the etch stop layer 32 and the first insulating layer 31 can be prevented.

계속해서, 통상적인 포토레지스트 스트립 공정을 통해 포토레지스트 패턴(37)과 배리어막(30)을 제거하는 바, 이 때, O2 플라즈마를 이용하여 제거하므로 산화막으로 이루어진 제2절연막(33)의 손실을 거의 발생시키지 않고 제거가 가능하다.Subsequently, the photoresist pattern 37 and the barrier layer 30 are removed through a conventional photoresist strip process. At this time, the second insulating layer 33 made of an oxide film is lost because of the removal using the O 2 plasma. It can be removed with little generation.

이어서, 통상적인 세정을 통해 포토레지스트 스트립 공정에서의 식각잔류물을 제거함으로써, 듀얼다마신 구조의 형성 공정이 완료된다.Subsequently, the process of forming the dual damascene structure is completed by removing the etch residue in the photoresist strip process through a conventional cleaning.

도 2e는 비아홀(35) 및 트렌치(38)를 매립하는 전도막 패턴이 형성된 단면을 도시하는 바, 여기서는 배리어금속막(39)과 금속배선(40)이 적층되어 CMP를 통해 제2절연막(33)과 평탄화된 것을 그 일예로 하였다.FIG. 2E illustrates a cross section in which a conductive film pattern for filling the via hole 35 and the trench 38 is formed, in which the barrier metal film 39 and the metal wiring 40 are stacked to form the second insulating film 33 through CMP. ) And flattened as an example.

여기서, 배리어금속막(39)은 TiW, Ti, TiN, WN, TaW 및 TaN으로 이루어진 그룹으로부터 선택된 적어도 하나의 물질을 사용하여 형성하며, 금속배선(40)은 Al, W 또는 Cu 등의 물질을 사용한다.Here, the barrier metal film 39 is formed using at least one material selected from the group consisting of TiW, Ti, TiN, WN, TaW, and TaN, and the metal wire 40 may be formed of a material such as Al, W, or Cu. use.

한편, 전술한 예에서는 전도막 패턴을 배리어금속막(39)과 금속배선(40)이 적층된 것을 그 일예로 하였으나, TiW, Ti, TiN, WN, TaW, Al, W, Cu 및 TaN으로 이루어진 그룹으로부터 선택된 적어도 하나가 하나의 금속배선을 이루는 구조 또한 가능하다.Meanwhile, in the above-described example, the barrier metal film 39 and the metal wiring 40 are stacked as an example, but the conductive film pattern includes TiW, Ti, TiN, WN, TaW, Al, W, Cu, and TaN. It is also possible to have a structure in which at least one selected from the group constitutes one metal wiring.

한편, 전술한 Al, W 또는 Cu 등을 증착시 비전해법(Electroless), 금속유기화학기상증착(Metal Organic Chemical Vapor Deposition; 이하 MOCVD라 함)법, 물리기상증착법(Physical Vapor Deposition; 이하 PVD라 함) 등을 이용한다.
On the other hand, when depositing the above-described Al, W or Cu and the like (Electroless), Metal Organic Chemical Vapor Deposition (hereinafter referred to as MOCVD) method, Physical Vapor Deposition (hereinafter referred to as PVD) ) And the like.

전술한 바와 같이 이루어지는 본 발명은, 비아홀 정의 후 트렌치 식각시 비아홀 내의 식각 손상 보호를 위해 형성하는 유기계열의 반사방지막을 경화시킴으로써, 트렌치 식각시 절연막의 퍼시팅 현상을 방지할 수 있음을 실시예를 통해 알아 보았다.
According to the present invention made as described above, by curing the anti-reflection film of the organic series formed to protect the etch damage in the via hole during the trench etching after the definition of the via hole, it is possible to prevent the putting phenomenon of the insulating film during the trench etching. I found out.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여 야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.
Although the technical spirit of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 바와 같은 본 발명은, 비아퍼스트 방식의 듀얼 다마신 공정에서 트렌치 식각시 퍼시팅 현상을 억제할 수 있어, 궁극적으로 반도체소자의 수율 및 신뢰성을 향상시킬 수 있는 탁월한 효과를 기대할 수 있다.As described above, the present invention can suppress the perching phenomenon during the trench etching in the via-first dual damascene process, and ultimately, an excellent effect of improving the yield and reliability of the semiconductor device can be expected.

Claims (8)

전도층 상의 절연막을 선택적으로 식각하여 상기 전도층을 노출시키는 비아홀을 형성하는 단계;Selectively etching the insulating film on the conductive layer to form a via hole exposing the conductive layer; 후속 트렌치 식각 공정에서의 상기 비아홀을 통한 상기 전도층의 손상을 방지하기 위해, 상기 비아홀에 매립되며 그 상부가 평탄화된 배리어막을 형성하는 단계;Forming a barrier film buried in the via hole and planarized thereon to prevent damage to the conductive layer through the via hole in a subsequent trench etching process; 상기 배리어막을 경화시키는 단계;Curing the barrier film; 상기 배리어막 상에 상기 비아홀과 오버랩되어 듀얼 다마신 구조를 이루는 트렌치 형성을 위한 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern on the barrier layer to form trenches overlapping the via holes to form a dual damascene structure; 상기 포토레지스트 패턴을 식각마스크로 상기 절연막 일부를 식각하여 트렌치를 형성하는 단계; 및Etching a portion of the insulating layer using the photoresist pattern as an etching mask to form a trench; And 상기 포토레지스트 패턴과 상기 배리어막을 제거하는 단계Removing the photoresist pattern and the barrier layer 를 포함하는 듀얼 다마신 공정을 이용한 반도체소자 제조방법.Semiconductor device manufacturing method using a dual damascene process comprising a. 제 1 항에 있어서,The method of claim 1, 상기 배리어막은 유기계열의 반사방지막인 것을 특징으로 하는 듀얼 다마신 공정을 이용한 반도체소자 제조방법.The barrier film is a semiconductor device manufacturing method using a dual damascene process, characterized in that the organic anti-reflection film. 제 1 항에 있어서,The method of claim 1, 상기 배리어막을 경화시키는 단계는,Curing the barrier film, 상기 배리어막에 비활성 가스를 이용한 이온주입 또는 전자빔 조사를 실시하는 단계; 및Performing ion implantation or electron beam irradiation using an inert gas on the barrier film; And 베이킹하는 단계Baking steps 를 포함하는 것을 특징으로 하는 듀얼 다마신 공정을 이용한 반도체소자 제조방법.A semiconductor device manufacturing method using a dual damascene process comprising a. 제 3 항에 있어서,The method of claim 3, wherein 상기 베이킹하는 단계를 100℃ 내지 150℃의 온도 하에서 10초 내지 1800초 동안 실시하는 것을 특징으로 하는 듀얼 다마신 공정을 이용한 반도체소자 제조방법.The baking step is a semiconductor device manufacturing method using a dual damascene process, characterized in that carried out for 10 seconds to 1800 seconds at a temperature of 100 ℃ to 150 ℃. 제 1 항에 있어서,The method of claim 1, 상기 배리어막과 상기 포토레지스트 패턴을 제거시 O2 플라즈마를 이용하는 것을 특징으로 하는 듀얼 다마신 공정을 이용한 반도체소자 제조방법.A method of manufacturing a semiconductor device using a dual damascene process characterized in that an O 2 plasma is used to remove the barrier layer and the photoresist pattern. 제 1 항에 있어서,The method of claim 1, 상기 비아홀 및 상기 트렌치를 매립하는 전도막 패턴을 형성하는 단계를 더 포함하는 것을 특징으로 하는 듀얼 다마신 공정을 이용한 반도체소자 제조방법.A method of manufacturing a semiconductor device using a dual damascene process, further comprising forming a conductive layer pattern filling the via hole and the trench. 제 6 항에 있어서,The method of claim 6, 상기 전도막 패턴은 TiW, Ti, TiN, WN, TaW 및 TaN으로 이루어진 그룹으로부터 선택된 적어도 하나를 사용하는 금속배리어막과 Al, W 또는 Cu 중 어느 하나를 사용하는 금속배선이 적층된 것 임을 특징으로 하는 듀얼 다마신 공정을 이용한 반도체소자 제조방법.The conductive layer pattern is characterized in that the metal barrier layer using any one of Al, W or Cu and a metal barrier layer using at least one selected from the group consisting of TiW, Ti, TiN, WN, TaW and TaN is laminated. Semiconductor device manufacturing method using a dual damascene process. 제 6 항에 있어서,The method of claim 6, 상기 전도막 패턴은 TiW, Ti, TiN, WN, TaW, Al, W, Cu 및 TaN으로 이루어진 그룹으로부터 선택된 적어도 하나를 사용하는 것 임을 특징으로 하는 듀얼 다마신 공정을 이용한 반도체소자 제조방법.The conductive film pattern is a semiconductor device manufacturing method using a dual damascene process, characterized in that using at least one selected from the group consisting of TiW, Ti, TiN, WN, TaW, Al, W, Cu and TaN.
KR1020020037249A 2002-06-29 2002-06-29 Method for fabricating semiconductor device using dual damascene process KR100905996B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020020037249A KR100905996B1 (en) 2002-06-29 2002-06-29 Method for fabricating semiconductor device using dual damascene process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020020037249A KR100905996B1 (en) 2002-06-29 2002-06-29 Method for fabricating semiconductor device using dual damascene process

Publications (2)

Publication Number Publication Date
KR20040001915A KR20040001915A (en) 2004-01-07
KR100905996B1 true KR100905996B1 (en) 2009-07-06

Family

ID=37313647

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020037249A KR100905996B1 (en) 2002-06-29 2002-06-29 Method for fabricating semiconductor device using dual damascene process

Country Status (1)

Country Link
KR (1) KR100905996B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100703561B1 (en) * 2005-12-28 2007-04-03 동부일렉트로닉스 주식회사 Method of manufacturing dual damasine pattern in a semiconductor device
KR100781432B1 (en) 2006-08-30 2007-12-03 동부일렉트로닉스 주식회사 Method for forming metal line of semiconductor device
KR100827498B1 (en) * 2006-11-24 2008-05-06 동부일렉트로닉스 주식회사 Method for manufacturing metal lines by using damascene

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000044892A (en) * 1998-12-30 2000-07-15 김영환 Method for forming metal wiring of semiconductor device
KR20020002891A (en) * 2000-06-30 2002-01-10 박종섭 Method for forming micro-pattern of semiconductor device
KR20030055802A (en) * 2001-12-27 2003-07-04 주식회사 하이닉스반도체 A method for manufacturing of semiconductor device by using dual damascene process
KR20030080317A (en) * 2002-04-08 2003-10-17 동부전자 주식회사 Method for fabricating damascene pattern of smiconductor
KR20030094995A (en) * 2002-06-11 2003-12-18 동부전자 주식회사 Method for manufacturing semiconductor device with dual damascene structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000044892A (en) * 1998-12-30 2000-07-15 김영환 Method for forming metal wiring of semiconductor device
KR20020002891A (en) * 2000-06-30 2002-01-10 박종섭 Method for forming micro-pattern of semiconductor device
KR20030055802A (en) * 2001-12-27 2003-07-04 주식회사 하이닉스반도체 A method for manufacturing of semiconductor device by using dual damascene process
KR20030080317A (en) * 2002-04-08 2003-10-17 동부전자 주식회사 Method for fabricating damascene pattern of smiconductor
KR20030094995A (en) * 2002-06-11 2003-12-18 동부전자 주식회사 Method for manufacturing semiconductor device with dual damascene structure

Also Published As

Publication number Publication date
KR20040001915A (en) 2004-01-07

Similar Documents

Publication Publication Date Title
US7691739B2 (en) Via electromigration improvement by changing the via bottom geometric profile
JP2004179659A (en) Formation of via hole for damascene metal conductor in integrated circuit
US11189562B1 (en) Interconnection structure having increased conductive features and method of manufacturing the same
US20050140012A1 (en) Method for forming copper wiring of semiconductor device
US6372616B1 (en) Method of manufacturing an electrical interconnection of a semiconductor device using an erosion protecting plug in a contact hole of interlayer dielectric layer
US6800522B2 (en) Method for fabricating semiconductor device with storage node contact structure
KR100905996B1 (en) Method for fabricating semiconductor device using dual damascene process
JP5178025B2 (en) Manufacturing method of semiconductor memory device
KR100703559B1 (en) The semiconductor device having dual damascene structure and the manufacturing method thereof
KR101153225B1 (en) Method for forming a metal line in semiconductor device
US9564355B2 (en) Interconnect structure for semiconductor devices
KR100571407B1 (en) Wiring manufacturing method of semiconductor element
KR100471409B1 (en) Method for fabrication of semiconductor device
KR100941629B1 (en) Method for fabricating semiconductor device using dual damascene process
KR101113768B1 (en) Method for manufacturing semiconductor device using dual damascene process
KR20030094453A (en) Method for fabricating semiconductor device using dual damascene process
US7300872B2 (en) Method for manufacturing semiconductor device using dual-damascene pattern
KR100431742B1 (en) Method for fabricating copper interconnect in semiconductor device
KR101005738B1 (en) Method for forming a dual damascene pattern in semiconductor device
KR20050052178A (en) Method for fabricating semiconductor device using dual damascene process
KR100226786B1 (en) Method for forming metal interconnection layer of semiconductor device
KR20040001936A (en) Method for fabricating semiconductor device using dual damascene process
KR930011503B1 (en) Metal wire forming method of semiconductor
KR100699593B1 (en) Method of forming dual damascene pattern in semiconductor device
KR100703560B1 (en) Method of manufacturing a metal wiring in a semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee