KR101005738B1 - Method for forming a dual damascene pattern in semiconductor device - Google Patents

Method for forming a dual damascene pattern in semiconductor device Download PDF

Info

Publication number
KR101005738B1
KR101005738B1 KR1020030047116A KR20030047116A KR101005738B1 KR 101005738 B1 KR101005738 B1 KR 101005738B1 KR 1020030047116 A KR1020030047116 A KR 1020030047116A KR 20030047116 A KR20030047116 A KR 20030047116A KR 101005738 B1 KR101005738 B1 KR 101005738B1
Authority
KR
South Korea
Prior art keywords
via hole
forming
interlayer insulating
film
layer
Prior art date
Application number
KR1020030047116A
Other languages
Korean (ko)
Other versions
KR20050007638A (en
Inventor
류상욱
Original Assignee
매그나칩 반도체 유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매그나칩 반도체 유한회사 filed Critical 매그나칩 반도체 유한회사
Priority to KR1020030047116A priority Critical patent/KR101005738B1/en
Publication of KR20050007638A publication Critical patent/KR20050007638A/en
Application granted granted Critical
Publication of KR101005738B1 publication Critical patent/KR101005738B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Abstract

본 발명은 반도체 소자의 듀얼 다마신 패턴 형성방법에 관한 것으로, 비아홀(via hole)이 형성된 후 전체 구조 상부면을 따라 라이너 산화막(liner oxide)을 증착하여 질화물질로 이루어진 식각정지막 또는 확산방지막 등이 후속 공정을 통해 형성되는 포토레지스트 패턴(photoresist pattern)과 직접 접촉되는 것을 방지함으로써 비아홀 포이즈닝(poisoning) 현상과 고립된 비아홀에서의 식각 정지현상 등을 방지할 수 있는 반도체 소자의 듀얼 다마신 패턴 형성방법이 개시된다.
The present invention relates to a method for forming a dual damascene pattern of a semiconductor device, and includes a etch stop layer or a diffusion barrier layer formed of a nitride material by depositing a liner oxide along a top surface of a structure after via holes are formed. Dual damascene pattern of a semiconductor device capable of preventing via hole poisoning and etch stop in an isolated via hole by preventing direct contact with a photoresist pattern formed through this subsequent process. A formation method is disclosed.

비아홀 포이즈닝 현상, 라이너 산화막 Via hole poisoning phenomenon, liner oxide

Description

반도체 소자의 듀얼 다마신 패턴 형성방법{Method for forming a dual damascene pattern in semiconductor device} Method for forming a dual damascene pattern in semiconductor device             

도 1 내지 도 7은 본 발명의 바람직한 실시예에 따른 반도체 소자의 듀얼 다마신 패턴 형성방법을 설명하기 위하여 도시한 단면도들이다. 1 to 7 are cross-sectional views illustrating a method of forming a dual damascene pattern of a semiconductor device according to an exemplary embodiment of the present invention.

도 8은 종래기술에 따른 듀얼 다마신 패턴 형성공정에 의해 비아홀 포이즈닝(poisoning) 현상이 발생된 SEM 사진이다.
FIG. 8 is a SEM photograph in which via hole poisoning occurs by a dual damascene pattern formation process according to the related art.

〈도면의 주요 부분에 대한 부호의 설명〉Description of the Related Art

10 : 반도체 기판 12 : 반도체 구조물층10 semiconductor substrate 12 semiconductor structure layer

14 : 제1 층간절연막 16 : 하부 금속배선14: first interlayer insulating film 16: lower metal wiring

18 : 확산방지막 20 : 제2 층간절연막18 diffusion barrier film 20 second interlayer insulating film

22 : 식각정지막 24 : 제3 층간절연막22: etch stop film 24: third interlayer insulating film

26 : 캡핑층 28 : 제1 반사방지막26 capping layer 28 first antireflection film

30 : 비아홀 식각 마스크 32 : 비아홀30: via hole etching mask 32: via hole

34 : 라이너 산화막 36 : 제2 반사방지막34 liner oxide film 36 second antireflection film

38 : 트렌치 식각 마스크 40 : 트렌치
38: trench etching mask 40: trench

본 발명은 반도체 소자의 듀얼 다마신 패턴 형성방법에 관한 것으로, 특히 듀얼 다마신 공정에서 발생되는 비아홀 포이즈닝(poisoning) 현상과 고립된 비아홀에서의 식각정지(etch stop) 현상 등을 방지할 수 있는 반도체 소자의 듀얼 다마신 패턴 형성방법에 관한 것이다. The present invention relates to a method for forming a dual damascene pattern of a semiconductor device. In particular, a via hole poisoning phenomenon and an etch stop phenomenon in an isolated via hole may be prevented. A method for forming a dual damascene pattern of a semiconductor device.

반도체 소자 또는 전자 소자 등에 있어서는, 금속배선 형성기술로서 절연막 상에 알루미늄(Al) 또는 텅스텐(W) 등과 같은 도전체막이 증착된 후, 상기 도전체막이 통상의 포토리소그래피(photolithography) 공정 및 건식식각(dry etching) 공정을 통해 패터닝됨으로써 금속배선이 형성되는 기술이 확립되어 이 분야에서 널리 이용되고 있다. 특히, 최근에는 반도체 소자 중에서 고집적화와 고성능화가 요구되는 로직(logic) 소자를 중심으로 해서 RC 지연을 줄이기 위한 일환으로 알루미늄 또는 텅스텐 대신에 구리(Cu)와 같이 비저항이 낮은 금속을 배선으로 이용하는 방법이 연구되고 있다. 상기 RC에서, 'R'은 배선 저항을 나타내고, 'C'는 절연막의 유전율을 나타낸다. In a semiconductor device or an electronic device, a conductor film such as aluminum (Al) or tungsten (W) is deposited on an insulating film as a metal wiring forming technique, and then the conductor film is subjected to a conventional photolithography process and dry etching ( The technique of forming metal wiring by patterning through dry etching process has been established and widely used in this field. In particular, recently, a method of using a low-resistance metal such as copper (Cu) instead of aluminum or tungsten as wiring to reduce the RC delay centering on logic devices requiring high integration and high performance among semiconductor devices has recently been used. Is being studied. In RC, 'R' represents wiring resistance, and 'C' represents dielectric constant of the insulating film.

구리를 이용한 금속배선 형성공정은 알루미늄 또는 텅스텐을 이용한 금속배선 형성공정에 비해 패터닝 공정이 어렵다. 이에 따라, 먼저 트렌치(trench)를 형성한 후 상기 트렌치가 매립되도록 금속배선을 형성하는 소위 '다마신(damascene)' 공정이 사용되고 있다. 일반적으로 사용되는 다마신 공정으로는 싱글 다마신 공정(single damascene)과 듀얼 다마신 공정(Dual damascene)이 있다. 싱글 다마신 공정은 비아홀(via hole)을 형성한 후 도전재료로 상기 비아홀을 매립하고 그 상부에 배선용 트렌치를 형성한 후 다시 배선재료로 상기 트렌치를 매립하여 금속배선을 형성하는 방법이다. 듀얼 다마신 공정은 비아홀과 배선용 트렌치를 형성한 후 배선재료를 연속적으로 비아홀과 배선용 트렌치를 매립하여 금속배선을 형성하는 방법이다. 이 외에도 다양한 방법들이 제시되고 있다. The metallization process using copper is more difficult to pattern than the metallization process using aluminum or tungsten. Accordingly, a so-called 'damascene' process is used in which a trench is first formed and a metal wiring is formed to fill the trench. Commonly used damascene processes include a single damascene process and a dual damascene process. The single damascene process is a method of forming a via hole and then filling the via hole with a conductive material, forming a wiring trench on the upper portion thereof, and then filling the trench with a wiring material to form a metal wiring. The dual damascene process is a method of forming a metallization by forming a via hole and a wiring trench, and subsequently filling the wiring material with the via hole and the wiring trench. In addition, various methods are suggested.

그러나, 이러한 다양한 다마신 패턴 형성공정시에는 노광장비가 가지고 있는 적층능력의 한계로 인하여 비아홀과 트렌치 간의 적층오류(misalignment)가 발생된다. 이로 인하여, 구리 금속배선 매립을 위한 층간절연막 식각공정은 많은 제약을 받게 된다. 더욱이 층간절연막 식각공정시 도 8에 도시된 바와 같이 비아홀 포이즈닝(poisoning) 현상이 발생된다. 또한, 고립된 비아홀(isolated via hole)(즉, 비아홀이 조밀하게 형성된 지역과 떨어져 고립되게 형성된 비아홀)에서는 식각정지(etch stop) 현상이 발생된다.However, in such various damascene pattern formation processes, misalignment between the via hole and the trench occurs due to the limitation of the stacking capability of the exposure apparatus. As a result, the interlayer insulating film etching process for embedding the copper metal wiring is subject to many limitations. In addition, a via hole poisoning phenomenon occurs as shown in FIG. 8 during the interlayer insulating layer etching process. In addition, an etch stop phenomenon occurs in an isolated via hole (that is, a via hole formed to be separated from an area where the via hole is densely formed).

비아홀 포이즈닝 현상은 선(先)비아 방식으로 듀얼 다마신 공정, 즉 건식식각공정을 통해 비아홀을 우선적으로 형성하고, 트렌치를 형성하기 위한 트렌치 형성용 식각 마스크를 패터닝한 후 발생된다. 그 원인은 비아홀 건식식각공정과 포토레지스트 패턴 제거공정 등에서 사용된 N2 가스와 NH3 가스와, 막(film) 증착시의 질소 성분이 포함된 가스, 즉 NH3 가스 등이 사용됨에 따라 발생된다라고 보고 되고 있다. 일례로, 상기 비아홀 포이즈닝 현상은 트렌치 형성용 식각 마스크를 형성하는 공정에서 발생된다. 일반적으로, 포토레지스트(photoresist)를 도포하고 포토 마스크(photo mask)를 이용한 노광공정후 실시되는 현상공정에서 알칼리성인 현상액에 의해 노광된 부위에서 발생된 산성의 H+가 중성(H2O)으로 변화되면서 용해되어야 한다. 그러나, 비아홀내에 잔류되어 있는 NH+, NH2 +, NH3 + 등에 의해 H+가 비아홀 상부까지 용해되지 않고 비아홀 내에 잔류됨에 따라 버섯모양의 포이즈닝 현상이 발생된다. The via hole poisoning phenomenon occurs after the via-via is first formed through a dual damascene process, that is, a dry etching process in a pre-via manner, and a pattern of an etching mask for forming a trench for forming a trench is patterned. The cause is caused by the use of N 2 gas and NH 3 gas used in the via hole dry etching process and the photoresist pattern removal process, and the gas containing the nitrogen component during film deposition, that is, the NH 3 gas. It is reported. For example, the via hole poisoning may occur in a process of forming an etching mask for forming a trench. Generally, the acidic H + generated at a site exposed by an alkaline developer in a developing process performed by applying a photoresist and using a photo mask is neutral (H 2 O). Should change and dissolve. However, as the H + remains in the via hole without dissolving to the upper part of the via hole by NH + , NH 2 + , NH 3 + and the like remaining in the via hole, a mushroom-like poisoning phenomenon occurs.

고립된 비아홀의 식각정지 현상은 유전상수가 2.0 내지 2.8 대역의 OSG(Organo Silica Glass)막 등을 이용하여 층간절연막을 형성할 경우, 막 내의 카본(carbon) 성분에 의하여 발생된다. 이는, 비아홀 형성공정시 고립된 비아홀 주위의 포토레지스트 패턴의 면적에 비해 주변(즉, 비아홀이 형성되지 않는 지역)의 포토레지스트 패턴의 면적이 넓기 때문이다. 또한, 하부 금속배선과 상부 금속배선 간의 층간 정전용량(interlayer capacitance)을 낮추기 위해 유전상수가 낮은 SiC 등을 식각 정지막 또는 구리 확산방지막 등으로 이용되는데, 이러한 막에 대한 선택비를 높이는 방법으로 CxHyFz(1≤x≤5, 1≤y≤8, 1≤z≤3 임) 가스를 주(main) 식각가스로 이용한 건식식각공정이 이용되는 경우 C/F 비율을 높일 수 밖에 없다. 이에 따라, 고립된 비아홀에서 식각이 안정적으로 이루어지지 않고 공정 중에 식각이 정지되는 식각정지 현상이 발생된다.The etch stop phenomenon of the isolated via hole is generated by a carbon component in the interlayer insulating film when the dielectric constant is formed using an OSG (Organo Silica Glass) film having a dielectric constant of 2.0 to 2.8. This is because the area of the photoresist pattern around (ie, the area where the via hole is not formed) is larger than the area of the photoresist pattern around the isolated via hole in the via hole forming process. In addition, in order to lower the interlayer capacitance between the lower metal wiring and the upper metal wiring, SiC having a low dielectric constant is used as an etch stop film or a copper diffusion preventing film. x H y F z (1≤x≤5, 1≤y≤8, 1≤z≤3) If the dry etching process using gas as the main etching gas is used, the C / F ratio can only be increased. none. As a result, an etching stop phenomenon occurs in which the etching is not performed stably in the isolated via hole, but the etching is stopped during the process.

따라서, 본 발명의 바람직한 실시예는 듀얼 다마신 공정에서 발생되는 비아홀 포이즈닝(poisoning) 현상과 고립된 비아홀에서의 식각정지(etch stop) 현상 등을 방지할 수 있는 반도체 소자의 듀얼 다마신 패턴 형성방법을 제공하는데 그 목적이 있다. Accordingly, a preferred embodiment of the present invention is to form a dual damascene pattern of a semiconductor device capable of preventing via hole poisoning and etch stop in an isolated via hole. The purpose is to provide a method.

상기 목적을 달성하기 위한 본 발명에 따른 반도체 소자의 듀얼 다마신 패턴 형성방법은, 하부 금속배선이 형성된 반도체 기판이 제공되는 단계와, 전체 구조 상부에 확산방지막, 제1 및 제2 층간절연막이 형성되는 단계와, 비아홀 식각 마스크를 이용한 식각공정을 통해 상기 제1 및 제2 층간절연막이 패터닝되어 비아홀이 형성되는 단계와, 상기 비아홀의 내부면을 따라 라이너 산화막이 형성되는 단계와, 상기 비아홀이 매립되도록 반사방지막이 증착되는 단계와, 트렌치 식각 마스크를 이용한 식각공정을 통해 트렌치가 형성되는 단계와, 불소계 용액을 이용한 세정공정을 실시하여 상기 라이너 산화막을 제거하여 상기 확산방지막이 노출되도록 하는 단계와, 상기 확산방지막의 노출된 부분을 제거하여 상기 하부 금속배선의 일부를 노출시키는 단계를 포함하는 것을 특징으로 한다.In accordance with an aspect of the present invention, there is provided a method of forming a dual damascene pattern of a semiconductor device, the method including: providing a semiconductor substrate on which a lower metal wiring is formed; And forming a via hole by patterning the first and second interlayer insulating layers through an etching process using a via hole etching mask, forming a liner oxide film along an inner surface of the via hole, and filling the via hole. Removing the liner oxide layer by exposing the anti-reflective layer so that the anti-reflective layer is deposited, forming the trench through an etching process using a trench etching mask, and cleaning process using a fluorine-based solution to expose the diffusion barrier layer; Removing the exposed portion of the diffusion barrier to expose a portion of the lower metal wiring It characterized in that it comprises.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 설명하기로 한다. 그러나, 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도 록하며 통상의 지식을 가진자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다.Hereinafter, with reference to the accompanying drawings will be described a preferred embodiment of the present invention. However, the present invention is not limited to the embodiments disclosed below, but may be embodied in various different forms, and only the embodiments are intended to complete the present disclosure and to those skilled in the art. It is provided to inform you completely.

도 1 내지 도 7은 본 발명의 바람직한 실시예에 따른 반도체 소자의 듀얼 다마신 패턴 형성방법을 설명하기 위하여 도시한 단면도들이다. 여기서, 도 1 내지 도 7에 도시된 참조부호들 중 서로 동일한 참조부호는 동일한 기능을 하는 동일한 구성요소이다. 1 to 7 are cross-sectional views illustrating a method of forming a dual damascene pattern of a semiconductor device according to an exemplary embodiment of the present invention. Here, the same reference numerals among the reference numerals shown in FIGS. 1 to 7 are the same components having the same function.

도 1을 참조하면, 소정의 반도체 구조물층(12)이 형성된 반도체 기판(10)이 제공된다. 여기서, 상기 반도체 구조물층(12)은 트랜지스터, 메모리 셀, 캐패시터, 접합층 및 도전층 등이 포함될 수 있다. 이후, 상기 반도체 구조물층(12) 상에는 저유전 물질로, 예컨대, PETEOS(Plasma Enhanced Tetra Ethyle Ortho Silicate), USG(Un-doped Silicate Glass), FSG(Fluorinated Silicate Glass), 실리콘 산화물, 불소 함유 실리콘 산화물 또는 불소 함유 산화물 등을 이용하여 절연막(이하, '제1 층간절연막'이라 함)(14)이 증착된다. 일반적으로, 불소 함유 실리콘 산화물은 실리콘 산화물보다 낮은 유전율을 가지며, 이러한 유전율은 불소 함유량을 조절하여 그 제어가 가능하다. 상기 제1 층간절연막(14)이 형성된 후, 리소그래피 공정을 실시하여 상기 제1 층간절연막(14)에 콘택홀(미도시)이 형성되고, 상기 콘택홀이 매립되도록 하부 금속배선(16)이 순차적으로 형성된다. 이때, 하부 금속배선(16)은 Cu, W, Al, Pt, Pd, Ru, St, Rh 및 Co 중 어느 하나의 금속물질로 형성될 수 있다. 소자의 특성을 고려하여 Cu로 형성되는 것이 바람직하다. 한편, 하부 금속배선(16)이 증착되기전 상기 콘택홀의 내부면에는 베리어막이 형성될 수 있으며, 이때, 상 기 베리어막은 Ta, TaN, TaAlN, TaSiN, TaSi2, Ti, TiN, TiSiN, WN, Co 및 CoSi2 중 어느 하나 또는 이 들이 적어도 2층으로 적층된 구조로 형성될 수 있다. Referring to FIG. 1, a semiconductor substrate 10 having a predetermined semiconductor structure layer 12 formed thereon is provided. The semiconductor structure layer 12 may include a transistor, a memory cell, a capacitor, a junction layer, a conductive layer, and the like. Subsequently, on the semiconductor structure layer 12, as a low dielectric material, for example, PLAEOS (Plasma Enhanced Tetra Ethyle Ortho Silicate), USG (Un-doped Silicate Glass), FSG (Fluorinated Silicate Glass), silicon oxide, fluorine-containing silicon oxide Alternatively, an insulating film (hereinafter referred to as 'first interlayer insulating film') 14 is deposited using fluorine-containing oxide or the like. In general, fluorine-containing silicon oxide has a lower dielectric constant than silicon oxide, and the dielectric constant can be controlled by adjusting the fluorine content. After the first interlayer insulating film 14 is formed, a lithography process is performed to form contact holes (not shown) in the first interlayer insulating film 14, and the lower metal wiring 16 is sequentially formed to fill the contact holes. Is formed. In this case, the lower metal wire 16 may be formed of any one metal material among Cu, W, Al, Pt, Pd, Ru, St, Rh, and Co. It is preferable to form Cu in consideration of the characteristics of the device. Meanwhile, a barrier film may be formed on the inner surface of the contact hole before the lower metal wiring 16 is deposited. In this case, the barrier film may include Ta, TaN, TaAlN, TaSiN, TaSi 2 , Ti, TiN, TiSiN, WN, Any one of Co and CoSi 2 or these may be formed in a stacked structure of at least two layers.

상기 하부 금속배선(16)이 형성된 후, 전체 구조 상부에는 확산방지막(18), 제2 층간절연막(20), 제3 층간절연막(24), 캡핑층(capping layer; 26) 및 제1 반사방지막(28)이 형성된다. 확산방지막(18)은 SiN, SiC, SiON 등으로 형성될 수 있으나, OSG막으로 형성되는 제2 층간절연막(20) 간의 식각 선택비를 고려하여 SiN 또는 SiON 으로 형성되는 것이 바람직하며, 100Å 내지 1000Å의 두께로 형성된다. 제2 층간절연막(20)은 OSG막으로 형성되고, 1000Å 내지 7000Å의 두께로 형성된다. 제3 층간절연막(24)은 제2 층간절연막(20)과 동일하게 OSG막으로 형성되고, 1000Å 내지 7000Å의 두께로 형성된다. 캡핑층(26)은 SiON 또는 SiN 등으로 형성될 수 있다. 제1 반사방지막(28)은 유기물(organic) 또는 무기물(inorganic)로 형성될 수 있다. 이어서, 전체 구조 상부에는 포토레지스트(미도시)가 도포된 후 포토 마스크를 이용한 노광공정 및 현상공정을 순차적으로 실시하여 포토레지스트 패턴(이하, '비아홀 식각 마스크'라 함)(30)이 형성된다. 이때, 제2 및 제3 층간절연막(20 및 24) 간에는 식각정지막(22)이 형성될 수 있다. 이 경우, 상기 식각정지막(22)은 SiN, SiC, SiON 등으로 형성될 수 있으나, OSG막으로 형성되는 제2 및 제3 층간절연막(20 및 24) 간의 식각 선택비를 고려하여 SiN 또는 SiON 으로 형성되는 것이 바람직하며, 100Å 내지 1500Å으로 형성된다. After the lower metal wiring 16 is formed, a diffusion barrier 18, a second interlayer dielectric 20, a third interlayer dielectric 24, a capping layer 26, and a first antireflection layer are formed over the entire structure. 28 is formed. The diffusion barrier 18 may be formed of SiN, SiC, SiON, or the like, but is preferably formed of SiN or SiON in consideration of an etching selectivity between the second interlayer insulating films 20 formed of an OSG film. It is formed to a thickness of. The second interlayer insulating film 20 is formed of an OSG film, and has a thickness of 1000 kPa to 7000 kPa. The third interlayer insulating film 24 is formed of an OSG film in the same manner as the second interlayer insulating film 20, and is formed to have a thickness of 1000 GPa to 7000 GPa. The capping layer 26 may be formed of SiON, SiN, or the like. The first anti-reflection film 28 may be formed of an organic material or an inorganic material. Subsequently, after the photoresist (not shown) is applied to the entire structure, a photoresist pattern (hereinafter referred to as a “via hole etching mask”) 30 is formed by sequentially performing an exposure process and a development process using a photo mask. . In this case, an etch stop layer 22 may be formed between the second and third interlayer insulating layers 20 and 24. In this case, the etch stop layer 22 may be formed of SiN, SiC, SiON, etc., but SiN or SiON in consideration of the etching selectivity between the second and third interlayer dielectric layers 20 and 24 formed of OSG films. It is preferably formed of, and is formed from 100 kV to 1500 kV.

도 2를 참조하면, 비아홀 식각 마스크(30)를 이용한 식각공정을 실시하여 노출되는 제1 반사방지막(28)이 패터닝된다. 이때, 상기 식각공정은 건식식각공정으로 실시되고, O2, N2 및 CxFyHz (1≤x≤5, 1≤y≤8, 1≤z≤3 임) 중 적어도 어느 하나의 주 식각가스와, Ar, He 등의 첨가가스를 이용하여 실시된다. 이로써, 패터닝되는 제1 반사방지막(28)을 통해 캡핑층(26)의 일부가 노출된다. Referring to FIG. 2, the first anti-reflection film 28 exposed through the etching process using the via hole etching mask 30 is patterned. In this case, the etching process is performed by a dry etching process, at least one of O 2 , N 2 and C x F y H z (1≤x≤5, 1≤y≤8, 1≤z≤3). It is performed using main etching gas and additive gases, such as Ar and He. As a result, a part of the capping layer 26 is exposed through the patterned first anti-reflection film 28.

도 3을 참조하면, 도 2에서 실시된 제1 반사방지막(28) 패터닝공정과 인시튜(in-situ)로 상기 비아홀 식각 마스크(30)를 이용한 식각공정을 실시하여 비아홀(32)이 형성된다. 이때, 상기 식각공정은 건식식각방식으로 실시되고, CxFyHz (1≤x≤5, 1≤y≤8, 1≤z≤3 임)을 이용한 식각가스와 O2, N2, Ar, He 가스 등이 이용된다. 이후, 스트립 공정(strip)을 통해 상기 비아홀 식각 마스크(30)는 제거된다. 그런 다음, 전체 구조 상부면의 단차를 따라 라이너 산화막(liner oxide; 34)이 증착된다. 이때, 라이너 산화막(34)은 TEOS(Tetra Ethyle Ortho Silicate), LTO(Low Temperature Oxide) 등으로 형성될 수 있으며, 30Å 내지 1500Å의 두께로 형성된다. 여기서, 라이너 산화막(34)은 후속 트렌치 식각 마스크(38; 도 4참조)용 포토레지스트 패턴과, SiN막으로 형성되는 캡핑층(26), 식각정지막(22) 또는 확산방지막(18)이 서로 직접 접촉되는 것을 방지하는 기능을 한다. 또한, 상기 라이너 산화막(34)은 제2 및 제3 층간절연막(20 및 24)이 외부로 노출되어 산화되는 것을 방지하는 기능을 한다. Referring to FIG. 3, a via hole 32 is formed by performing a patterning process of the first anti-reflection film 28 and an in-situ etching process using the via hole etching mask 30 in FIG. 2. . In this case, the etching process is carried out by a dry etching method, the etching gas using C x F y H z (1≤x≤5, 1≤y≤8, 1≤z≤3) and O 2 , N 2 , Ar, He gas, etc. are used. Thereafter, the via hole etching mask 30 is removed through a strip process. A liner oxide 34 is then deposited along the steps of the top surface of the entire structure. In this case, the liner oxide layer 34 may be formed of TEOS (Tetra Ethyle Ortho Silicate), LTO (Low Temperature Oxide), or the like, and has a thickness of 30 kV to 1500 kPa. Here, the liner oxide layer 34 may include a photoresist pattern for the subsequent trench etching mask 38 (see FIG. 4), and a capping layer 26, an etch stop layer 22, or a diffusion barrier layer 18 formed of a SiN layer. Prevents direct contact. In addition, the liner oxide layer 34 functions to prevent the second and third interlayer dielectric layers 20 and 24 from being exposed to the outside and oxidized.

도 4를 참조하면, 도 3에서 비아홀(32)이 형성된 후, 상기 비아홀(32)이 매립되도록 제2 반사방지막(36)이 증착된다. 이때, 제2 반사방지막(36)은 유기물 또 는 무기물로 증착될 수 있다. 이어서, 전체 구조 상부에는 포토레지스트(미도시)가 도포된 후 포토 마스크를 이용한 노광공정 및 현상공정을 순차적으로 실시하여 포토레지스트 패턴(이하, '트렌치 식각 마스크'라 함)(38)이 형성된다. Referring to FIG. 4, after the via hole 32 is formed in FIG. 3, a second anti-reflection film 36 is deposited to fill the via hole 32. In this case, the second anti-reflection film 36 may be deposited with an organic material or an inorganic material. Subsequently, after the photoresist (not shown) is applied to the entire structure, a photoresist pattern (hereinafter referred to as a trench etch mask) 38 is formed by sequentially performing an exposure process and a development process using a photomask. .

도 5를 참조하면, 도 4에서 형성된 트렌치 식각 마스크(38)를 이용한 식각공정을 실시하여 트렌치(40)가 형성된다. 이때, 상기 식각공정시 식각정지막(22)은 베리어(barrier)로 이용된다. 상기 식각공정은 라이너 산화막(34)과 제3 층간절연막(24) 간의 식각률이 동일하게 되도록 실시되는 것이 바람직하다. 이를 위하여, 상기 식각공정은 CxFyHz (1≤x≤5, 1≤y≤8, 1≤z≤3 임) 가스를 주 식각가스로 하는 경우 C/F 비율을 변화(즉, x,y 비율조정 또는 첨가가스인 O2, N2의 첨가량을 조절)시켜 쉽게 제어가 가능하다. 이후, 스트립 공정을 통해 상기 트렌치 식각 마스크(38)는 제거된다. 상기 스트립 공정후 전체 구조 상부에 대하여 세정공정이 실시될 수 있다. Referring to FIG. 5, the trench 40 is formed by performing an etching process using the trench etching mask 38 formed in FIG. 4. In this case, the etch stop layer 22 is used as a barrier during the etching process. The etching process may be performed such that the etching rate between the liner oxide layer 34 and the third interlayer insulating layer 24 is the same. To this end, the etching process is to change the C / F ratio when the C x F y H z (1≤x≤5, 1≤y≤8, 1≤z≤3) gas as the main etching gas (that is, It can be easily controlled by adjusting the x, y ratio or adjusting the amount of added gas O 2 , N 2 ). Thereafter, the trench etch mask 38 is removed through a strip process. After the strip process, the cleaning process may be performed on the entire structure.

도 6을 참조하면, 도 5에서 잔류되는 라이너 산화막(34)이 제거된다. 이때, 상기 라이너 산화막(34)은 HF 또는 BOE(Buffered Oxide Etchant) 등의 불소계 용액을 이용한 세정공정을 통해 제거된다. 여기서, 라이너 산화막(34) 만이 제거되는 이유는 제2 및 제3 층간절연막(20 및 24)이 OSG막으로 형성되기 때문이다. 즉, OSG막은 HF 또는 BOE 등의 불소계 용액에 대한 선택비가 높다. 이에 따라, 불소계 용액을 이용하여 세정공정을 실시하면 라이너 산화막(34)만 선택적으로 제거하는 것이 가능하다. 이로써, 비아홀(32) 및 트렌치(40)를 통해 확산방지막(18)이 노출된 다. Referring to FIG. 6, the liner oxide layer 34 remaining in FIG. 5 is removed. In this case, the liner oxide layer 34 is removed through a cleaning process using a fluorine-based solution such as HF or BOE (Buffered Oxide Etchant). The reason why only the liner oxide film 34 is removed is that the second and third interlayer insulating films 20 and 24 are formed of OSG films. That is, the OSG film has a high selectivity to fluorine-based solutions such as HF or BOE. Accordingly, when the cleaning process is performed using the fluorine-based solution, it is possible to selectively remove only the liner oxide film 34. As a result, the diffusion barrier 18 is exposed through the via hole 32 and the trench 40.

도 7을 참조하면, 식각공정을 건식식각방식으로 실시하여 도 6에서 노출되는 확산방지막(18)이 제거된다. 이로써, 비아홀(32) 및 트렌치(40)를 통해 하부 금속배선(16)이 노출된다. 이후, 일반적인 공정을 통해 비아홀(32) 및 트렌치(40) 내에는 상부 금속배선(미도시)이 형성된다. Referring to FIG. 7, the diffusion barrier layer 18 exposed in FIG. 6 is removed by performing an etching process using a dry etching method. As a result, the lower metal wiring 16 is exposed through the via hole 32 and the trench 40. Thereafter, an upper metal wiring (not shown) is formed in the via hole 32 and the trench 40 through a general process.

상기에서 설명한 본 발명의 기술적 사상은 바람직한 실시예에서 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 특히, 본 발명의 바람직한 실시예에서는 듀얼 다마신 패턴 형성방법에서 선(先)비아 방식이 적용되고 있으나, 이는 일례로서 후(後)비아 방식에도 적용가능하다. 또한, 본 발명은 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술적 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.
Although the technical spirit of the present invention described above has been described in detail in a preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In particular, in the preferred embodiment of the present invention, the pre-via method is applied in the dual damascene pattern forming method, but this is applicable to the post-via method as an example. In addition, the present invention will be understood by those skilled in the art that various embodiments are possible within the scope of the technical idea of the present invention.

상술한 바와 같이, 본 발명에 의하면, 비아홀이 형성된 후 전체 구조 상부면을 따라 라이너 산화막을 증착하여 질화물질로 이루어진 식각정지막 또는 확산방지막 등이 후속 공정을 통해 형성되는 포토레지스트 패턴과 직접 접촉되는 것을 방지함으로써 비아홀 포이즈닝(poisoning) 현상과 고립된 비아홀에서의 식각 정지현상 등을 방지할 수 있다. As described above, according to the present invention, after the via hole is formed, a liner oxide film is deposited along the upper surface of the entire structure so that an etch stop film or a diffusion barrier film made of a nitride material is in direct contact with the photoresist pattern formed through a subsequent process. This prevents via hole poisoning and etch stops in isolated via holes.

Claims (7)

하부 금속배선이 형성된 반도체 기판을 제공하는 단계;Providing a semiconductor substrate having a lower metal wiring formed thereon; 전체 구조 상부에 확산방지막, 제1 층간절연막 및 제2 층간절연막을 순차적으로 적층하는 단계; Sequentially stacking a diffusion barrier, a first interlayer dielectric, and a second interlayer dielectric on the entire structure; 비아홀 식각 마스크를 이용한 식각공정을 통해 상기 제2 층간절연막 및 제1 층간절연막을 선택적으로 패터닝하여 비아홀을 형성하는 단계;Forming via holes by selectively patterning the second interlayer insulating layer and the first interlayer insulating layer through an etching process using a via hole etching mask; 상기 제2 층간절연막 상부를 포함한 비아홀의 내부면을 따라 라이너 산화막을 형성하는 단계; Forming a liner oxide film along an inner surface of the via hole including an upper portion of the second interlayer insulating film; 상기 라이너 산화막 상에 상기 비아홀이 매립되도록 반사방지막을 증착하는 단계;Depositing an anti-reflection film so as to fill the via hole on the liner oxide film; 트렌치 식각 마스크를 이용한 식각공정을 통해 상기 반사방지막, 라이너 산화막, 제2 층간절연막 및 제1 층간절연막을 선택적으로 제거하여 트렌치를 형성하는 단계;Forming a trench by selectively removing the anti-reflection film, the liner oxide film, the second interlayer insulating film, and the first interlayer insulating film through an etching process using a trench etching mask; 불소계 용액을 이용한 세정 공정을 실시하여 상기 라이너 산화막을 제거하여 상기 확산방지막이 노출되도록 하는 단계; 및 Performing a cleaning process using a fluorine-based solution to remove the liner oxide layer to expose the diffusion barrier layer; And 상기 확산방지막의 노출된 부분을 제거하여 상기 하부 금속배선을 노출시키는 단계를 포함하여 구성되는 반도체 소자의 듀얼 다마신 패턴 형성방법. And removing the exposed portion of the diffusion barrier layer to expose the lower metal wiring. 제 1 항에 있어서, The method of claim 1, 상기 제1 및 제2 층간절연막은 OSG로 형성하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법. And the first and second interlayer dielectric layers are formed of OSG. 제 1 항에 있어서, The method of claim 1, 상기 제1 및 제2 층간절연막 사이에는 상기 트렌치 형성공정시 베리어로 기능을 하는 식각정지막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.And forming an etch stop layer functioning as a barrier during the trench formation process between the first and second interlayer insulating layers. 제 1 항에 있어서, The method of claim 1, 상기 라이너 산화막은 TEOS (Tetra Ethyle Ortho Silicate) 또는 LTO (Low Temperature Oxide)으로 형성하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.The liner oxide layer is a dual damascene pattern forming method of the semiconductor device, characterized in that formed by Teos (Tetra Ethyle Ortho Silicate) or LTO (Low Temperature Oxide). 제 1 항에 있어서, The method of claim 1, 상기 확산방지막은 SiN 또는 SiON으로 형성하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.The diffusion barrier layer is formed of SiN or SiON dual damascene pattern forming method of a semiconductor device. 제 1 항에 있어서, The method of claim 1, 상기 제2 층간절연막 상부에 SiN 또는 SiON막으로 캡핑층이 형성되는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.And a capping layer formed of a SiN or SiON film on the second interlayer insulating film. 제 1 항에 있어서, The method of claim 1, 상기 세정 공정에 이용되는 불소계 용액으로는 HF 또는 BOE 용액을 사용하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성방법.A method for forming a dual damascene pattern of a semiconductor device, characterized in that HF or BOE solution is used as the fluorine solution used in the cleaning process.
KR1020030047116A 2003-07-11 2003-07-11 Method for forming a dual damascene pattern in semiconductor device KR101005738B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030047116A KR101005738B1 (en) 2003-07-11 2003-07-11 Method for forming a dual damascene pattern in semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030047116A KR101005738B1 (en) 2003-07-11 2003-07-11 Method for forming a dual damascene pattern in semiconductor device

Publications (2)

Publication Number Publication Date
KR20050007638A KR20050007638A (en) 2005-01-21
KR101005738B1 true KR101005738B1 (en) 2011-01-06

Family

ID=37220986

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030047116A KR101005738B1 (en) 2003-07-11 2003-07-11 Method for forming a dual damascene pattern in semiconductor device

Country Status (1)

Country Link
KR (1) KR101005738B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100711925B1 (en) * 2005-12-29 2007-04-27 동부일렉트로닉스 주식회사 Semiconductor device and fabricating method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020072133A (en) * 2001-03-09 2002-09-14 주식회사 하이닉스반도체 Method for Fabricating of Semiconductor Device Using the Dual Damascene Process
US6492263B1 (en) 2000-06-29 2002-12-10 Mosel Vitelic, Inc. Dual damascene process which prevents diffusion of metals and improves trench-to-via alignment
KR20030002119A (en) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 Method for forming via hole by dual damascene process
KR20030057902A (en) * 2001-12-29 2003-07-07 주식회사 하이닉스반도체 Method of forming a dual damascene pattern in a semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492263B1 (en) 2000-06-29 2002-12-10 Mosel Vitelic, Inc. Dual damascene process which prevents diffusion of metals and improves trench-to-via alignment
KR20020072133A (en) * 2001-03-09 2002-09-14 주식회사 하이닉스반도체 Method for Fabricating of Semiconductor Device Using the Dual Damascene Process
KR20030002119A (en) * 2001-06-30 2003-01-08 주식회사 하이닉스반도체 Method for forming via hole by dual damascene process
KR20030057902A (en) * 2001-12-29 2003-07-07 주식회사 하이닉스반도체 Method of forming a dual damascene pattern in a semiconductor device

Also Published As

Publication number Publication date
KR20050007638A (en) 2005-01-21

Similar Documents

Publication Publication Date Title
KR100690881B1 (en) Fabrication method of dual damascene interconnections of microelectronics and microelectronics having dual damascene interconnections fabricated thereby
US7157366B2 (en) Method of forming metal interconnection layer of semiconductor device
US7436009B2 (en) Via structures and trench structures and dual damascene structures
KR100571417B1 (en) Dual damascene wiring of semiconductor device and manufacturing method thereof
KR100532446B1 (en) Method for forming metal interconnection layer of semiconductor device
US7176123B2 (en) Method for manufacturing metal line of semiconductor device
US6821896B1 (en) Method to eliminate via poison effect
US20030096496A1 (en) Method of forming dual damascene structure
KR100539444B1 (en) Method for forming a metal line in semiconductor device
JP5178025B2 (en) Manufacturing method of semiconductor memory device
KR101005738B1 (en) Method for forming a dual damascene pattern in semiconductor device
KR100539443B1 (en) Method for forming a metal line in semiconductor device
KR100539446B1 (en) Method for forming a dual damascene pattern in semiconductor device
KR101103550B1 (en) A method for forming a metal line in semiconductor device
KR100587140B1 (en) Method for forming a dual damascene pattern in semiconductor device
KR20000072897A (en) method of manufacturing semiconductor device
KR101138082B1 (en) A method for forming a dual damascene pattern in semiconductor device
KR100941629B1 (en) Method for fabricating semiconductor device using dual damascene process
KR20070034294A (en) Via hole formation method using dual damascene process
KR20030002119A (en) Method for forming via hole by dual damascene process
US7135400B2 (en) Damascene process capable of avoiding via resist poisoning
KR20050007641A (en) Method for forming a copper metal line in semiconductor device
KR100996163B1 (en) Method for manufacturing semiconductor device
KR20070064965A (en) Method for forming micro-pattern of semiconductor device
KR20050007630A (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131118

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141119

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161118

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20171117

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20181120

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20191119

Year of fee payment: 10