KR20030057902A - Method of forming a dual damascene pattern in a semiconductor device - Google Patents

Method of forming a dual damascene pattern in a semiconductor device Download PDF

Info

Publication number
KR20030057902A
KR20030057902A KR1020010088005A KR20010088005A KR20030057902A KR 20030057902 A KR20030057902 A KR 20030057902A KR 1020010088005 A KR1020010088005 A KR 1020010088005A KR 20010088005 A KR20010088005 A KR 20010088005A KR 20030057902 A KR20030057902 A KR 20030057902A
Authority
KR
South Korea
Prior art keywords
insulating film
film
etching
forming
via hole
Prior art date
Application number
KR1020010088005A
Other languages
Korean (ko)
Other versions
KR100412195B1 (en
Inventor
윤준호
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-2001-0088005A priority Critical patent/KR100412195B1/en
Publication of KR20030057902A publication Critical patent/KR20030057902A/en
Application granted granted Critical
Publication of KR100412195B1 publication Critical patent/KR100412195B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PURPOSE: A method for forming a dual damascene pattern of a semiconductor device is provided to be capable of improving etching profile and processing margin by compensating etching damage when forming a trench. CONSTITUTION: A metal diffusion barrier layer(34), the first insulating layer(35), an etch stop layer(36), the second insulating layer(37) and the first anti-reflective layer are sequentially formed on a semiconductor substrate(31) having metal lines(33). A via hole(40a) is formed to expose the metal diffusion barrier layer(34). After removing the first anti-reflective layer, the second anti-reflective layer is formed on the second insulating layer and in the via hole. After partially removing the second anti-reflective layer, the third insulating layer is formed on the exposed second and first insulating layer. A trench(40b) is then formed by selectively etching the second insulating layer. After entirely removing the second anti-reflective layer, the metal diffusion barrier layer is removed to expose the metal lines(33).

Description

반도체 소자의 듀얼 다마신 패턴 형성 방법{Method of forming a dual damascene pattern in a semiconductor device}Method of forming a dual damascene pattern in a semiconductor device

본 발명은 반도체 소자의 듀얼 다마신 패턴 형성 방법에 관한 것으로, 특히 비아홀 및 트렌치로 이루어진 듀얼 다마신 패턴의 식각 프로파일을 향상시킬 수 있는 반도체 소자의 듀얼 다마신 패턴 형성 방법에 관한 것이다.The present invention relates to a method of forming a dual damascene pattern of a semiconductor device, and more particularly, to a method of forming a dual damascene pattern of a semiconductor device capable of improving an etching profile of a dual damascene pattern formed of a via hole and a trench.

CMOS 소자에서는 소자의 동작 속도를 향상시키기 위한 방법으로 게이트 길이를 감소시켜 게이트 지연 시간(Gate delay time)을 줄이는 방법을 사용하고 있다. 하지만, 소자가 고집적화 되어 감에 따라, BEOL(Back End Of Line) 금속화(Metallization)에 의한 RC 지연(Resistance Capacitance Delay)에 의해 소자의 동작 속도가 결정된다.In the CMOS device, a method of reducing the gate delay time by reducing the gate length is used as a method for improving the operation speed of the device. However, as the device becomes highly integrated, an operation speed of the device is determined by a resistance capacitance delay caused by back end of line (BEOL) metallization.

이러한 RC 지연을 줄이기 위하여, 저항이 낮은 구리로 금속 배선을 형성하고 유전 상수가 낮은 절연물로 층간 절연막을 형성하면서, 듀얼 다마신 공정(Dual damascene) 공정으로 층간 절연막에 비아홀과 금속 배선이 형성될 트렌치를 동시에 형성한다.In order to reduce this RC delay, trenches in which via holes and metal wirings are formed in the interlayer insulating film by a dual damascene process while forming a metal wiring using copper having low resistance and an interlayer insulating film with an insulating material having a low dielectric constant are used. Form simultaneously.

듀얼 다마신 공정은 트렌치를 먼저 형성하고 비아홀을 형성하는 방법과 비아홀을 먼저 형성하고 트렌치를 형성하는 방법이 있다. 이 중에서, 비아홀을 먼저 형성하고 트렌치를 형성하는 방법은 마스크 공정이 용이하기 때문에 앞의 방법보다 많이 사용된다.In the dual damascene process, trenches are first formed and via holes are formed, and via holes are first formed and trenches are formed. Among them, the method of forming the via holes first and forming the trenches is more popular than the previous method because the mask process is easy.

이하, 종래 기술의 듀얼 다마신 공정에 따른 듀얼 다마신 패턴 형성 방법을 설명하면 다음과 같다.Hereinafter, a dual damascene pattern formation method according to the dual damascene process of the prior art will be described.

도 1a 내지 도 1d는 종래 기술에 따른 반도체 소자의 듀얼 다마신 패턴 형성 방법을 설명하기 위한 소자의 단면도이고, 도 2a 내지 도 2d는 듀얼 다마신 패턴 형성 단계에서의 단면 셈 사진이다.1A to 1D are cross-sectional views of a device for describing a dual damascene pattern forming method of a semiconductor device according to the prior art, and FIGS. 2A to 2D are cross-sectional photographs at the step of forming a dual damascene pattern.

도 1a를 참조하면, 반도체 소자를 형성하기 위한 여러 요소(도시되지 않음)가 형성된 반도체 기판(11) 상에 제 1 층간 절연막(12)을 형성하고, 제 1 층간 절연막(12)에 트렌치를 형성한 후 트렌치에 금속 물질을 매립하여 금속 배선(13)을 형성한다. 금속 배선(13)과 층간 절연막(12)의 계면에는 장벽 금속층(도시되지 않음)이 형성된다. 이때, 금속 배선(13)은 구리로 형성된다.Referring to FIG. 1A, a first interlayer insulating film 12 is formed on a semiconductor substrate 11 on which various elements (not shown) for forming a semiconductor device are formed, and a trench is formed in the first interlayer insulating film 12. Then, a metal material is embedded in the trench to form the metal wiring 13. A barrier metal layer (not shown) is formed at the interface between the metal wiring 13 and the interlayer insulating film 12. At this time, the metal wiring 13 is made of copper.

이후, 전체 상부에 금속 확산 방지막(Diffusion Barrier, 14), 제 1 절연막(15), 식각 방지막(16), 제 2 절연막(17) 및 유기질로 이루어진 제 1 반사 방지막(18)을 순차적으로 형성한다. 이로써, 제 2 층간 절연막이 형성되며, 반사 방지막(18)의 상부에는 비아홀이 형성될 영역을 노출시키는 제 1 포토레지스트 패턴(19)을 형성한다. 금속 확산 방지막(14)은 질화막으로 형성한다.Subsequently, a metal diffusion barrier 14, a first insulating layer 15, an etch barrier 16, a second insulating layer 17 and a first antireflection layer 18 made of an organic material are sequentially formed on the entire upper portion. . As a result, a second interlayer insulating film is formed, and a first photoresist pattern 19 is formed on the anti-reflection film 18 to expose a region where a via hole is to be formed. The metal diffusion barrier 14 is formed of a nitride film.

도 1b를 참조하면, 제 1 포토레지스트 패턴(19)을 식각 마스크로 이용하는 식각 공정으로 반사 방지막(18), 제 2 절연막(17), 식각 방지막(16) 및 제 1 절연막(15)을 식각하여 비아홀(20a)을 형성한다. 이후 제 1 포토레지스트 패턴(19) 및 제 1 반사 방지막(18)을 제거한다.Referring to FIG. 1B, the antireflection film 18, the second insulating film 17, the etch stop film 16, and the first insulating film 15 may be etched by an etching process using the first photoresist pattern 19 as an etching mask. The via hole 20a is formed. Thereafter, the first photoresist pattern 19 and the first anti-reflection film 18 are removed.

이때, 절연막과 식각 방지막간의 식각률 차이가 없으면서 절연막과 금속 확산 방지막간에는 식각률 차이가 발생되도록 식각 공정을 실시한다. 식각 공정은 금속 확산 방지막(14)에서 식각이 정지되며, 이로써 금속 확산 방지막(14) 상부에 비아홀(20a)을 형성한다.At this time, an etching process is performed such that an etching rate difference is generated between the insulating film and the metal diffusion barrier layer without the difference in the etching rate between the insulating film and the etching prevention film. In the etching process, the etching is stopped in the metal diffusion barrier 14, thereby forming the via hole 20a on the metal diffusion barrier 14.

도 1c를 참조하면, 제 2 절연막(17) 상부에 유기질로 이루어진 제 2 반사 방지막(21)을 형성하면서, 후속 공정으로 트렌치를 형성하기 위한 식각 공정 시 비아홀(20a) 및 하부의 금속 확산 방지막(14)이 식각되는 것을 방지하기 위하여, 제 2 반사 방지막(21)의 흐름(Flow) 특성을 이용하여 비아홀(20a)을 제 2 반사 방지막 물질(21a)로 매립한다. 이후, 트렌치가 형성될 영역을 노출시키는 제 2 포토레지스트 패턴(22)을 형성한다.Referring to FIG. 1C, the second anti-reflection film 21 made of organic material is formed on the second insulating film 17, and the via hole 20a and the lower metal diffusion barrier film during the etching process for forming the trench in a subsequent process ( In order to prevent the 14 from being etched, the via hole 20a is filled with the second anti-reflection film material 21a by using a flow characteristic of the second anti-reflection film 21. A second photoresist pattern 22 is then formed to expose the region where the trench is to be formed.

도 1d를 참조하면, 제 2 포토레지스트 패턴(22)을 식각 마스크로 이용하는 식각 공정으로 제 2 반사 방지막(21) 및 제 2 절연막(17)을 식각하여 트렌치(20b)를 형성한다. 이때, 비아홀(20a)에 매립된 제 2 반사 방지막 물질이 제거되면서 노출된 하부의 금속 확산 방지막(14)도 동시에 제거되어 비아홀(20a)의 하부에는 금속 배선(13)이 노출된다. 이로써, 트렌치(20b)와 비아홀(20a)로 이루어진 듀얼 다마신 패턴(20)이 형성된다. 이후 제 1 포토레지스트 패턴 및 제 2 반사 방지막을 제거한다.Referring to FIG. 1D, the trench 20b is formed by etching the second anti-reflection film 21 and the second insulating film 17 by an etching process using the second photoresist pattern 22 as an etching mask. In this case, while the second anti-reflection film material embedded in the via hole 20a is removed, the exposed metal diffusion barrier 14 is also removed at the same time so that the metal wiring 13 is exposed under the via hole 20a. As a result, the dual damascene pattern 20 including the trench 20b and the via hole 20a is formed. Thereafter, the first photoresist pattern and the second anti-reflection film are removed.

상기의 공정 단계로 진행되는 듀얼 다마신 공정의 문제점을 설명하면 다음과같다.Referring to the problem of the dual damascene process proceeds to the above process step is as follows.

첫째, 도 2a 도 2b에 도시한 바와 같이, 패턴의 밀도(Pattern density) 및 비아홀의 사이즈(Via hole size)에 따라 비아홀 내에 채워지는 유기질의 반사 방지막 물질(21a)의 두께가 달라진다. 즉, 비아홀 사이즈가 클수록, 비아홀 밀도(Via Hole Density)가 클수록 비아홀 내부에는 유기질의 반사 방지막 물질이 적게 채워짐으로, 후속 공정으로 트렌치를 형성하기 위한 식각 공정 시 상부 배선을 형성하기 위한 절연막의 측벽이 식각(17a) 되어 식각 프로파일(Profile) 특성이 저하된다.First, as shown in FIG. 2A and FIG. 2B, the thickness of the organic anti-reflection film material 21a filled in the via hole varies according to the pattern density and the via hole size. That is, the larger the via hole size, the larger the via hole density, the less organic anti-reflective coating material is filled in the via hole. It is etched 17a and the etching profile characteristic is reduced.

둘째, 트렌치를 식각하는 과정에서 제 2 절연막 상부의 반사 방지막이 얇아지는 티닝(Thinning) 현상이 발생한다. 이로 인하여, 비아홀에 매립된 유기질의 반사 방지막 물질을 제거하면서 트렌치를 형성하는 식각 공정 시 식각량을 조절하기가 여렵고, 도 2c 및 도 2d에 도시한 바와 같이, 트렌치가 형성될 제 2 절연막(17)의 두께가 달라진다. 따라서, 식각 방지막과의 고선택비가 요구되므로 식각 조건을 설정하기가 어렵고, 식각 프로파일 특성이 저하된다.Second, in the process of etching the trench, a thinning phenomenon occurs in which the anti-reflection film on the second insulating film becomes thinner. As a result, it is difficult to control the etching amount during the etching process of forming the trench while removing the organic anti-reflection film material embedded in the via hole, and as shown in FIGS. 2C and 2D, the second insulating film ( 17) the thickness is different. Therefore, since a high selectivity with the etch stop layer is required, it is difficult to set the etching conditions and the etching profile characteristics are lowered.

따라서, 본 발명은 트렌치를 형성하기 위한 식각 공정에 의해 절연막에 식각 손상이 발생되는 것을 방지하기 위하여 비아홀의 내부와 절연막의 상부에 유기질로 이루어진 반사 방지막을 형성하는 과정에서 얇게 형성된 부분의 반사 방지막을 제거하고 반사 방지막이 제거된 영역에 절연막을 재증착하여 두께를 보충함으로써,트렌치를 형성하기 위한 식각 공정 시 절연막에 발생되는 식각 손상을 보상하여 공정 마진을 확보하고 식각 프로파일을 향상시켜 상기의 문제점을 해결함과 동시에 공정의 신뢰성을 향상시킬 수 있는 반도체 소자의 듀얼 다마신 패턴 형성 방법을 제공하는데 그 목적이 있다.Accordingly, the present invention provides an anti-reflection film of a thinly formed portion in the process of forming an anti-reflection film made of organic material on the inside of the via hole and the top of the insulating film in order to prevent the etching damage from occurring in the insulating film by the etching process for forming the trench. By re-depositing the insulating film in the region where the anti-reflective film has been removed and replenishing the thickness, it compensates for the etching damage generated in the insulating film during the etching process to form a trench to secure a process margin and improve the etching profile to solve the above problems. The purpose of the present invention is to provide a method for forming a dual damascene pattern of a semiconductor device which can solve the same and improve the reliability of the process.

도 1a 내지 도 1d는 종래 기술에 따른 반도체 소자의 듀얼 다마신 패턴 형성 방법을 설명하기 위한 소자의 단면도.1A to 1D are cross-sectional views of a device for explaining a dual damascene pattern forming method of a semiconductor device according to the prior art.

도 2a 내지 도 2d는 듀얼 다마신 패턴 형성 단계에서의 단면 셈 사진.2A to 2D are cross-sectional photo images in the step of forming a dual damascene pattern.

도 3a 내지 도 3f는 본 발명에 따른 반도체 소자의 듀얼 다마신 패턴 형성 방법을 설명하기 위한 소자의 단면도.3A to 3F are cross-sectional views of a device for explaining a dual damascene pattern forming method of a semiconductor device according to the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

11, 31 : 반도체 기판12, 32 : 층간 절연막11, 31: semiconductor substrate 12, 32: interlayer insulating film

13, 33 : 금속 배선14, 34 : 금속 확산 방지막13, 33: metal wiring 14, 34: metal diffusion barrier

15, 35 : 제 1 절연막16, 36 : 식각 방지막15, 35: first insulating film 16, 36: etching prevention film

17, 37 : 제 2 절연막17a : 제 2 절연막의 측벽 식각 손상17, 37: second insulating film 17a: sidewall etching damage of the second insulating film

18, 38 : 제 1 반사 방지막19, 39 : 제 1 포토레지스트 패턴18, 38: 1st anti-reflective film 19, 39: 1st photoresist pattern

20a, 40a : 비아홀20b, 40b : 트렌치20a, 40a: Via Hole 20b, 40b: Trench

20, 40 : 듀얼 다마신 패턴21, 41 : 제 2 반사 방지막20, 40: dual damascene pattern 21, 41: second antireflection film

21a, 41a : 제 2 반사 방지막 물질22, 42 : 제 2 포토레지스트 패턴21a, 41a: second antireflection film material 22, 42: second photoresist pattern

43 : 제 3 절연막43: third insulating film

본 발명에 따른 반도체 소자의 듀얼 다마신 패턴 형성 방법은 하부 금속 배선 상부의 층간 절연막에 비아홀을 형성한 후 후속의 트렌치 식각 과정에서 발생되는 식각 손상을 보상하기 위하여 노출된 층간 절연막의 표면에 절연막을 추가로 증착하는 것을 특징으로 한다.In the method of forming a dual damascene pattern of a semiconductor device according to the present invention, after forming a via hole in the interlayer insulating film on the lower metal wiring, an insulating film is formed on the exposed surface of the interlayer insulating film to compensate for the etching damage caused in the subsequent trench etching process. It is characterized by further depositing.

본 발명의 다른 실시예에 따른 반도체 소자의 듀얼 다마신 패턴 형성 방법은 금속 배선이 형성되고 전체 상부에 금속 확산 방지막, 제 1 절연막, 식각 방지막, 제 2 절연막 및 제 1 반사 방지막이 순차적으로 형성된 반도체 기판이 제공되는 단계와, 금속 확산 방지막을 노출시키는 비아홀이 형성되는 단계와, 제 1 반사 방지막이 제거되고, 제 2 절연막 상부에 제 2 반사 방지막이 형성되면서 비아홀에는 제 2 반사 방지막이 매립되는 단계와, 제 1 및 제 2 절연막의 표면에 얇게 형성된 제 2 반사 방지막이 제거되는 단계와, 제 1 및 제 2 절연막의 노출된 표면에 제 3 절연막을 형성하는 단계와, 소정 영역의 제 2 절연막이 제거되어 트렌치가 형성되는 단계와, 비아홀에 형성된 제 2 반사 방지막을 제거하고, 금속 배선이 노출되도록금속 확산 방지막을 제거하는 단계로 이루어지는 것을 특징으로 한다.In the method of forming a dual damascene pattern of a semiconductor device according to another embodiment of the present invention, a metal wiring is formed and a semiconductor in which a metal diffusion barrier, a first insulating layer, an etch barrier, a second insulating layer, and a first antireflection layer are sequentially formed on the entire upper portion. Providing a substrate, forming a via hole exposing the metal diffusion barrier layer, removing the first antireflection layer, and filling the second hole with a second antireflection layer in the via hole while the second antireflection layer is formed on the second insulating layer. Removing the second antireflection film thinly formed on the surfaces of the first and second insulating films, forming a third insulating film on the exposed surfaces of the first and second insulating films, and forming a second insulating film in a predetermined region. Removing the trench to form the trench, removing the second anti-reflection film formed in the via hole, and removing the metal diffusion prevention film to expose the metal wiring. To step it characterized by comprising.

상기에서, 본 발명의 실시예에 기재된 절연막과 다른 실시예에 기재된 제 3 절연막은 LPD법으로 형성되어 층간 절연막의 노출된 표면에만 선택적으로 형성된다. LPD법은 상온에서 과포화된 H2SiF6수용액에 H3BO3이 첨가된 혼합 수용액에 반도체 기판을 담궈 실리콘과 옥사이드를 포함한 절연막의 상부에만 SiOF을 선택적으로 형성시키는 것을 특징으로 한다.In the above, the insulating film described in the embodiment of the present invention and the third insulating film described in the other embodiment are formed by the LPD method and selectively formed only on the exposed surface of the interlayer insulating film. The LPD method is characterized in that SiOF is selectively formed only on top of an insulating film containing silicon and oxide by dipping a semiconductor substrate in a mixed aqueous solution in which H 3 BO 3 is added to a supersaturated H 2 SiF 6 aqueous solution at room temperature.

이하, 첨부된 도면을 참조하여 본 발명의 실시예를 보다 더 상세히 설명하기로 한다.Hereinafter, with reference to the accompanying drawings will be described an embodiment of the present invention in more detail.

도 3a 내지 도 3f는 본 발명에 따른 반도체 소자의 듀얼 다마신 패턴 형성 방법을 설명하기 위한 소자의 단면도이다.3A to 3F are cross-sectional views of devices for describing a dual damascene pattern forming method of a semiconductor device according to the present invention.

도 3a를 참조하면, 반도체 소자를 형성하기 위한 여러 요소(도시되지 않음)가 형성된 반도체 기판(31) 상에 제 1 층간 절연막(32)을 형성하고, 제 1 층간 절연막(32)에 소정의 패턴으로 트렌치를 형성한 후 트렌치에 금속 물질을 매립하여 금속 배선(33)을 형성한다. 금속 배선(33)과 층간 절연막(32)의 계면에는 장벽 금속층(도시되지 않음)이 형성된다. 이때, 금속 배선(33)은 구리로 형성된다.Referring to FIG. 3A, a first interlayer insulating film 32 is formed on a semiconductor substrate 31 on which various elements (not shown) for forming a semiconductor element are formed, and a predetermined pattern is formed on the first interlayer insulating film 32. After the trench is formed, the metal wiring 33 is formed by burying a metal material in the trench. A barrier metal layer (not shown) is formed at the interface between the metal wiring 33 and the interlayer insulating film 32. At this time, the metal wiring 33 is made of copper.

이후, 전체 상부에 금속 확산 방지막(34), 제 1 절연막(35), 식각 방지막(36), 제 2 절연막(37) 및 유기질로 이루어진 제 1 반사 방지막(38)을 순차적으로 형성한다. 이로써, 제 2 층간 절연막이 형성되며, 반사 방지막(38)의 상부에는 비아홀이 형성될 영역을 노출시키는 제 1 포토레지스트 패턴(39)을 형성한다.Subsequently, the metal diffusion barrier film 34, the first insulating film 35, the etch stop film 36, the second insulating film 37, and the first anti-reflection film 38 made of organic materials are sequentially formed on the entire upper portion. As a result, a second interlayer insulating film is formed, and a first photoresist pattern 39 is formed on the anti-reflection film 38 to expose a region where a via hole is to be formed.

상기에서, 금속 확산 방지막(34)은 질화막으로 이루어지며, PECVD(Plasma Enhanced Chemical Vapor Deposition)방법에 의해 500 내지 1000Å의 두께로 형성된다. 제 1 절연막(35)은 유전 상수가 낮은 물질(Low-k Material)로 이루어지며, PECVD방법에 의해 4000 내지 6000Å 정도 증착된다. 식각 방지막(36)은 질화막으로 이루어지며, PECVD방법에 의해 500 내지 1000Å로 형성된다. 제 2 절연막(37)은 SiO2나 유전 상수가 낮은 물질로 이루어지며, PECVD 방법에 의해 3000 내지 5000Å의 두께로 형성된다.In the above, the metal diffusion barrier 34 is formed of a nitride film, and is formed to a thickness of 500 to 1000 kPa by the PECVD (Plasma Enhanced Chemical Vapor Deposition) method. The first insulating film 35 is made of a low-k material, and is deposited by 4000 to 6000 kV by PECVD. The anti-etching film 36 is formed of a nitride film, and is formed to be 500 to 1000 mW by PECVD. The second insulating film 37 is made of SiO 2 or a material having a low dielectric constant, and is formed to have a thickness of 3000 to 5000 kPa by the PECVD method.

도 3b를 참조하면, 제 1 포토레지스트 패턴(39)을 식각 마스크로 이용하는 식각 공정으로 제 1 반사 방지막(38), 제 2 절연막(37), 식각 방지막(36) 및 제 1 절연막(35)을 식각하여 비아홀(40a)을 형성한다. 이후 제 1 포토레지스트 패턴(39) 및 제 1 반사 방지막(38)을 제거한다.Referring to FIG. 3B, the first antireflection film 38, the second insulating film 37, the etch stop film 36, and the first insulating film 35 may be formed by an etching process using the first photoresist pattern 39 as an etching mask. The via holes 40a are formed by etching. Thereafter, the first photoresist pattern 39 and the first anti-reflection film 38 are removed.

상기에서, 비아홀(40a)을 형성하기 위해서는 먼저 제 1 반사 방지막(38)을 식각한다. 제 1 반사 방지막(38)의 식각 공정은 약 1E10ion/cm3의 저밀도 이온(Low Ion Density)을 발생시키는 식각 장비에서 실시되며, 압력을 1000 내지 1500mTorr로 유지하고, 800 내지 1200Watt의 바이어스를 인가한 상태에서 CHF3, CF4및 Ar을 공급하면서 진행한다. 이때, CHF3의 공급량은 40 내지 60sccm이고, CF4의 공급량은 100 내지 150sccm이며, Ar의 공급량은 1000 내지 1500sccm이다. 한편, 비아홀이 형성될 영역의 제 1 반사 방지막(38)을 식각하는 식각 공정을 과도 식각으로 실시하여 제 1 반사 방지막(38)을 제거함과 동시에 노출된 제 2 절연막(37)을 1000 내지 2000Å 정도 식각한다.In the above, in order to form the via holes 40a, the first anti-reflection film 38 is etched first. The etching process of the first anti-reflection film 38 is performed in an etching apparatus generating low ion density of about 1E10ion / cm 3 , maintaining a pressure of 1000 to 1500 mTorr, and applying a bias of 800 to 1200 Watt. proceeds while supplying CHF 3, CF 4 and Ar in the state. At this time, the supply amount of CHF 3 is 40 to 60 sccm, the supply amount of CF 4 is 100 to 150 sccm, the supply amount of Ar is 1000 to 1500 sccm. Meanwhile, the etching process of etching the first anti-reflection film 38 in the region where the via hole is to be formed is performed by excessive etching to remove the first anti-reflection film 38 and simultaneously expose the exposed second insulating film 37 at about 1000 to 2000 kPa. Etch it.

제 2 절연막(37), 식각 방지막(36) 및 제 1 절연막(35)을 식각하여 비아홀(40a)을 형성하는 공정에서 식각 시 식각물질의 식각률 차이에 따라 식각 조건을 설정하는 것이 매우 중요하다.In the process of forming the via hole 40a by etching the second insulating layer 37, the etch stop layer 36, and the first insulating layer 35, it is very important to set the etching conditions according to the etching rate difference of the etching material.

일반적으로, 듀얼 다마신 패턴을 형성하는 식각 공정은 절연막과 질화막이 동일한 속도로 식각되도록 식각 조건을 조절한 상태에서 제 2 절연막, 식각 방지막 및 제 1 절연막을 1차 식각하여 비아홀을 형성한 후 절연막과 질화막간의 식각 선택비가 최대한 확보되도록 식각 조건을 다시 조절하여 식각 방지막에서 식각이 중지되도록한 상태에서 제 2 절연막을 2차 식각하여 트렌치를 형성하는 2단계 식각 공정으로 이루어진다.In general, in the etching process of forming the dual damascene pattern, the second insulating film, the anti-etching film, and the first insulating film are first etched to form via holes while the etching conditions are adjusted so that the insulating film and the nitride film are etched at the same speed. The second etching process is performed by forming a trench by second etching the second insulating film while the etching condition is adjusted again to maximize the etching selectivity between the nitride film and the nitride film.

이때, 식각 방지막의 두께가 500Å이하에서 1000Å이하로 두꺼워지면, 식각 방지막과 절연막간의 근소한 식각률 차이에 의해, 식각 프로파일에서 경사(Slope)가 크게 발생되며, 비아홀을 형성하기 위한 절연막의 두께가 3000Å 이하의 두께로 얇아지게 되면 식각 조건을 설정하기가 어려워진다.At this time, when the thickness of the etch barrier layer is thicker than 500 Å to 1000 Å, a slight etch rate difference between the etch barrier and the insulation layer causes a large slope in the etching profile, and the thickness of the insulation layer for forming the via hole is 3000 Å or less. The thinner the thickness, the harder it is to set the etching conditions.

따라서, 본 발명에서는 식각 방지막(36)에서 식각이 종료되도록 절연막과 질화막간의 식각 선택비를 최대한 확보한 상태에서 제 2 절연막(37)을 식각하는 단계와, 절연막과 질화막이 동일한 속도로 식각되도록 식각 조건을 조절한 상태에서 식각 방지막(36)을 식각하는 단계와, 금속 확산 방지막(34)에서 식각이 종료되도록 절연막과 질화막간의 식각 선택비를 최대한 확보한 상태에서 제 1 절연막(35)을 식각하는 단계로 이루어진 3단계 식각 공정을 통해 비아홀(40a)을 형성한다.Accordingly, in the present invention, the second insulating film 37 is etched in the state where the etching selectivity between the insulating film and the nitride film is as secured as possible so that the etching is completed in the etch stop layer 36, and the etching film is etched so that the insulating film and the nitride film are etched at the same speed. Etching the etch stop layer 36 under controlled conditions, and etching the first insulating layer 35 while maximizing the etch selectivity between the insulating layer and the nitride layer so that the etch stops in the metal diffusion barrier layer 34. The via hole 40a is formed through a three-step etching process.

식각 선택비를 최대한 확보한 상태에서 실시되는 제 1 절연막(35) 또는 제 2 절연막(37)의 식각 공정은 C/F 비가 높은 가스(C4F8, C5F8, ...)를 사용하여 다량의 폴리머를 발생시키는 효과와, 수소(Hydrogen)가 함유된 가스(CH2F2,...)를 첨가함으로써 플라즈마에 의해 발생된 자유 불소(Free Fluorine)를 제거(Scavenger)하는 수소 특성을 이용하여 폴리머의 발생을 유리하게 하는 조건과, O2흐름량을 조절하여 비아홀 측벽의 보호막(Passivation Layer)을 유지하는 방법을 이용한다.The etching process of the first insulating film 35 or the second insulating film 37 performed in a state where the etching selectivity is secured to the maximum is performed by using gases having a high C / F ratio (C 4 F 8 , C 5 F 8 , ...). Hydrogen to remove free fluorine generated by plasma by adding gas (CH 2 F 2 , ...) containing hydrogen (Hydrogen) By using the characteristics, the conditions that favor the generation of the polymer, and the method of maintaining the passivation layer (Passivation Layer) of the sidewalls of the via holes by adjusting the amount of O 2 flow.

상기의 방법을 이용한 식각 공정은 약 1E10ion/cm3의 저밀도 이온(Low Ion Density)을 발생시키는 식각 장비에서 실시되며, 압력을 30 내지 50mTorr로 유지하고, 1800 내지 2000Watt의 소오스 파워와 1500 내지 1700Watt의 바이어스 파워를 인가한 상태에서, C4F8및 C5F8중 어느 하나와, CH2F2, O2및 Ar을 공급하면서 진행한다. 이때, C4F8또는 C5F8의 공급량은 15 내지 25sccm이고, CH2F2의 공급량은 5 내지 10sccm이며, O2의 공급량은 10 내지 15sccm이고, Ar의 공급량은 400 내지 600sccm이다.The etching process using the above method is performed in an etching apparatus generating low ion density of about 1E10ion / cm 3 , maintaining a pressure of 30 to 50 mTorr, a source power of 1800 to 2000 Watts and a 1500 to 1700 Watts in the bias power applied state, and the process proceeds while supplying C 4 F 8 and C 5 F 8, and any one, CH 2 F 2, O 2 and Ar in. At this time, the supply amount of C 4 F 8 or C 5 F 8 is 15 to 25 sccm, the supply amount of CH 2 F 2 is 5 to 10 sccm, the supply amount of O 2 is 10 to 15 sccm, the supply amount of Ar is 400 to 600 sccm.

절연막과 질화막의 식각 속도가 동일하도록 식각 조건이 조절된 상태에서 실시되는 식각 방지막(36)의 식각 공정은 약 1E10ion/cm3의 저밀도 이온을 발생시키는 식각 장비에서 실시되며, 압력을 50 내지 70mTorr로 유지하고, 1800 내지 2200Watt의 소오스 파워와 1300 내지 1600Watt의 바이이스 파워를 인가한 상태에서 CHF3, CF4및 Ar을 공급하면서 진행한다. 이때, CHF3의 공급량은 20 내지 30sccm이고, CF4의 공급량은 50 내지 80sccm이며, Ar의 공급량은 400 내지 600sccm이다.The etching process of the anti-etching film 36, which is performed under the condition that the etching conditions are adjusted so that the insulating film and the nitride film have the same etching rate, is performed in an etching apparatus generating low density ions of about 1E10ion / cm 3 , and the pressure is 50 to 70mTorr maintained, and the process proceeds while supplying CHF 3, CF 4 and Ar in the device by applying a power of 1800 to 1300 to the power source 2200Watt 1600Watt state. At this time, the supply amount of CHF 3 is 20 to 30 sccm, the supply amount of CF 4 is 50 to 80 sccm, the supply amount of Ar is 400 to 600 sccm.

이후, O2플라즈마를 이용한 스트립 공정으로 식각 공정 시 발생된 폴리머(Polymer) 및 제 1 포토레지스트 패턴(39)을 제거한다.Thereafter, a strip process using an O 2 plasma is used to remove the polymer and the first photoresist pattern 39 generated during the etching process.

도 3c를 참조하면, 제 2 절연막(37) 상부에 유기질로 이루어진 제 2 반사 방지막(41)을 500 내지 1000Å의 두께로 형성하면서, 후속 공정으로 트렌치를 형성하기 위한 식각 공정 시 비아홀(40a) 및 하부의 금속 확산 방지막(34)이 식각되는 것을 방지하기 위하여, 제 2 반사 방지막(41)의 흐름(Flow) 특성을 이용하여 비아홀(40a)을 제 2 반사 방지막 물질(41a)로 매립한다. 이후, 트렌치가 형성될 영역을 노출시키는 제 2 포토레지스트 패턴(42)을 형성한다. 이때, 제 2 반사 방지막(41)을 너무 두껍게 형성하면 제 2 반사 방지막(41) 식각 공정 시 과도 식각을 해야 함으로 적절한 두께로 제 2 반사 방지막(41)을 형성한다.Referring to FIG. 3C, the second anti-reflection film 41 made of organic material is formed on the second insulating film 37 to a thickness of 500 to 1000 Å, and the via hole 40a is formed during the etching process to form the trench in a subsequent process. In order to prevent the lower metal diffusion barrier 34 from being etched, the via hole 40a is filled with the second anti-reflective coating material 41a by using a flow characteristic of the second anti-reflection coating 41. A second photoresist pattern 42 is then formed to expose the region where the trench is to be formed. In this case, if the second anti-reflection film 41 is formed too thick, the second anti-reflection film 41 may be excessively etched during the etching process of the second anti-reflection film 41, thereby forming the second anti-reflection film 41 to an appropriate thickness.

상기에서, 단위 면적당 비아홀(40a)의 수가 많은 영역과 작은 영역에 따라, 제 2 절연막(37) 상부에 형성되는 제 2 반사 방지막(41)의 두께와 비아홀(40a)에 매립되는 제 2 반사 방지막 물질(41a)의 량이 각각 달라진다. 즉, 단위 면적당 비아홀(40a)의 수가 많은 영역에서는 비아홀(40a)을 모두 매립하기 위하여 많은 양의 제 2 반사 방지막 물질(41a)이 필요하고, 수가 작은 영역에서는 적은 양으로도 비아홀(40a)을 매립할 수 있다. 하지만, 단위 면적 당 형성되는 제 2 반사 방지막 물질(41a)의 양이 동일하기 때문에, 비아홀(40a)의 수가 많은 영역에서는 비아홀(40a)에 매립되는 제 2 반사 방지막 물질(41a)의 량이 상대적으로 줄어든다. 이로 인하여, 비아홀(41a)의 수가 많은 영역에서는 비아홀(41a)에 제 2 반사 방지막 물질(41a)이 조금씩 매립되어 제 2 절연막(37)의 측벽이 노출된다. 또한, 비아홀(40a)의 수가 많은 영역에서는 제 2 절연막(37) 상부에 형성되는 제 2 반사 방지막(41)의 두께도 얇아진다.In the above description, the thickness of the second anti-reflection film 41 formed on the second insulating film 37 and the second anti-reflection film buried in the via hole 40a are increased according to the area having a large number of via holes 40a per unit area and a small area. The amount of material 41a varies, respectively. That is, a large amount of the second anti-reflective coating material 41a is required to fill all of the via holes 40a in an area having a large number of via holes 40a per unit area, and a small amount of via holes 40a may be used in a small area. Can be landfilled. However, since the amount of the second anti-reflection film material 41a formed per unit area is the same, the amount of the second anti-reflection film material 41a buried in the via hole 40a is relatively large in the region where the number of the via holes 40a is large. Decreases. For this reason, in the region where the number of the via holes 41a is large, the second anti-reflection film material 41a is filled in the via holes 41a little by little to expose the sidewall of the second insulating film 37. In addition, in the region where the number of via holes 40a is large, the thickness of the second anti-reflection film 41 formed on the second insulating film 37 is also reduced.

도 3d를 참조하면, 단위 면적당 비아홀(41)의 수가 많은 영역에서 제 2 절연막(37) 표면에 얇게 형성된 제 2 반사 방지막(41)을 제거한다. 제 2 반사 방지막(41)이 제거된 부분에는 제 2 절연막(37)이 노출된다.Referring to FIG. 3D, the second anti-reflection film 41 thinly formed on the surface of the second insulating film 37 is removed in a region where the number of via holes 41 is large per unit area. The second insulating film 37 is exposed to the portion where the second anti-reflection film 41 is removed.

제 2 반사 방지막(41)의 제거 공정은 약 1E10ion/cm3의 저밀도 이온을 발생시키는 식각 장비에서 실시되며, 압력을 50 내지 70mTorr로 유지하고, 1800 내지 2200Watt의 소오스 파워와 1300 내지 1600Watt의 바이이스 파워를 인가한 상태에서 CHF3, CF4, O2및 Ar을 공급하면서 진행한다. 이때, CHF3의 공급량은 20 내지 30sccm이고, CF4의 공급량은 50 내지 80sccm이며, O2의 공급량은 10 내지 15sccm이고, Ar의 공급량은 400 내지 600sccm이다.The removal process of the second anti-reflection film 41 is carried out in an etching apparatus generating low density ions of about 1E10ion / cm 3 , maintaining a pressure of 50 to 70 mTorr, source power of 1800 to 2200 Watts and a vise of 1300 to 1600 Watts The process proceeds while supplying CHF 3 , CF 4 , O 2 and Ar with power applied. At this time, the supply amount of CHF 3 is 20 to 30 sccm, the supply amount of CF 4 is 50 to 80 sccm, the supply amount of O2 is 10 to 15 sccm, the supply amount of Ar is 400 to 600 sccm.

도 3e를 참조하면, 제 2 반사 방지막(41)이 제거되면서 노출된 제 2 절연막(37)의 표면에 제 3 절연막(43)을 선택적으로 형성한다.Referring to FIG. 3E, a third insulating film 43 is selectively formed on the surface of the second insulating film 37 exposed while the second anti-reflection film 41 is removed.

상기의 제 3 절연막(43)은 선택적 LPD(Selective Liquid Phase Deposition)법으로 형성한다. 선택적 LPD법은 상온의 과포화된 Hydrofluosilicic Acid(H2SiF6)수용액에 Boric Acid(H3BO3)를 첨가한 혼합 수용액에 기판을 침적하여 실리콘과 옥사이드 상부에만 SiOF(Fluorinate Silica Glass; FSG)를 성장시키는 방법이다. 따라서, 선택적 LPD법으로 형성된 제 3 절연막(43)은 SiOF로 이루어지며, 제 2 반사 방지막(41)이 제거된 제 2 절연막(37)의 표면에만 형성된다.The third insulating layer 43 is formed by a selective liquid phase deposition (LPD) method. In the selective LPD method, a substrate was immersed in a mixed aqueous solution of boric acid (H 3 BO 3 ) added to a supersaturated solution of Hydrofluosilicic Acid (H 2 SiF 6 ) at room temperature, and then SiOF (Fluorinate Silica Glass; FSG) was added only to silicon and oxide. How to grow. Therefore, the third insulating film 43 formed by the selective LPD method is made of SiOF, and is formed only on the surface of the second insulating film 37 from which the second anti-reflection film 41 has been removed.

선택적 LPD법에 의해 형성된 제 3 절연막(43)에 의해, 제 2 반사 방지막(41)이 잔류되는 영역과 제 2 반사 방지막(41)이 제거된 영역에서의 절연막 두께 차이를 줄일 수 있다. 이로 인해, 트렌치를 형성하기 위한 식각 공정 시 공정 마진을 확보하여 공정 조건을 설정하기가 유리하고, 식각 방지막(36)에 식각 손상(Breakthrough)이 발생되는 것을 억제할 수 있다. 또한, 트렌치 식각 공정 시 제 2 절연막(37) 대신에 제 2 절연막(37)의 측벽에 형성된 제 3 절연막(43)이 식각되므로, 트렌치 식각 공정에 따른 식각량을 제 3 절연막(43)의 두께로 보상을 하면서 트렌치의 식각 프로파일을 향상시킬 수 있다.By the third insulating film 43 formed by the selective LPD method, the difference in the thickness of the insulating film in the region where the second anti-reflection film 41 remains and the region where the second anti-reflection film 41 is removed can be reduced. Therefore, it is advantageous to set the process conditions by securing the process margin during the etching process for forming the trench, and it is possible to suppress the occurrence of etch damage in the etch stop layer 36. In addition, since the third insulating film 43 formed on the sidewall of the second insulating film 37 is etched instead of the second insulating film 37 during the trench etching process, the etching amount according to the trench etching process is determined by the thickness of the third insulating film 43. Can be used to improve the trench's etch profile.

도 3f를 참조하면, 제 2 포토레지스트 패턴(42)을 식각 마스크로 이용하는 식각 공정으로 제 2 반사 방지막(41), 제 3 절연막(43) 및 제 2 절연막(37)을 식각하여 트렌치(40b)를 형성한다. 이로써, 트렌치(40b)와 비아홀(40a)로 이루어진 듀얼 다마신 패턴(40)이 형성된다. 이후 O2플라즈마를 이용하여 제 2 포토레지스트 패턴(42) 및 제 2 절연막(37) 상부의 제 2 반사 방지막(41)을 제거하고, 비아홀(40a)에 매립된 제 2 반사 방지막 물질이 제거되면서 노출된 하부의 금속 확산 방지막(34)을 제거하여 금속 배선(33)을 노출시킨다.Referring to FIG. 3F, the second anti-reflection film 41, the third insulating film 43, and the second insulating film 37 are etched by an etching process using the second photoresist pattern 42 as an etching mask, and the trench 40b is etched. To form. As a result, a dual damascene pattern 40 including the trench 40b and the via hole 40a is formed. Thereafter, the second photoresist pattern 42 and the second anti-reflection film 41 on the second insulating layer 37 are removed using the O 2 plasma, and the second anti-reflection film material embedded in the via hole 40a is removed. The exposed metal diffusion barrier layer 34 is removed to expose the metal wire 33.

상기에서, 트렌치를 형성하기 위한 식각 공정은 플라즈마 식각 방법을 이용한다.In the above, the etching process for forming the trench uses a plasma etching method.

트렌치 식각 공정은, 비아홀 식각 공정과 달리, 식각해야 할 면적이 넓으므로, 식각 프로파일을 수직(Vertical) 형태로 만들기 위해서는, 식각 압력을 크게 하여 이온(Radical)의 체류 시간(Residence time)을 증가시켜야 한다. 하지만, 상기의 조건에 의하면, 절연막과 질화막의 식각 선택비가 낮아짐으로 식각 방지막(36)이 과도 식각될 수 있다. 따라서, 이하에서 설명하면 2 단계 식각방법으로 트렌치(40b)를 형성한다.Unlike the via hole etching process, the trench etching process has a large area to be etched. Therefore, in order to make the etching profile vertical, the etching time must be increased to increase the residence time of the radicals. do. However, according to the above conditions, the etch selectivity of the insulating film and the nitride film is lowered, so that the etch stop layer 36 may be over-etched. Therefore, as described below, the trench 40b is formed by a two-step etching method.

트렌치 식각 공정은 약 1E10ion/cm3의 저밀도 이온을 발생시키는 식각 장비에서 실시된다. 1차 식각 공정으로 압력을 60 내지 100mTorr로 유지하고 1800 내지 2000Watt의 소오스 파워와 1500 내지 1700Watt의 바이이스 파워를 인가한 상태에서, C4F8및 C5F8중 어느 하나와, O2및 Ar을 공급하면서 제 2 절연막(37)을 1500 내지 2000Å 정도 식각한다. 이때, C4F8또는 C5F8의 공급량은 15 내지 25sccm이고, O2의 공급량은 15 내지 25sccm이고, Ar의 공급량은 700 내지 1000sccm이다.The trench etching process is carried out in etching equipment generating low density ions of about 1E10ion / cm 3 . In the first etching process, any one of C 4 F 8 and C 5 F 8 , O 2 and C, while maintaining a pressure of 60 to 100 mTorr and applying a source power of 1800 to 2000 Watts and a bias power of 1500 to 1700 Watts The second insulating film 37 is etched at about 1500 to 2000 microseconds while Ar is supplied. At this time, the supply amount of C 4 F 8 or C 5 F 8 is 15 to 25 sccm, the supply amount of O 2 is 15 to 25 sccm, the supply amount of Ar is 700 to 1000 sccm.

2차 식각 공정으로 압력을 30 내지 50mTorr로 유지하고 1800 내지 2000Watt의 소오스 파워와 1500 내지 1700Watt의 바이이스 파워를 인가한 상태에서, C4F8및 C5F8중 어느 하나와, CH2F2, O2및 Ar을 공급하면서 실시하며, 식각 방지막(36)에서 식각이 중지된다. 이때, C4F8또는 C5F8의 공급량은 15 내지 25sccm이고, CH2F2의 공급량은 5 내지 10sccm이며, O2의 공급량은 10 내지 15sccm이고, Ar의 공급량은 400 내지 600sccm이다.CH 2 F and any one of C 4 F 8 and C 5 F 8 while maintaining a pressure of 30 to 50 mTorr in a secondary etching process and applying a source power of 1800 to 2000 Watts and a bias power of 1500 to 1700 Watts. 2 , O 2 and Ar are supplied while the etching is stopped in the etch stop layer 36. At this time, the supply amount of C 4 F 8 or C 5 F 8 is 15 to 25 sccm, the supply amount of CH 2 F 2 is 5 to 10 sccm, the supply amount of O 2 is 10 to 15 sccm, the supply amount of Ar is 400 to 600 sccm.

또한, 비아홀(40a)을 통해 노출된 금속 확산 방지막(34)을 제거하는 식각 공정은 압력을 50 내지 70mTorr로 유지하고 800 내지 1000Watt의 소오스 파워와 200 내지 400Watt의 바이이스 파워를 인가한 상태에서, CHF3, O2및 Ar을 공급하면서 실시하여 제 1 절연막(35)의 식각 손상의 최소화한다. 이때, CHF3의 공급량은 20 내지 30sccm이고, O2의 공급량은 20 내지 35sccm이며, Ar의 공급량은 400 내지 600sccm이다.In addition, in the etching process of removing the metal diffusion barrier 34 exposed through the via hole 40a, the pressure is maintained at 50 to 70 mTorr and the source power of 800 to 1000 Watts and the bias power of 200 to 400 Watts are applied. It is carried out while supplying CHF 3 , O 2 and Ar to minimize the etching damage of the first insulating film 35. At this time, the supply amount of CHF 3 is 20 to 30 sccm, the supply amount of O 2 is 20 to 35 sccm, and the supply amount of Ar is 400 to 600 sccm.

상술한 바와 같이, 본 발명은 반사 방지막에 제거된 영역에 절연막을 보충 형성하여 식각 공정의 마진을 확보하면서 듀얼 다마신 패턴이 형성되는 절연막에 식각 손상이 발생되는 것을 최소화하여 듀얼 다마신 패턴의 프로파일을 향상시키고, 금속 배선을 형성하는 공정이 안정적으로 실시될 수 있도록 함으로써 공정의 신뢰성 및 소자의 전기적 특성을 향상시킨다.As described above, the present invention provides a profile of the dual damascene pattern by minimizing the occurrence of etching damage on the insulating layer on which the dual damascene pattern is formed while supplementing the insulating layer in the region removed from the anti-reflection layer to secure the margin of the etching process. In addition, the process of forming the metal wiring can be performed stably, thereby improving the reliability of the process and the electrical characteristics of the device.

Claims (7)

하부 금속 배선 상부의 층간 절연막에 비아홀을 형성한 후 후속의 트렌치 식각 과정에서 발생되는 식각 손상을 보상하기 위하여 노출된 층간 절연막의 표면에 절연막을 추가로 증착하는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성 방법.Forming a via hole in the interlayer insulating film above the lower metal wiring, and further depositing an insulating film on the exposed surface of the interlayer insulating film to compensate for etch damage caused by the subsequent trench etching process. Pattern formation method. 제 1 항에 있어서,The method of claim 1, 상기 절연막은 SiOF로 형성되는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성 방법.The insulating film is a method of forming a dual damascene pattern of a semiconductor device, characterized in that formed of SiOF. 제 1 항 또는 제 2 항에 있어서The method according to claim 1 or 2 상기 절연막은 LPD법으로 형성되어 상기 층간 절연막의 노출된 표면에만 선택적으로 형성되는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성 방법.And the insulating film is formed by an LPD method and is selectively formed only on an exposed surface of the interlayer insulating film. 금속 배선이 형성되고 전체 상부에 금속 확산 방지막, 제 1 절연막, 식각 방지막, 제 2 절연막 및 제 1 반사 방지막이 순차적으로 형성된 반도체 기판이 제공되는 단계와,Providing a semiconductor substrate having a metal wiring formed thereon and having a metal diffusion barrier, a first insulating film, an etch stop, a second insulating film, and a first antireflection film sequentially formed over the entire metal layer; 상기 금속 확산 방지막을 노출시키는 비아홀이 형성되는 단계와,Forming a via hole exposing the metal diffusion barrier layer; 상기 제 1 반사 방지막이 제거되고, 상기 제 2 절연막 상부에 제 2 반사 방지막이 형성되면서 상기 비아홀에는 상기 제 2 반사 방지막이 매립되는 단계와,Removing the first anti-reflection film, and forming a second anti-reflection film on the second insulating film to fill the via hole with the second anti-reflection film; 상기 비아홀에 형성된 제 2 반사 방지막이 일부 제거되는 단계와,Partially removing the second anti-reflection film formed on the via hole; 상기 제 1 및 제 2 절연막의 노출된 표면에 제 3 절연막을 형성하는 단계와,Forming a third insulating film on the exposed surfaces of the first and second insulating films, 소정 영역의 상기 제 2 절연막이 제거되어 트렌치가 형성되는 단계와,Forming a trench by removing the second insulating film in a predetermined region; 상기 비아홀에 형성된 상기 제 2 반사 방지막을 제거하고, 상기 금속 배선이 노출되도록 금속 확산 방지막을 제거하는 단계로 이루어지는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성 방법.Removing the second anti-reflection film formed in the via hole, and removing the metal diffusion prevention film so that the metal wiring is exposed. 제 4 항에 있어서,The method of claim 4, wherein 상기 제 3 절연막은 SiOF로 형성되는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성 방법.The third insulating film is a method of forming a dual damascene pattern of the semiconductor device, characterized in that formed of SiOF. 제 4 항 또는 제 5 항에 있어서The method according to claim 4 or 5 상기 제 3 절연막은 LPD법으로 형성되어 상기 층간 절연막의 노출된 표면에만 선택적으로 형성되는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성 방법.And the third insulating film is formed by the LPD method and selectively formed only on the exposed surface of the interlayer insulating film. 제 4 항에 있어서,The method of claim 4, wherein 상기 트렌치를 형성하기 위한 상기 제 2 절연막의 식각 공정은 상기 제 2 절연막을 1500 내지 2000Å 정도 식각하는 1차 식각 공정과, 상기 식각 방지막에서 식각이 종료되는 2차 식각 공정으로 진행되는 것을 특징으로 하는 반도체 소자의 듀얼 다마신 패턴 형성 방법.The etching process of the second insulating film for forming the trench may include a first etching process of etching the second insulating film about 1500 to 2000 내지, and a second etching process of etching of the etching prevention film. Dual damascene pattern formation method of a semiconductor device.
KR10-2001-0088005A 2001-12-29 2001-12-29 Method of forming a dual damascene pattern in a semiconductor device KR100412195B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2001-0088005A KR100412195B1 (en) 2001-12-29 2001-12-29 Method of forming a dual damascene pattern in a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2001-0088005A KR100412195B1 (en) 2001-12-29 2001-12-29 Method of forming a dual damascene pattern in a semiconductor device

Publications (2)

Publication Number Publication Date
KR20030057902A true KR20030057902A (en) 2003-07-07
KR100412195B1 KR100412195B1 (en) 2003-12-24

Family

ID=32215659

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0088005A KR100412195B1 (en) 2001-12-29 2001-12-29 Method of forming a dual damascene pattern in a semiconductor device

Country Status (1)

Country Link
KR (1) KR100412195B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101005738B1 (en) * 2003-07-11 2011-01-06 매그나칩 반도체 유한회사 Method for forming a dual damascene pattern in semiconductor device

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101152261B1 (en) 2004-01-09 2012-06-08 매그나칩 반도체 유한회사 Method of forming a dual damascene pattern in a semiconductor device
KR101107226B1 (en) * 2004-02-06 2012-01-25 매그나칩 반도체 유한회사 Method of forming metal line in semiconductor devices
KR101084633B1 (en) * 2004-12-29 2011-11-17 매그나칩 반도체 유한회사 Method for forming metal line of semiconductor device
US7816271B2 (en) 2007-07-14 2010-10-19 Samsung Electronics Co., Ltd. Methods for forming contacts for dual stress liner CMOS semiconductor devices
KR101113768B1 (en) 2008-07-17 2012-02-27 주식회사 하이닉스반도체 Method for manufacturing semiconductor device using dual damascene process

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010047961A (en) * 1999-11-24 2001-06-15 윤종용 method to shape line first dual damascene pattern use the oxide mask
KR100522761B1 (en) * 1999-12-30 2005-10-21 주식회사 하이닉스반도체 Method for forming pattern of semiconductor memory device by using dual damascene
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
KR100430472B1 (en) * 2001-07-12 2004-05-10 삼성전자주식회사 Method for forming wiring using dual damacine process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101005738B1 (en) * 2003-07-11 2011-01-06 매그나칩 반도체 유한회사 Method for forming a dual damascene pattern in semiconductor device

Also Published As

Publication number Publication date
KR100412195B1 (en) 2003-12-24

Similar Documents

Publication Publication Date Title
US5920796A (en) In-situ etch of BARC layer during formation of local interconnects
US11488857B2 (en) Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process
US6812133B2 (en) Fabrication method of semiconductor device
KR100412195B1 (en) Method of forming a dual damascene pattern in a semiconductor device
US6236091B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
CN109755175B (en) Interconnect structure and method of forming the same
JP2005005697A (en) Manufacturing method of semiconductor device
JP2004006708A (en) Method for manufacturing semiconductor device
US6225216B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6060404A (en) In-situ deposition of stop layer and dielectric layer during formation of local interconnects
US5930670A (en) Method of forming a tungsten plug of a semiconductor device
KR100598294B1 (en) Method for forming copper line using dual damascene
US20240371688A1 (en) Semiconductor device with doped region dielectric layer
US12051619B2 (en) Semiconductor device and method of manufacture
US12136566B2 (en) Semiconductor device and method of manufacture
KR101028811B1 (en) Method of forming a dual damascene pattern in a semiconductor device
CN113097060B (en) Semiconductor structure and forming method thereof
KR100447322B1 (en) Method of forming a metal line in semiconductor device
KR20070049345A (en) Method of manufacturing semiconductor device
JP2001110792A (en) Method for forming semiconductor element by etching insulation layer
KR19980029383A (en) Manufacturing Method of Semiconductor Device
KR100255007B1 (en) Method of etching inter layer dielectric
KR100460745B1 (en) Method of forming a dual damascene pattern
KR100552835B1 (en) Method of forming metal plug of semiconductor device
KR100192184B1 (en) Method of manufacturing contact plug

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee