KR100833882B1 - Apparatus for processing a substrate and method of maintaining the same - Google Patents

Apparatus for processing a substrate and method of maintaining the same Download PDF

Info

Publication number
KR100833882B1
KR100833882B1 KR1020060135929A KR20060135929A KR100833882B1 KR 100833882 B1 KR100833882 B1 KR 100833882B1 KR 1020060135929 A KR1020060135929 A KR 1020060135929A KR 20060135929 A KR20060135929 A KR 20060135929A KR 100833882 B1 KR100833882 B1 KR 100833882B1
Authority
KR
South Korea
Prior art keywords
transfer
transfer module
substrate
modules
load lock
Prior art date
Application number
KR1020060135929A
Other languages
Korean (ko)
Inventor
문상민
이승배
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020060135929A priority Critical patent/KR100833882B1/en
Application granted granted Critical
Publication of KR100833882B1 publication Critical patent/KR100833882B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Abstract

A substrate treatment apparatus is provided to improve productivity without shutting down the entire system even when a failure occurs in one transfer module. A substrate treatment apparatus includes load lock chambers, a plurality of transfer modules(310,320,330), connecting members(500), and process chambers(400). The transfer modules are communicated with the load lock chambers to introduce or discharge substrates into and from the process chambers and are arranged in a row from one side of the load lock chambers. The connecting members separably connect the transfer modules. The process chambers are communicated with the transfer modules respectively to treat the substrates transferred from the transfer modules.

Description

기판 처리 장치 및 기판 처리 장치의 정비 방법{Apparatus for Processing A Substrate and Method of Maintaining The Same}Apparatus for Processing A Substrate and Method of Maintaining The Same}

도 1은 종래의 반도체 제조 장치를 나타내는 평면도이다.1 is a plan view showing a conventional semiconductor manufacturing apparatus.

도 2는 본 발명의 일실시예에 따른 기판 처리 장치를 나타내는 평면도이다.2 is a plan view illustrating a substrate processing apparatus according to an embodiment of the present invention.

도 3은 본 발명의 다른 실시예에 따른 기판 처리 장치의 정비 방법을 나타내는 평면도이다. 3 is a plan view illustrating a maintenance method of a substrate processing apparatus according to another exemplary embodiment of the present disclosure.

도 4는 본 발명의 다른 실시예에 따른 기판 처리 장치의 정비 방법을 나타내는 순서도이다.4 is a flowchart illustrating a maintenance method of a substrate processing apparatus according to another embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

10 : 반도체 제조 장치 15 : 로드 포트10: semiconductor manufacturing apparatus 15: load port

20 : 기판 전달 모듈 30 : 로드락 챔버20: substrate transfer module 30: load lock chamber

40, 400 : 공정 챔버 50 : 트랜스퍼 모듈40, 400: process chamber 50: transfer module

51 : 버퍼 공간 55 : 이송 로봇51: buffer space 55: transfer robot

100 : 기판 처리 장치 150 : 기판 이송 모듈100: substrate processing apparatus 150: substrate transfer module

160 : 로드 포트 170 : 웨이퍼 용기160: load port 170: wafer container

180 : 전달 로봇 200 : 로드락 챔버180: transfer robot 200: load lock chamber

210 : 로딩 챔버 220 : 언로딩 챔버210: loading chamber 220: unloading chamber

310 : 제1 트랜스퍼 모듈 315 : 제1 이송 로봇310: first transfer module 315: first transfer robot

320 : 제2 트랜스퍼 모듈 325 : 제2 이송 로봇320: second transfer module 325: second transfer robot

330 : 제3 트랜스퍼 모듈 335 : 제3 이송 로봇330: third transfer module 335: third transfer robot

410 : 제1 공정 챔버 411 : 제1 게이트 밸브410: first process chamber 411: first gate valve

420 : 제2 공정 챔버 421 : 제2 게이트 밸브420: second process chamber 421: second gate valve

430 : 제3 공정 챔버 431 : 제3 게이트 밸브430: third process chamber 431: third gate valve

500 : 연결 부재500: connecting member

본 발명은 기판 처리 장치 및 기판 처리 장치의 정비 방법에 관한 것으로, 보다 상세하게는 분리 가능하게 연결되는 복수의 트랜스퍼 모듈들을 갖는 기판 처리 장치 및 기판 처리 장치의 정비 방법에 관한 것이다.The present invention relates to a substrate processing apparatus and a maintenance method of the substrate processing apparatus, and more particularly, to a substrate processing apparatus having a plurality of transfer modules detachably connected and a maintenance method of the substrate processing apparatus.

일반적으로 반도체 장치는 반도체 웨이퍼으로 사용되는 실리콘웨이퍼 상에 전기 소자들을 포함하는 전기적인 회로를 형성하는 팹(Fab) 공정과, 상기 팹 공정에서 형성된 반도체 장치들의 전기적인 특성을 검사하기 위한 EDS(electrical die sorting) 공정과, 상기 반도체 장치들을 각각 에폭시 수지로 봉지하고 개별화시키기 위한 패키지 조립 공정을 통해 제조된다.In general, a semiconductor device includes a Fab process for forming an electrical circuit including electrical elements on a silicon wafer used as a semiconductor wafer, and an EDS (electrical) for inspecting electrical characteristics of the semiconductor devices formed in the fab process. die sorting) and a package assembly process for encapsulating and individualizing the semiconductor devices with an epoxy resin.

상기 팹 공정은 웨이퍼 상에 막을 형성하기 위한 증착 공정과, 상기 막을 평탄화하기 위한 화학적 기계적 연마 공정과, 상기 막 상에 포토레지스트 패턴을 형 성하기 위한 포토리소그래피 공정과, 상기 포토레지스트 패턴을 이용하여 상기 막을 전기적인 특성을 갖는 패턴으로 형성하기 위한 식각 공정과, 웨이퍼의 소정 영역에 특정 이온을 주입하기 위한 이온 주입 공정과, 웨이퍼 상의 불순물을 제거하기 위한 세정 공정과, 상기 막 또는 패턴이 형성된 웨이퍼의 표면을 검사하기 위한 검사 공정 등을 포함한다.The fab process includes a deposition process for forming a film on a wafer, a chemical mechanical polishing process for planarizing the film, a photolithography process for forming a photoresist pattern on the film, and the photoresist pattern. An etching process for forming the film into a pattern having electrical characteristics, an ion implantation process for implanting specific ions into a predetermined region of the wafer, a cleaning process for removing impurities on the wafer, and a wafer on which the film or pattern is formed Inspection process for inspecting the surface of the substrate, and the like.

각각의 공정에서 웨이퍼는 해당 공정의 진행에 최적의 조건을 제공하는 공정 챔버에 장착되어 처리된다. 최근 반도체 장치의 미세화와 고집적화에 따라 공정의 고정밀화, 복잡화, 기판의 대구경화 등이 요구되고 있으며, 복합 공정의 증가에 수반되는 생산성의 향상이라는 관점에서 반도체 장치는 복수 개의 공정 챔버들 내에서 제조된다. 특히, 반도체 장치의 제조 공정을 일괄 처리할 수 있는 클러스터 타입의 반도체 제조 장치가 널리 사용된다.In each process, the wafer is mounted and processed in a process chamber that provides optimum conditions for the progress of the process. In recent years, as semiconductor devices have been miniaturized and highly integrated, high precision, complexity, and large diameter of substrates have been required, and semiconductor devices are manufactured in a plurality of process chambers in view of the improvement in productivity associated with the increase in complex processes. do. In particular, a cluster type semiconductor manufacturing apparatus capable of collectively processing a semiconductor device manufacturing process is widely used.

도 1은 종래의 반도체 제조 장치를 나타내는 평면도이다.1 is a plan view showing a conventional semiconductor manufacturing apparatus.

도 1을 참조하면, 종래의 반도체 제조 장치(10)는 기판이 적재된 용기가 놓여지는 로드 포트(15), 로드 포트(15)에 인접 배치되어 용기에 기판을 반출입하는 기판 전달 모듈(20), 기판 전달 모듈(20)로부터 기판을 전달받는 로드락 챔버(30), 기판을 처리하는 다수의 공정 챔버(40)들 및 로드락 챔버(30)와 공정 챔버(40)의 사이에 배치되어 공정 챔버(40)들 간 또는 공정 챔버(40)들과 로드락 챔버(30) 간에 기판을 이송하는 트랜스퍼 모듈(50)을 포함한다.Referring to FIG. 1, a conventional semiconductor manufacturing apparatus 10 includes a load port 15 in which a container on which a substrate is loaded is placed, and a substrate transfer module 20 arranged to be adjacent to the load port 15 to carry the substrate in and out of the container. The load lock chamber 30 receives the substrate from the substrate transfer module 20, a plurality of process chambers 40 for processing the substrate, and is disposed between the load lock chamber 30 and the process chamber 40. Transfer module 50 for transferring the substrate between the chambers 40 or between the process chambers 40 and the load lock chamber 30.

상기 트랜스퍼 모듈(50)은 기판을 이송하기 위한 이송 로봇(55)을 포함하고, 트랜스퍼 모듈(50)은 다각형 형상을 갖으며 각각의 다각면에는 공정 챔버(40)들이 연결된다. 또한, 상기 트랜스퍼 모듈(50)이 복수개의 이송 로봇(55)을 가질 수 있고, 상기 이송 로봇(55) 사이에는 일종의 버퍼(buffer) 공간(51)이 형성될 수 있다.The transfer module 50 includes a transfer robot 55 for transferring a substrate. The transfer module 50 has a polygonal shape and process chambers 40 are connected to each of the polygonal surfaces. In addition, the transfer module 50 may have a plurality of transfer robots 55, and a kind of buffer space 51 may be formed between the transfer robots 55.

종래의 반도체 제조 장치는 클러스터 타입과 같이 상기 트랜스퍼 모듈과 상기 공정 챔버들이 일체로 형성된다. 그러므로, 상기 트랜스퍼 모듈의 작동에 이상이 있는 경우, 전체 시스템을 shut-down하여 수리(maintenance)를 하여야 한다. 즉, 각각의 공정 챔버(40)들은 상기 트랜스퍼 모듈에 종속되어 결합되어 있으므로, 트랜스퍼 모듈(40)만 수리가 필요한 경우에도 전체 시스템을 정지하여야 하는 문제점이 있다.  In the conventional semiconductor manufacturing apparatus, the transfer module and the process chambers are integrally formed like a cluster type. Therefore, if there is an error in the operation of the transfer module, the whole system should be shut down for maintenance. That is, since each process chamber 40 is dependently coupled to the transfer module, even if only the transfer module 40 needs to be repaired, there is a problem that the entire system must be stopped.

본 발명의 목적을 분리 가능하게 연결되는 복수의 트랜스퍼 모듈들을 포함하는 기판 처리 장치를 제공하는 데 있다.It is an object of the present invention to provide a substrate processing apparatus including a plurality of transfer modules that are detachably connected.

본 발명의 다른 목적은 정비가 필요한 트랜스퍼 모듈만을 분리하여 정비하는 동안 나머지 트랜스퍼 모듈들을 서로 연결하여 계속하여 공정을 진행할 수 있는 기판 처리 장치의 정비 방법을 제공하는 데 있다. Another object of the present invention is to provide a maintenance method of a substrate processing apparatus that can continue the process by connecting the remaining transfer modules to each other while maintaining only the transfer module requiring maintenance.

상기 본 발명의 목적을 달성하기 위해 본 발명에 따른 기판 처리 장치는 로드락 챔버, 상기 로드락 챔버와 연통된 복수의 트랜스퍼 모듈들, 상기 트랜스퍼 모듈들을 분리 가능하게 연결시키는 연결 부재, 및 상기 트랜스퍼 모듈과 각각 연통되어 상기 트랜스퍼 모듈로부터 이송되는 기판을 처리하는 공정 챔버를 포함한다. 이 경우에 있어서, 상기 연결부재는 슬롯 밸브를 포함할 수 있다. In order to achieve the object of the present invention, a substrate processing apparatus according to the present invention includes a load lock chamber, a plurality of transfer modules in communication with the load lock chamber, a connecting member detachably connecting the transfer modules, and the transfer module. And a process chamber in communication with each other to process the substrate transferred from the transfer module. In this case, the connecting member may include a slot valve.

상기 본 발명의 다른 목적을 달성하기 위해 본 발명에 따른 기판 처리 장치의 정비 방법은 기판을 처리하기 위하여 공정 챔버가 각각 연통된 복수의 트랜스퍼 모듈들을 일렬로 서로 연결시키는 단계, 상기 기판을 처리하는 동안 정비(maintenance)가 필요한 트랜스퍼 모듈을 분리하는 단계 및 상기 분리된 트랜스퍼 모듈과 인접 배치된 트랜스퍼 모듈들을 서로 연결하는 단계를 포함한다.In order to achieve the another object of the present invention, a method of servicing a substrate processing apparatus according to the present invention comprises the steps of connecting a plurality of transfer modules, each in communication with a process chamber, in series to process a substrate, while processing the substrate. Separating the transfer module requiring maintenance and connecting the separated transfer module and adjacent transfer modules to each other.

본 발명의 일실시예에 따르면, 상기 분리된 트랜스퍼 모듈을 정비하는 단계 및 상기 정비된 트랜스퍼 모듈을 일렬로 배치된 상기 트랜스퍼 모듈들과 연결시키는 단계를 더 포함할 수 있다. According to an embodiment of the present invention, the method may further include maintaining the separated transfer module and connecting the maintained transfer module with the transfer modules arranged in a line.

이와 같이 구성된 본 발명에 따른 기판 처리 장치는 분리 가능하게 연결되는 복수의 트랜스퍼 모듈들을 포함함으로써, 정비가 필요한 트랜스퍼 모듈만을 분리하고 나머지 트랜스퍼 모듈들을 서로 연결하여 계속하여 공정을 진행할 수 있다. 이리하여, 하나의 트랜스퍼 모듈에 고장이 발생하더라도 전체 시스템을 shut-down하지 않고 계속하여 공정을 진행할 수 있게되어 생산성을 향상시킬 수 있게된다. The substrate processing apparatus according to the present invention configured as described above includes a plurality of transfer modules detachably connected, so that only a transfer module requiring maintenance may be separated and the remaining transfer modules may be connected to each other to continue the process. Thus, even if a single transfer module fails, productivity can be improved by continuing the process without shutting down the entire system.

이하, 첨부한 도면을 참조하여 본 발명의 실시예에 따른 기판 처리 장치 및 기판 처리 장치의 정비 방법에 대해 상세히 설명한다. 본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. 각 도면을 설명하면서 유 사한 참조부호를 유사한 구성요소에 대해 사용하였다. 첨부된 도면에 있어서, 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. Hereinafter, with reference to the accompanying drawings will be described in detail a substrate processing apparatus and a maintenance method of the substrate processing apparatus according to an embodiment of the present invention. As the inventive concept allows for various changes and numerous embodiments, particular embodiments will be illustrated in the drawings and described in detail in the text. However, this is not intended to limit the present invention to the specific disclosed form, it should be understood to include all modifications, equivalents, and substitutes included in the spirit and scope of the present invention. In describing the drawings, similar reference numerals are used for similar elements. In the accompanying drawings, the dimensions of the structures are shown in an enlarged scale than actual for clarity of the invention.

제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다. Terms such as first and second may be used to describe various components, but the components should not be limited by the terms. The terms are used only for the purpose of distinguishing one component from another. For example, without departing from the scope of the present invention, the first component may be referred to as the second component, and similarly, the second component may also be referred to as the first component.

본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.The terminology used herein is for the purpose of describing particular example embodiments only and is not intended to be limiting of the present invention. Singular expressions include plural expressions unless the context clearly indicates otherwise. In this application, the terms "comprise" or "have" are intended to indicate that there is a feature, number, operation, component, part, or combination thereof described on the specification, but one or more other features or numbers. It is to be understood that the present invention does not exclude, in advance, the possibility of the presence or the addition of an operation, a component, a part, or a combination thereof.

다르게 정의되지 않는 한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가지고 있다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥 상 가지는 의미와 일치하는 의미를 가지는 것으로 해석되어야 하며, 본 출원에서 명백하게 정의하지 않 는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다. Unless defined otherwise, all terms used herein, including technical or scientific terms, have the same meaning as commonly understood by one of ordinary skill in the art. Terms such as those defined in the commonly used dictionaries should be construed as having meanings consistent with the meanings in the context of the related art, and, unless expressly defined in this application, are construed in ideal or excessively formal meanings. It doesn't work.

도 2는 본 발명의 일실시예에 따른 기판 처리 장치를 나타내는 평면도이다. 2 is a plan view illustrating a substrate processing apparatus according to an embodiment of the present invention.

도 2를 참조하면, 본 발명의 일실시예에 따른 기판 처리 장치(100)는 로드락 챔버(200), 복수의 트랜스퍼 모듈들(310, 320, 330), 상기 트랜스퍼 모듈들을 분리 가능하게 연결시키는 연결 부재(500) 및 상기 트랜스퍼 모듈과 각각 연통되는 복수의 공정 챔버(400)들을 포함한다.Referring to FIG. 2, the substrate processing apparatus 100 according to an embodiment of the present invention may detachably connect the load lock chamber 200, the plurality of transfer modules 310, 320, and 330, and the transfer modules. It includes a connection member 500 and a plurality of process chambers 400 in communication with the transfer module, respectively.

복수의 트랜스퍼 모듈들(310, 320, 330)은 제1 트랜스퍼 모듈(310), 제 2 트랜스퍼 모듈(320) 및 제3 트랜스퍼 모듈(330)을 포함한다. 상기 제1 트랜스퍼 모듈(310)은 상기 제2 트랜스퍼 모듈(320)과 연결되고, 상기 제2 트랜스퍼 모듈(320)은 상기 제3 트랜스퍼 모듈(330)과 연결된다. 본 발명의 일실시예에 따르면, 복수의 트랜스퍼 모듈들은 일렬로 배치될 수 있다. The plurality of transfer modules 310, 320, and 330 include a first transfer module 310, a second transfer module 320, and a third transfer module 330. The first transfer module 310 is connected to the second transfer module 320, and the second transfer module 320 is connected to the third transfer module 330. According to an embodiment of the present invention, the plurality of transfer modules may be arranged in a line.

상기 로드락 챔버(200)는 상기 제1 트랜스퍼 모듈(310)의 일측과 인접하게 배치되여 연결된다. 또한, 상기 로드락 챔버(200)는 EFEM(Equipment Front End Module)과 같은 기판 이송 모듈(150)과 연결된다.The load lock chamber 200 is disposed adjacent to and connected to one side of the first transfer module 310. In addition, the load lock chamber 200 is connected to a substrate transfer module 150 such as an equipment front end module (EFEM).

상기 기판 이송 모듈(150)의 일측에는 다수개의 로드 포트(160)들이 형성되고, 상기 로드 포트(160)에는 웨이퍼와 같은 기판들이 적재된 웨이퍼 용기(170)가 배치된다. 예를 들면, 상기 웨이퍼 용기(170)로는 복수 개의 슬롯(slot)이 구비된 캐리어와 상기 캐리어를 적재한 상태로 이송하는 캐리어 박스 등과 같은 이송 도구를 통합한 전면 개방 통합형 포드(FOUP:Front Opening Unified Pod)가 이용될 수 있다.A plurality of load ports 160 are formed at one side of the substrate transfer module 150, and a wafer container 170 in which substrates such as a wafer are loaded is disposed at the load port 160. For example, the wafer container 170 includes a front opening integrated pod (FOUP) incorporating a carrier having a plurality of slots and a transfer tool such as a carrier box for transporting the carrier in a stacked state. Pod) can be used.

상기 FOUP를 상기 기판 이송 모듈(150)의 로드 포트(160) 위에 적재하고, 기판을 한 매씩 상기 기판 이송 모듈(150)을 통해 상기 로드락 챔버(200)로 이송한다. 구체적으로, 상기 기판 이송 모듈(150)은 전달 로봇(180)을 포함하고, 상기 전달 로봇을 통해 FOUP 내의 기판은 약 10-3 torr의 저진공 상태로 유지되는 로드락 챔버에 로딩된다.The FOUP is loaded on the load port 160 of the substrate transfer module 150, and the substrate is transferred to the load lock chamber 200 through the substrate transfer module 150 one by one. Specifically, the substrate transfer module 150 includes a transfer robot 180, through which the substrate in the FOUP is loaded into a load lock chamber maintained at a low vacuum of about 10 −3 torr.

로드락 챔버(200)는 공정 챔버(400)들로 이송되는 기판들이 임시적으로 놓이는 로딩 챔버(210)와 공정이 완료되어 공정 챔버(400)들로부터 전달받은 기판들이 임시적으로 놓이는 언로딩 챔버(220)를 포함한다. 기판이 로드락 챔버(200) 내로 이송되면, 컨트롤러(도시되지 않음)가 로드락 챔버(200)의 내부를 감압하여 초기 저진공 상태로 만들고, 이를 통해 외부 물질이 상기 공정 챔버들 및 상기 트랜스퍼 모듈들로 유입되는 것을 방지할 수 있다.The load lock chamber 200 includes a loading chamber 210 in which substrates transferred to the process chambers 400 are temporarily placed, and an unloading chamber 220 in which substrates received from the process chambers 400 are temporarily placed after the process is completed. ). When the substrate is transferred into the load lock chamber 200, a controller (not shown) depressurizes the interior of the load lock chamber 200 to an initial low vacuum state, whereby an external material is transferred to the process chambers and the transfer module. Can be prevented from entering.

상기 제1 트랜스퍼 모듈(310)은 상기 로드락 챔버의 일측에 배치된다. 본 발명의 일실시예에 따르면, 상기 제1 트랜스퍼 모듈(310)의 양측에는 제1 공정 챔버들(410)이 각각 배치되고, 제1 게이트 밸브(411)를 통해 상기 제1 트랜스퍼 모듈(310)과 서로 연통될 수 있다. The first transfer module 310 is disposed on one side of the load lock chamber. According to an embodiment of the present invention, first process chambers 410 are disposed at both sides of the first transfer module 310, and the first transfer module 310 is disposed through a first gate valve 411. Can communicate with each other.

상기 제2 트랜스퍼 모듈(320)은 상기 제1 트랜스퍼 모듈(310)의 일측에 배치된다. 본 발명의 일실시예에 따르면, 상기 제2 트랜스퍼 모듈(320)의 양측에는 제2 공정 챔버들(420)이 각각 배치되고, 제2 게이트 밸브(421)를 통해 상기 제2 트랜스퍼 모듈(320)과 서로 연통될 수 있다.The second transfer module 320 is disposed on one side of the first transfer module 310. According to an embodiment of the present invention, second process chambers 420 are disposed at both sides of the second transfer module 320, respectively, and the second transfer module 320 is provided through a second gate valve 421. Can communicate with each other.

상기 제3 트랜스퍼 모듈(330)은 상기 제2 트랜스퍼 모듈(320)의 일측에 배치된다. 본 발명의 일실시예에 따르면, 상기 제3 트랜스퍼 모듈(330)의 양측에는 제3 공정 챔버들(430)이 각각 배치되고, 제3 게이트 밸브(431)를 통해 상기 제3 트랜스퍼 모듈(430)과 서로 연통될 수 있다.The third transfer module 330 is disposed on one side of the second transfer module 320. According to an embodiment of the present invention, third process chambers 430 are disposed at both sides of the third transfer module 330, respectively, and the third transfer module 430 is provided through a third gate valve 431. Can communicate with each other.

상기 제1 트랜스퍼 모듈(310)은 상기 제2 트랜스퍼 모듈(320)과 연결 부재(500)를 통해 서로 분리 가능하게 연결될 수 있다. 예를 들면, 상기 연결 부재는 슬롯 밸브를 포함할 수 있다. 상기 슬롯 밸브를 통해 채널이 형성되고, 상기 채널을 통해 기판이 이송된다. 또한, 상기 제2 트랜스퍼 모듈(320)은 상기 제3 트랜스퍼 모듈(33)과 슬롯 밸브를 통해 서로 분리 가능하게 연결될 수 있다.The first transfer module 310 may be detachably connected to each other through the second transfer module 320 and the connection member 500. For example, the connecting member may comprise a slot valve. A channel is formed through the slot valve, and the substrate is transferred through the channel. In addition, the second transfer module 320 may be detachably connected to each other through the third transfer module 33 and a slot valve.

상기 제1, 제2 및 제3 트랜스퍼 모듈들(310, 320, 330)은 이송 로봇들(315, 325, 335)을 각각 포함한다. 구체적으로, 상기 제1 트랜스퍼 모듈의 제1 이송 로봇(315)은 로드락 챔버(200)로부터 제1 공정 챔버(410)로 또는 역으로 기판을 이송하고, 상기 제2 트랜스퍼 모듈의 제2 이송 로봇(325)과 기판을 교환한다.The first, second and third transfer modules 310, 320, 330 include transfer robots 315, 325, 335, respectively. Specifically, the first transfer robot 315 of the first transfer module transfers the substrate from the load lock chamber 200 to the first process chamber 410 or vice versa, and the second transfer robot of the second transfer module. 325 and the substrate is replaced.

상기 제2 트랜스퍼 모듈의 제2 이송 로봇(325)은 제1 트랜스퍼 모듈(310)로부터 제2 공정 챔버(420)로 또는 역으로 기판을 이송하고, 상기 제3 트랜스퍼 모듈의 제3 이송 로봇(335)과 기판을 교환한다.The second transfer robot 325 of the second transfer module transfers the substrate from the first transfer module 310 to the second process chamber 420 or vice versa, and the third transfer robot 335 of the third transfer module. ) And board.

또한, 상기 제3 트랜스퍼 모듈의 제3 이송 로봇(335)은 제2 트랜스퍼 모듈(320)로부터 제3 공정 챔버(430)로 또는 역으로 기판을 이송한다.In addition, the third transfer robot 335 of the third transfer module transfers the substrate from the second transfer module 320 to the third process chamber 430 or vice versa.

상기 제3 트랜스퍼 모듈의 일측에 제4 트랜스퍼 모듈(도시되지 않음)이 배치될 경우, 상기 슬롯 밸브로 통해 서로 분리 가능하게 연결될 수 있다.When a fourth transfer module (not shown) is disposed on one side of the third transfer module, the fourth transfer module may be detachably connected to each other through the slot valve.

상기 공정 챔버들(400)은 다양한 기판 공정을 수행하는 다수의 챔버들로 구성될 수 있다. 예를 들면, 공정 챔버들(400)은 기판 상에 물질막의 증착을 위해 반응 가스들을 공급하도록 구성된 화학 기상 증착(CVD) 챔버, 증착된 물질막의 식각을 위해 가스를 공급하도록 구성된 식각 챔버 또는 사진 공정 후 기판 상에 남아 있는 감광막 층을 제거하도록 구성된 애싱(ashing) 챔버 등을 포함할 수 있다. The process chambers 400 may be composed of a plurality of chambers for performing various substrate processes. For example, process chambers 400 may include a chemical vapor deposition (CVD) chamber configured to supply reactant gases for deposition of a material film on a substrate, an etching chamber configured to supply gas for etching of the deposited material film, or a photographic process. And an ashing chamber or the like configured to remove the photoresist layer remaining on the substrate.

도 3은 본 발명의 다른 실시예에 따른 기판 처리 장치의 정비 방법을 나타내는 평면도이다. 도 4는 본 발명의 다른 실시예에 따른 기판 처리 장치의 정비 방법을 나타내는 순서도이다. 본 실시예에 따른 정비 방법에 있어서, 기판 처리 장치는 도 2의 실시예의 기판 처리 장치(100)와 실질적으로 동일한 구성요소들을 포함한다. 따라서, 동일한 구성요소들에 대해서는 동일한 참조부호들로 나타내고, 또한 동일한 구성요소들에 대한 반복 설명은 생략한다. 3 is a plan view illustrating a maintenance method of a substrate processing apparatus according to another exemplary embodiment of the present disclosure. 4 is a flowchart illustrating a maintenance method of a substrate processing apparatus according to another embodiment of the present invention. In the maintenance method according to the present embodiment, the substrate processing apparatus includes substantially the same components as the substrate processing apparatus 100 of the embodiment of FIG. Therefore, the same components are denoted by the same reference numerals, and repeated descriptions of the same components are omitted.

도 3 및 도 4를 참조하면, 본 발명에 따른 기판 처리 장치(100)는 제1, 제2 및 제3 트랜스퍼 모듈들(310, 320, 330)을 포함하고, 상기 트랜스퍼 모듈들에는 각각 기판을 처리하기 위한 공정 챔버들(400)이 각각 연통되어 있다. 3 and 4, the substrate processing apparatus 100 according to the present invention includes first, second, and third transfer modules 310, 320, and 330, each of which includes a substrate. Process chambers 400 for processing are each in communication.

구체적으로, 상기 제1 트랜스퍼 모듈(310)의 양측에는 제1 공정 챔버(410)가 각각 연통된다. 상기 제2 트랜트퍼 모듈(320)의 양측에는 제2 공정 챔버(420)가 각각 연통된다. 상기 제3 트랜스퍼 모듈(330)의 양측에는 제3 공정 챔버(430)가 각각 연통된다.In detail, first process chambers 410 communicate with both sides of the first transfer module 310. Second process chambers 420 communicate with both sides of the second transfer module 320. Third process chambers 430 communicate with both sides of the third transfer module 330, respectively.

먼저, 상기 제1 트랜스퍼 모듈(310)을 상기 제2 트랜스퍼 모듈(320)과 연결 부재(500)를 통해 서로 연결시키고, 상기 제2 트랜스퍼 모듈(320)을 상기 제3 트랜 스퍼 모듈(330)과 연결 부재(500)를 통해 서로 연결시킨다(S100).First, the first transfer module 310 is connected to each other through the second transfer module 320 and the connecting member 500, and the second transfer module 320 and the third transfer module 330 It is connected to each other through the connection member 500 (S100).

각각의 공정 챔버에서 기판을 처리하는 동안 상기 제2 트랜스퍼 모듈(320)에서 고장이 발생한 경우, 정비(maintenance)가 필요한 제2 트랜스퍼 모듈(320)을 분리시킨다(S200). When a failure occurs in the second transfer module 320 while processing the substrate in each process chamber, the second transfer module 320 requiring maintenance is separated (S200).

구체적으로, 상기 제2 트랜스퍼 모듈(320)과 인접하는 제1 및 제3 트랜스퍼 모듈들(310, 330) 사이에 설치된 슬롯 밸브를 분리시키고, 상기 제2 트랜스퍼 모듈과 연통된 제2 공정 챔버들(420)도 함께 시스템으로부터 분리된다.Specifically, the second process chambers are separated from the slot valve installed between the second transfer module 320 and the first and third transfer modules 310 and 330 adjacent thereto, and communicated with the second transfer module. 420 is also separated from the system.

이 후, 나머지 제1 및 제3 트랜스퍼 모듈들(310, 330)을 상기 슬롯 밸브를 통해 서로 연결시킨다(S300). 이 때, 전체 시스템은 정지되지 않고, 정상적으로 작동되는 제1 및 제3 트랜스퍼 모듈들(310, 330)을 서로 연결하여 임시적으로 시스템을 계속하여 가동할 수 있다. Thereafter, the remaining first and third transfer modules 310 and 330 are connected to each other through the slot valve (S300). At this time, the entire system is not stopped, and the first and third transfer modules 310 and 330 which are normally operated may be connected to each other to temporarily operate the system.

분리된 제2 트랜스퍼 모듈(320)은 정비되면, 다시 제3 트랜스퍼 모듈()과 상기 슬롯 밸브를 통해 서로 연결된다. 이리하여, 트랜스퍼 모듈들이 서로 연결되어 전체 시스템이 가동될 수 있다. When the separated second transfer module 320 is maintained, the second transfer module 320 is connected to each other again through the third transfer module () and the slot valve. Thus, the transfer modules can be connected to each other to operate the entire system.

상술한 바와 같이, 본 발명의 바람직한 실시예에 따른 기판 처리 장치는 분리 가능하게 연결되는 복수의 트랜스퍼 모듈들을 포함함으로써, 정비가 필요한 트랜스퍼 모듈만을 분리하고 나머지 트랜스퍼 모듈들을 서로 연결하여 계속하여 공정을 진행할 수 있다.As described above, the substrate processing apparatus according to the preferred embodiment of the present invention includes a plurality of transfer modules detachably connected, so that only the transfer modules requiring maintenance are separated and the remaining transfer modules are connected to each other to continue the process. Can be.

이리하여, 하나의 트랜스퍼 모듈에 고장이 발생하더라도 전체 시스템을 shut-down하지 않고 계속하여 공정을 진행할 수 있게되어 생산성을 향상시킬 수 있게된다. Thus, even if a single transfer module fails, productivity can be improved by continuing the process without shutting down the entire system.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.While the foregoing has been described with reference to preferred embodiments of the present invention, those skilled in the art will be able to variously modify and change the present invention without departing from the spirit and scope of the invention as set forth in the claims below. It will be appreciated.

Claims (6)

기판을 처리하기 위하여 공정 챔버들과 각각 연통되어 상기 기판을 상기 공정 챔버 내로/부터 반입/반출하며, 로드락 챔버의 일측으로부터 일렬로 배열된 복수의 트랜스퍼 모듈들을 슬롯 밸브를 통해 일렬로 서로 연결시키는 단계;Respectively communicating with the process chambers to process the substrates, bringing the substrates into and out of the process chambers, and connecting the plurality of transfer modules arranged in a row from one side of the load lock chamber to each other in a line through slot valves. step; 상기 공정 챔버 내에서 상기 기판을 처리하는 동안 상기 트랜스퍼 모듈 중에서 고장이 난 트랜스퍼 모듈을 정비(maintenance)하기 위하여 상기 고장이 난 트랜스퍼 모듈을 상기 슬롯 밸브를 이용하여 분리하는 단계; 및Separating the failed transfer module using the slot valve to maintain the failed transfer module among the transfer modules while processing the substrate in the process chamber; And 상기 분리된 트랜스퍼 모듈과 인접 배치된 트랜스퍼 모듈들을 상기 슬롯 밸브를 통해 서로 연결하여 임시적으로 상기 공정 챔버를 계속하여 가동하는 단계;Connecting the separated transfer module and adjacent transfer modules to each other through the slot valve to temporarily operate the process chamber; 상기 분리된 트랜스퍼 모듈을 정비하는 단계; 및Servicing the separated transfer module; And 상기 정비된 트랜스퍼 모듈을 일렬로 배치된 상기 트랜스퍼 모듈들과 연결하여 전체 시스템을 가동하는 단계를 포함하는 기판 처리 장치의 정비 방법.Connecting the serviced transfer module with the transfer modules arranged in a line to operate the entire system. 삭제delete 삭제delete 로드락 챔버;A load lock chamber; 상기 로드락 챔버와 연통되어 기판을 공정 챔버 내로/부터 반입/반출하며, 상기 로드락 챔버의 일측으로부터 일렬로 배열된 복수의 트랜스퍼 모듈들;A plurality of transfer modules in communication with the load lock chamber to bring the substrate into and out of the process chamber, the plurality of transfer modules arranged in a row from one side of the load lock chamber; 상기 트랜스퍼 모듈들을 분리 가능하게 연결시키는 연결부재; 및A connection member detachably connecting the transfer modules; And 상기 트랜스퍼 모듈과 각각 연통되어 상기 트랜스퍼 모듈로부터 이송되는 기판을 처리하는 공정 챔버를 포함하되,And a process chamber in communication with the transfer module, respectively, for processing a substrate transferred from the transfer module, 상기 연결부재는 슬롯 밸브를 포함하고,The connecting member includes a slot valve, 상기 공정 챔버 내에서 상기 기판을 처리하는 동안 상기 트랜스퍼 모듈들 중에서 고장이 난 트랜스퍼 모듈을 정비하기 위하여 상기 슬롯 밸브를 이용하여 상기 고장이 난 트랜스퍼 모듈을 분리한 후, 상기 분리된 트랜스퍼 모듈과 인접 배치된 트랜스퍼 모듈들을 상기 슬롯 밸브를 통해 서로 연결하여 임시적으로 상기 공정 챔버를 계속하여 가동할 수 있는 것을 특징으로 하는 기판 처리 장치.The faulty transfer module is separated using the slot valve to maintain a failed transfer module among the transfer modules while the substrate is processed in the process chamber, and is disposed adjacent to the separated transfer module. And transfer the plurality of transfer modules to each other through the slot valve to temporarily operate the process chamber. 삭제delete 제 4 항에 있어서, 상기 트랜스퍼 모듈은 인접하는 트랜스퍼 모듈 또는 공정 챔버로 상기 기판을 이송시키는 이송 로봇을 포함하는 것을 특징으로 하는 기판 처리 장치.5. The substrate processing apparatus of claim 4, wherein the transfer module includes a transfer robot for transferring the substrate to an adjacent transfer module or a process chamber.
KR1020060135929A 2006-12-28 2006-12-28 Apparatus for processing a substrate and method of maintaining the same KR100833882B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060135929A KR100833882B1 (en) 2006-12-28 2006-12-28 Apparatus for processing a substrate and method of maintaining the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060135929A KR100833882B1 (en) 2006-12-28 2006-12-28 Apparatus for processing a substrate and method of maintaining the same

Publications (1)

Publication Number Publication Date
KR100833882B1 true KR100833882B1 (en) 2008-06-02

Family

ID=39769666

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060135929A KR100833882B1 (en) 2006-12-28 2006-12-28 Apparatus for processing a substrate and method of maintaining the same

Country Status (1)

Country Link
KR (1) KR100833882B1 (en)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920017209A (en) * 1991-02-06 1992-09-26 오가 노리오 Multi Chamber Process Equipment
KR20010036501A (en) * 1999-10-08 2001-05-07 윤종용 Multi-chamber system for semiconductor processing
JP2003059999A (en) * 2001-08-14 2003-02-28 Tokyo Electron Ltd Treating system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR920017209A (en) * 1991-02-06 1992-09-26 오가 노리오 Multi Chamber Process Equipment
KR20010036501A (en) * 1999-10-08 2001-05-07 윤종용 Multi-chamber system for semiconductor processing
JP2003059999A (en) * 2001-08-14 2003-02-28 Tokyo Electron Ltd Treating system

Similar Documents

Publication Publication Date Title
KR100847888B1 (en) Apparatus for fabricating semiconductor device
US20030152445A1 (en) Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US20220230898A1 (en) Substrate processing apparatus
US20100189532A1 (en) Inline-type wafer conveyance device
KR100819114B1 (en) Substrate transfer robot and substrate processing apparatus including the same
US20220139740A1 (en) Chamber interface for linked processing tools
KR100833882B1 (en) Apparatus for processing a substrate and method of maintaining the same
US11862506B2 (en) Substrate processing system, vacuum substrate transfer module, and substrate transfer method
KR100803562B1 (en) Apparatus for processing a substrate
US11791180B2 (en) Substrate transfer system and load lock module
JP2004304116A (en) Substrate processing apparatus
KR20080062220A (en) Multi-chamber system for etching equipment for manufacturing semiconductor device
KR101043775B1 (en) Loadlock chamber in a semi-conductor manufacturing system.
KR101217516B1 (en) cluster tool
KR100839187B1 (en) Transfer chamber of apparatus for manufacturing semiconductor device and method for transferring substrates in the transfer chamber
KR20080071681A (en) Multi-chamber system for manufacturing semiconductor device
KR20080072238A (en) System for manufacturing semiconductor device
KR20080079779A (en) Multi-chamber system for manufacturing semiconductor device
KR102241600B1 (en) Loadlock chamber and system for treating substrate with the loadlock chamber
KR100781081B1 (en) Substrate transfer equipment and substrate processing system using the same
KR20080060669A (en) Module for carrying a substrate and apparatus for processing a substrate having the same
KR20080071680A (en) Inline system for manufacturing semiconductor device
KR100861782B1 (en) Loadlock chamber and vent method on the same
KR20100054513A (en) Wafer transfer device
KR20230067075A (en) Substrate treating apparatus and semiconductor manufacturing equipment including the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee