KR100803562B1 - Apparatus for processing a substrate - Google Patents

Apparatus for processing a substrate Download PDF

Info

Publication number
KR100803562B1
KR100803562B1 KR1020060135944A KR20060135944A KR100803562B1 KR 100803562 B1 KR100803562 B1 KR 100803562B1 KR 1020060135944 A KR1020060135944 A KR 1020060135944A KR 20060135944 A KR20060135944 A KR 20060135944A KR 100803562 B1 KR100803562 B1 KR 100803562B1
Authority
KR
South Korea
Prior art keywords
substrate
transfer module
transfer
process chamber
cooling
Prior art date
Application number
KR1020060135944A
Other languages
Korean (ko)
Inventor
문상민
이승배
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020060135944A priority Critical patent/KR100803562B1/en
Application granted granted Critical
Publication of KR100803562B1 publication Critical patent/KR100803562B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support

Abstract

A transfer processing apparatus is provided to shorten a time required to heat or cool a substrate by using a temperature controller disposed in a transfer module, thereby improving the productivity. Transfer modules(310,320) are connected with process chambers(410,420) to transfer a substrate to the process chambers. Temperature controllers(510,610) are disposed in the transfer module to adjust a temperature of the substrate carried in or out from the process chamber. The temperature controller includes a preheating unit composed of a heating block for preheating the substrate before the substrate is carried in the process, and a lift pin disposed in the heating block for lifting the substrate, and a cooling unit for cooling the substrate carried out from the process chamber.

Description

기판 처리 장치{Apparatus for Processing A Substrate}Substrate processing apparatus {Apparatus for Processing A Substrate}

도 1은 종래의 반도체 제조 장치를 나타내는 평면도이다.1 is a plan view showing a conventional semiconductor manufacturing apparatus.

도 2는 본 발명의 일실시예에 따른 기판 처리 장치를 나타내는 평면도이다. 도 3은 도 2의 기판 처리 장치의 트랜스퍼 모듈을 나타내는 평면도이다. 2 is a plan view illustrating a substrate processing apparatus according to an embodiment of the present invention. 3 is a plan view illustrating a transfer module of the substrate processing apparatus of FIG. 2.

도 4는 도 2의 기판 처리 장치의 트랜스퍼 모듈을 나타내는 단면도이다.4 is a cross-sectional view illustrating a transfer module of the substrate processing apparatus of FIG. 2.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

10 : 반도체 제조 장치 15 : 로드 포트10: semiconductor manufacturing apparatus 15: load port

20 : 기판 전달 모듈 30 : 로드락 챔버20: substrate transfer module 30: load lock chamber

40 : 공정 챔버 50 : 트랜스퍼 모듈40: process chamber 50: transfer module

51 : 버퍼 공간 55 : 이송 로봇51: buffer space 55: transfer robot

100 : 기판 처리 장치 110 : 기판100 substrate processing apparatus 110 substrate

150 : 기판 이송 모듈 160 : 로드 포트150: substrate transfer module 160: load port

170 : 웨이퍼 용기 180 : 전달 로봇170: wafer container 180: transfer robot

200 : 로드락 챔버 210 : 로딩 챔버200: load lock chamber 210: loading chamber

220 : 언로딩 챔버 310 : 제1 트랜스퍼 모듈 220: unloading chamber 310: first transfer module

315 : 제1 이송 로봇 321 : 기판 지지대 315: first transfer robot 321: substrate support

322 : 수평이동 구동부 323 : 수직이동 구동부322: horizontal moving drive unit 323: vertical moving drive unit

320 : 제2 트랜스퍼 모듈 325 : 제2 이송 로봇320: second transfer module 325: second transfer robot

410 : 제1 공정 챔버 411 : 제1 게이트 밸브410: first process chamber 411: first gate valve

420 : 제2 공정 챔버 421 : 제2 게이트 밸브420: second process chamber 421: second gate valve

500 : 슬롯 밸브 510, 610 : 온도 조절부500: slot valve 510, 610: temperature control unit

520 : 예열부 521 : 히팅 블록520: preheating unit 521: heating block

525, 535 : 리프트 핀 530 : 냉각부525, 535: lift pin 530: cooling unit

531 : 냉각 블록531: Cooling Block

본 발명은 기판 처리 장치에 관한 것으로, 보다 상세하게는 공정 챔버 내로/부터 반입/반출되는 기판의 온도를 조절하는 온도 조절부를 갖는 트랜스퍼 모듈을 포함하는 기판 처리 장치에 관한 것이다.The present invention relates to a substrate processing apparatus, and more particularly, to a substrate processing apparatus including a transfer module having a temperature control unit for controlling the temperature of the substrate to be brought in and out of the process chamber.

일반적으로 반도체 장치는 반도체 웨이퍼으로 사용되는 실리콘웨이퍼 상에 전기 소자들을 포함하는 전기적인 회로를 형성하는 팹(Fab) 공정과, 상기 팹 공정에서 형성된 반도체 장치들의 전기적인 특성을 검사하기 위한 EDS(electrical die sorting) 공정과, 상기 반도체 장치들을 각각 에폭시 수지로 봉지하고 개별화시키기 위한 패키지 조립 공정을 통해 제조된다.In general, a semiconductor device includes a Fab process for forming an electrical circuit including electrical elements on a silicon wafer used as a semiconductor wafer, and an EDS (electrical) for inspecting electrical characteristics of the semiconductor devices formed in the fab process. die sorting) and a package assembly process for encapsulating and individualizing the semiconductor devices with an epoxy resin.

상기 팹 공정은 웨이퍼 상에 막을 형성하기 위한 증착 공정과, 상기 막을 평탄화하기 위한 화학적 기계적 연마 공정과, 상기 막 상에 포토레지스트 패턴을 형 성하기 위한 포토리소그래피 공정과, 상기 포토레지스트 패턴을 이용하여 상기 막을 전기적인 특성을 갖는 패턴으로 형성하기 위한 식각 공정과, 웨이퍼의 소정 영역에 특정 이온을 주입하기 위한 이온 주입 공정과, 웨이퍼 상의 불순물을 제거하기 위한 세정 공정과, 상기 막 또는 패턴이 형성된 웨이퍼의 표면을 검사하기 위한 검사 공정 등을 포함한다.The fab process includes a deposition process for forming a film on a wafer, a chemical mechanical polishing process for planarizing the film, a photolithography process for forming a photoresist pattern on the film, and the photoresist pattern. An etching process for forming the film into a pattern having electrical characteristics, an ion implantation process for implanting specific ions into a predetermined region of the wafer, a cleaning process for removing impurities on the wafer, and a wafer on which the film or pattern is formed Inspection process for inspecting the surface of the substrate, and the like.

각각의 공정에서 웨이퍼는 해당 공정의 진행에 최적의 조건을 제공하는 공정 챔버에 장착되어 처리된다. 최근 반도체 장치의 미세화와 고집적화에 따라 공정의 고정밀화, 복잡화, 기판의 대구경화 등이 요구되고 있으며, 복합 공정의 증가에 수반되는 생산성의 향상이라는 관점에서 반도체 장치는 복수 개의 공정 챔버들 내에서 제조된다. 특히, 반도체 장치의 제조 공정을 일괄 처리할 수 있는 클러스터 타입의 반도체 제조 장치가 널리 사용된다.In each process, the wafer is mounted and processed in a process chamber that provides optimum conditions for the progress of the process. In recent years, as semiconductor devices have been miniaturized and highly integrated, high precision, complexity, and large diameter of substrates have been required, and semiconductor devices are manufactured in a plurality of process chambers in view of the improvement in productivity associated with the increase in complex processes. do. In particular, a cluster type semiconductor manufacturing apparatus capable of collectively processing a semiconductor device manufacturing process is widely used.

도 1은 종래의 반도체 제조 장치를 나타내는 평면도이다.1 is a plan view showing a conventional semiconductor manufacturing apparatus.

도 1을 참조하면, 종래의 반도체 제조 장치(10)는 기판이 적재된 용기가 놓여지는 로드 포트(15), 로드 포트(15)에 인접 배치되어 용기에 기판을 반출입하는 기판 전달 모듈(20), 기판 전달 모듈(20)로부터 기판을 전달받는 로드락 챔버(30), 기판을 처리하는 다수의 공정 챔버(40)들 및 로드락 챔버(30)와 공정 챔버(40)의 사이에 배치되어 공정 챔버(40)들 간 또는 공정 챔버(40)들과 로드락 챔버(30) 간에 기판을 이송하는 트랜스퍼 모듈(50)을 포함한다.Referring to FIG. 1, a conventional semiconductor manufacturing apparatus 10 includes a load port 15 in which a container on which a substrate is loaded is placed, and a substrate transfer module 20 arranged to be adjacent to the load port 15 to carry the substrate in and out of the container. The load lock chamber 30 receives the substrate from the substrate transfer module 20, a plurality of process chambers 40 for processing the substrate, and is disposed between the load lock chamber 30 and the process chamber 40. Transfer module 50 for transferring the substrate between the chambers 40 or between the process chambers 40 and the load lock chamber 30.

상기 트랜스퍼 모듈(50)은 기판을 이송하기 위한 이송 로봇(55)을 포함하고, 상기 트랜스퍼 모듈은 다각형 형상을 갖으며 각각의 측면은 공정 챔버(40)들과 연 결된다. 상기 이송 로봇(55) 사이에는 일종의 버퍼(buffer) 공간(51)이 형성될 수 있으며, 상기 이송 로봇(55)은 상기 로드락 챔버(30)로부터 상기 공정 챔버(40)로 또는 역으로 기판을 이송한다. The transfer module 50 includes a transfer robot 55 for transferring a substrate, the transfer module has a polygonal shape and each side thereof is connected to the process chambers 40. A buffer space 51 may be formed between the transfer robots 55, and the transfer robots 55 may move the substrate from the load lock chamber 30 to the process chamber 40 or vice versa. Transfer.

종래의 반도체 제조 장치에 있어서, 로드락 챔버(30)로부터 트랜스퍼 모듈(50) 내로 이송된 기판은 공정 챔버(40)내로 반입된 후, 공정 챔버(40) 내에서 100℃ 이상으로 가열되어 소정의 공정이 진행된다. 이 때, 기판을 상온에서 고온으로 가열하기 위해 소정의 시간이 소요되고, 고온의 공정 챔버(40)로부터 트랜스퍼 모듈(50) 내로 반출된 기판은 다른 공정 챔버나 로드락 챔버(30)로 이송되기 전에 고온에서 상온으로 냉각하기 위해 소정의 시간이 소요된다. In the conventional semiconductor manufacturing apparatus, the substrate transferred from the load lock chamber 30 into the transfer module 50 is loaded into the process chamber 40, and then heated to 100 ° C. or more in the process chamber 40, thereby predetermining the substrate. The process proceeds. At this time, it takes a predetermined time to heat the substrate from room temperature to high temperature, and the substrate taken out from the high temperature process chamber 40 into the transfer module 50 is transferred to another process chamber or load lock chamber 30. It takes some time before cooling from high temperature to room temperature.

이에 따라, 공정 챔버(40)로/부터 반입/반출되는 기판을 가열 또는 냉각시키는 별도의 공간 및 시간이 추가로 소요되어 전체적인 생산량을 감소시키는 문제점이 있다. Accordingly, a separate space and time for heating or cooling the substrate to be brought in and out of the process chamber 40 are additionally required to reduce the overall yield.

본 발명의 목적은 공정 챔버 내로/부터 반입/반출되는 기판의 온도를 조절하는 온도 조절부를 갖는 트랜스퍼 모듈을 포함하는 기판 처리 장치를 제공하는 데 있다. SUMMARY OF THE INVENTION An object of the present invention is to provide a substrate processing apparatus comprising a transfer module having a temperature controller for controlling the temperature of a substrate to be brought in / out of a process chamber.

상기 본 발명의 목적을 달성하기 위해 본 발명에 따른 기판 처리 장치는 기판을 처리하는 공정 챔버, 상기 공정 챔버와 연통되어 상기 공정 챔버로 상기 기판을 이송시키는 트랜스퍼 모듈, 및 상기 트랜스퍼 모듈 내에 배치되어, 상기 공정 챔버 내로/부터 반입/반출되는 상기 기판의 온도를 조절하는 온도 조절부를 포함한다. In order to achieve the object of the present invention, a substrate processing apparatus according to the present invention is disposed in a process chamber for processing a substrate, a transfer module in communication with the process chamber to transfer the substrate to the process chamber, and the transfer module, And a temperature controller for controlling the temperature of the substrate to be brought in / out of the process chamber.

본 발명의 일실시예에 따르면, 상기 온도 조절부는 상기 공정 챔버로 반입되기 전의 상기 기판을 예열하는 예열부 및 상기 공정 챔버로부터 반출되는 상기 기판을 냉각시키는 냉각부를 포함할 수 있다. According to one embodiment of the present invention, the temperature control unit may include a preheating unit for preheating the substrate before being introduced into the process chamber and a cooling unit for cooling the substrate carried out from the process chamber.

이와 같이 구성된 본 발명에 따른 기판 처리 장치는 트랜스퍼 모듈 내에 배치되어, 공정 챔버 내로/부터 반입/반출되는 기판의 온도를 조절하는 온도 조절부를 포함한다. 상기 온도 조절부는 상기 트랜스퍼 모듈 내로 반입된 기판을 가열 또는 냉각시킨 후, 가열 또는 냉각된 기판은 다른 공정 챔버나 로드락 챔버로 이송된다. 이에 따라, 별도로 기판을 가열하거나 냉각하는 시간을 줄임으로써 전체적인 생산량을 향상시킬 수 있다.The substrate processing apparatus according to the present invention configured as described above is disposed in the transfer module, and includes a temperature controller for controlling the temperature of the substrate to be brought in / out of the process chamber. After the temperature controller heats or cools the substrate loaded into the transfer module, the heated or cooled substrate is transferred to another process chamber or load lock chamber. Accordingly, it is possible to improve the overall yield by reducing the time for separately heating or cooling the substrate.

이하, 첨부한 도면을 참조하여 본 발명의 실시예에 따른 기판 처리 장치에 대해 상세히 설명한다. 본 발명은 다양한 변경을 가할 수 있고 여러 가지 형태를 가질 수 있는 바, 특정 실시예들을 도면에 예시하고 본문에 상세하게 설명하고자 한다. 그러나, 이는 본 발명을 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다. 각 도면을 설명하면서 유사한 참조부호를 유사한 구성요소에 대해 사용하였다. 첨부된 도면에 있어서, 구조물들의 치수는 본 발명의 명확성을 기하기 위하여 실제보다 확대하여 도시한 것이다. Hereinafter, a substrate processing apparatus according to an exemplary embodiment of the present invention will be described in detail with reference to the accompanying drawings. As the inventive concept allows for various changes and numerous embodiments, particular embodiments will be illustrated in the drawings and described in detail in the text. However, this is not intended to limit the present invention to the specific disclosed form, it should be understood to include all modifications, equivalents, and substitutes included in the spirit and scope of the present invention. In describing the drawings, similar reference numerals are used for similar elements. In the accompanying drawings, the dimensions of the structures are shown in an enlarged scale than actual for clarity of the invention.

제1, 제2 등의 용어는 다양한 구성요소들을 설명하는데 사용될 수 있지만, 상기 구성요소들은 상기 용어들에 의해 한정되어서는 안 된다. 상기 용어들은 하나의 구성요소를 다른 구성요소로부터 구별하는 목적으로만 사용된다. 예를 들어, 본 발명의 권리 범위를 벗어나지 않으면서 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소도 제1 구성요소로 명명될 수 있다. Terms such as first and second may be used to describe various components, but the components should not be limited by the terms. The terms are used only for the purpose of distinguishing one component from another. For example, without departing from the scope of the present invention, the first component may be referred to as the second component, and similarly, the second component may also be referred to as the first component.

본 출원에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 출원에서, "포함하다" 또는 "가지다" 등의 용어는 명세서상에 기재된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가 가능성을 미리 배제하지 않는 것으로 이해되어야 한다.The terminology used herein is for the purpose of describing particular example embodiments only and is not intended to be limiting of the present invention. Singular expressions include plural expressions unless the context clearly indicates otherwise. In this application, the terms "comprise" or "have" are intended to indicate that there is a feature, number, step, action, component, part, or combination thereof described in the specification, and one or more other features. It is to be understood that the present invention does not exclude the possibility of the presence or the addition of numbers, steps, operations, components, parts, or combinations thereof.

도 2는 본 발명의 일실시예에 따른 기판 처리 장치를 나타내는 평면도이다. 도 3은 도 2의 기판 처리 장치의 트랜스퍼 모듈을 나타내는 평면도이다. 도 4는 도 2의 기판 처리 장치의 트랜스퍼 모듈을 나타내는 단면도이다.2 is a plan view illustrating a substrate processing apparatus according to an embodiment of the present invention. 3 is a plan view illustrating a transfer module of the substrate processing apparatus of FIG. 2. 4 is a cross-sectional view illustrating a transfer module of the substrate processing apparatus of FIG. 2.

도 2 내지 도 4를 참조하면, 본 발명의 일실시예에 따른 기판 처리 장치(100)는 로드락 챔버(200), 복수의 트랜스퍼 모듈들(310, 320), 상기 트랜스퍼 모듈과 각각 연통되는 복수의 공정 챔버들(410, 420) 및 상기 트랜스퍼 모듈 내에 배치되어 기판의 온도를 조절하는 온도 조절부(510, 610)를 포함한다.2 to 4, the substrate processing apparatus 100 according to the exemplary embodiment of the present invention may include a load lock chamber 200, a plurality of transfer modules 310 and 320, and a plurality of communicating with the transfer module, respectively. Process chambers 410 and 420 and temperature control units 510 and 610 disposed in the transfer module to control the temperature of the substrate.

복수의 트랜스퍼 모듈들(310, 320)은 제1 트랜스퍼 모듈(310) 및 제2 트랜스퍼 모듈(320)을 포함한다. 상기 제1 트랜트퍼 모듈(310)은 상기 제2 트랜스퍼 모 듈(320)과 연결된다. The plurality of transfer modules 310 and 320 include a first transfer module 310 and a second transfer module 320. The first transfer module 310 is connected to the second transfer module 320.

상기 로드락 챔버(200)는 상기 제1 트랜스퍼 모듈(310)의 일측과 인접하게 배치되여 연결된다. 또한, 상기 로드락 챔버(200)는 EFEM(Equipment Front End Module)과 같은 기판 이송 모듈(150)과 연결된다.The load lock chamber 200 is disposed adjacent to and connected to one side of the first transfer module 310. In addition, the load lock chamber 200 is connected to a substrate transfer module 150 such as an equipment front end module (EFEM).

상기 기판 이송 모듈(150)의 일측에는 다수개의 로드 포트(160)가 형성되고, 상기 로드 포트(160)에는 웨이퍼와 같은 기판들이 적재된 웨이퍼 용기(170)가 배치된다. 예를 들면, 상기 웨이퍼 용기(170)로는 복수 개의 슬롯(slot)이 구비된 캐리어와 상기 캐리어를 적재한 상태로 이송하는 캐리어 박스 등과 같은 이송 도구를 통합한 전면 개방 통합형 포드(FOUP:Front Opening Unified Pod)가 이용될 수 있다.A plurality of load ports 160 are formed at one side of the substrate transfer module 150, and a wafer container 170 in which substrates such as wafers are loaded is disposed at the load ports 160. For example, the wafer container 170 includes a front opening integrated pod (FOUP) incorporating a carrier having a plurality of slots and a transfer tool such as a carrier box for transporting the carrier in a stacked state. Pod) can be used.

상기 FOUP를 상기 기판 이송 모듈(150)의 로드 포트(160) 위에 적재하고, 기판을 한 매씩 상기 기판 이송 모듈(150)을 통해 상기 로드락 챔버(200)로 이송한다. 구체적으로, 상기 기판 이송 모듈(150)은 전달 로봇(180)을 포함하고, 상기 전달 로봇을 통해 FOUP 내의 기판은 약 10-3 torr의 저진공 상태로 유지되는 로드락 챔버에 로딩된다.The FOUP is loaded on the load port 160 of the substrate transfer module 150, and the substrate is transferred to the load lock chamber 200 through the substrate transfer module 150 one by one. Specifically, the substrate transfer module 150 includes a transfer robot 180, through which the substrate in the FOUP is loaded into a load lock chamber maintained at a low vacuum of about 10 −3 torr.

로드락 챔버(200)는 공정 챔버들(410, 420)로 이송되는 기판들이 임시적으로 놓이는 로딩 챔버(210)와 공정이 완료되어 공정 챔버들(410, 420)로부터 전달받은 기판들이 임시적으로 놓이는 언로딩 챔버(220)를 포함한다. 기판이 로드락 챔버(200) 내로 이송되면, 컨트롤러(도시되지 않음)가 로드락 챔버(200)의 내부를 감 압하여 초기 저진공 상태로 만들고, 이를 통해 외부 물질이 상기 공정 챔버들 및 상기 트랜스퍼 모듈들로 유입되는 것을 방지할 수 있다.The load lock chamber 200 may include a loading chamber 210 in which substrates transferred to the process chambers 410 and 420 are temporarily placed, and a substrate in which the substrates received from the process chambers 410 and 420 are temporarily placed. A loading chamber 220. When the substrate is transferred into the load lock chamber 200, a controller (not shown) depresses the interior of the load lock chamber 200 to bring it into an initial low vacuum state, through which external material is transferred to the process chambers and the transfer. It can be prevented from entering modules.

상기 제1 트랜스퍼 모듈(310)은 상기 로드락 챔버의 일측에 배치된다. 본 발명의 일실시예에 따르면, 상기 제1 트랜스퍼 모듈(310)의 양측에는 제1 공정 챔버들(410)이 각각 배치되고, 상기 공정 챔버들은 제1 게이트 밸브(411)를 통해 상기 제1 트랜스퍼 모듈(310)과 서로 연통될 수 있다. The first transfer module 310 is disposed on one side of the load lock chamber. According to an embodiment of the present invention, first process chambers 410 are disposed at both sides of the first transfer module 310, and the process chambers are connected to the first transfer through a first gate valve 411. The module 310 may be in communication with each other.

상기 제2 트랜스퍼 모듈(320)은 상기 제1 트랜스퍼 모듈(310)의 일측에 배치된다. 본 발명의 일실시예에 따르면, 상기 제2 트랜스퍼 모듈(320)의 양측에는 제2 공정 챔버들(420)이 각각 배치되고, 상기 공정 챔버들은 제2 게이트 밸브(421)를 통해 상기 제2 트랜스퍼 모듈(320)과 서로 연통될 수 있다.The second transfer module 320 is disposed on one side of the first transfer module 310. According to an embodiment of the present invention, second process chambers 420 are disposed at both sides of the second transfer module 320, respectively, and the process chambers are transferred through the second gate valve 421. The module 320 may be in communication with each other.

또한, 상기 제1 트랜스퍼 모듈(310)은 상기 제2 트랜스퍼 모듈(320)과 슬롯 밸브(500)를 통해 서로 분리 가능하게 연결될 수 있다. In addition, the first transfer module 310 may be detachably connected to each other through the second transfer module 320 and the slot valve 500.

상기 제1 및 제2 트랜스퍼 모듈들(310, 320)은 이송 로봇들(315, 325)을 각각 포함한다. 구체적으로, 상기 제1 트랜스퍼 모듈의 제1 이송 로봇(315)은 로드락 챔버(200)로부터 제1 공정 챔버(410)로 또는 역으로 기판을 이송하고, 상기 제2 트랜스퍼 모듈의 제2 이송 로봇(325)과 기판을 교환한다.The first and second transfer modules 310 and 320 include transfer robots 315 and 325, respectively. Specifically, the first transfer robot 315 of the first transfer module transfers the substrate from the load lock chamber 200 to the first process chamber 410 or vice versa, and the second transfer robot of the second transfer module. 325 and the substrate is replaced.

또한, 상기 제2 트랜스퍼 모듈의 제2 이송 로봇(325)은 제1 트랜스퍼 모듈(310)로부터 제2 공정 챔버(420)로 또는 역으로 기판을 이송한다.In addition, the second transfer robot 325 of the second transfer module transfers the substrate from the first transfer module 310 to the second process chamber 420 or vice versa.

상기 제1 이송 로봇(315)은 기판을 지지하는 기판 지지부(321), 상기 기판을 수평이동시키는 수평이동 구동부(322) 및 상기 기판을 수직이동시키는 수직이동 구 동부(323)를 포함한다. The first transfer robot 315 includes a substrate support 321 supporting a substrate, a horizontal movement driver 322 for horizontally moving the substrate, and a vertical movement port eastern portion 323 for vertically moving the substrate.

본 발명의 일실시예에 따르면, 상기 수평이동 구동부(322)는 복수의 암이 서로 연결되어 2 자유도를 가지며 수평으로 이동 할 수 있으며, 상기 수직이동 구동부(323)는 스텝 모터를 포함하여 수직으로 상승 또는 하강할 수 있다. According to one embodiment of the present invention, the horizontal movement driver 322 is a plurality of arms are connected to each other can move horizontally with two degrees of freedom, the vertical movement driver 323 includes a step motor vertically It can rise or fall.

상기 공정 챔버들(410, 420)은 다양한 기판 공정을 수행하는 다수의 챔버들로 구성될 수 있다. The process chambers 410 and 420 may be composed of a plurality of chambers for performing various substrate processes.

예를 들면, 공정 챔버들(410, 420)은 기판 상에 물질막의 증착을 위해 반응 가스들을 공급하도록 구성된 화학 기상 증착(CVD) 챔버, 증착된 물질막의 식각을 위해 가스를 공급하도록 구성된 식각 챔버 또는 사진 공정 후 기판 상에 남아 있는 감광막 층을 제거하도록 구성된 애싱(ashing) 챔버 등을 포함할 수 있다. For example, process chambers 410 and 420 may be a chemical vapor deposition (CVD) chamber configured to supply reactant gases for deposition of a material film on a substrate, an etch chamber configured to supply gas for etching the deposited material film, or An ashing chamber or the like configured to remove the photoresist layer remaining on the substrate after the photographing process.

일반적으로, 상기 공정 챔버는 100℃ 이상의 고온의 분위기를 가질 수 있으며, 상기 공정 챔버 내로 반입된 기판을 고온으로 가열된다. In general, the process chamber may have a high temperature atmosphere of 100 ° C. or more, and the substrate loaded into the process chamber is heated to a high temperature.

상기 트랜스퍼 모듈들 내에 배치되는 온도 조절부(510, 610)들은 상기 공정 챔버 내로/부터 반입/반출되는 기판의 온도를 조절한다.The temperature controllers 510 and 610 disposed in the transfer modules control the temperature of the substrate to be brought in and out of the process chamber.

본 발명의 일실시예에 따르면, 상기 온도 조절부(510)는 예열부(520) 및 냉각부(530)를 포함할 수 있다. 상기 예열부(520)는 상기 공정 챔버(410)로 반입되기 위해 상기 제1 트랜스퍼 모듈(310)내에 배치된 기판(110)을 예열시킨다. 상기 냉각부(530)는 상기 공정 챔버(410) 내에서 소정의 공정이 수행된 후 상기 공정 챔버(410)로부터 반출되는 기판(110)을 냉각시킨다.According to an embodiment of the present invention, the temperature control unit 510 may include a preheater 520 and a cooling unit 530. The preheater 520 preheats the substrate 110 disposed in the first transfer module 310 to be loaded into the process chamber 410. The cooling unit 530 cools the substrate 110 carried out from the process chamber 410 after a predetermined process is performed in the process chamber 410.

상기 예열부(520)는 상기 기판(110)을 예열시키는 히팅 블록(521) 및 상기 히팅 블록 내에 승강 가능하게 배치되어, 상기 기판을 승강시키는 리프트 핀(525)을 포함할 수 있다. The preheater 520 may include a heating block 521 for preheating the substrate 110 and a lift pin 525 disposed in the heating block so that the substrate 110 can be lifted and lowered.

상기 냉각부(530)는 도 4에 도시된 예열부(520)의 후면에 배치되며, 상기 냉각부(530)는 상기 기판(110)을 냉각시키는 냉각 블럭(531) 및 상기 냉각 블록 내에 승강 가능하게 배치되어, 상기 기판을 승강시키는 리프트 핀(535)을 포함할 수 있다. The cooling unit 530 is disposed on the rear surface of the preheating unit 520 shown in FIG. 4, and the cooling unit 530 may be lifted and lowered in the cooling block 531 and the cooling block for cooling the substrate 110. And a lift pin 535 for elevating the substrate.

구체적으로, 로드락 챔버(200)로부터 트랜스퍼 모듈(310) 내로 반입된 기판은 상기 예열부(520)의 히팅 블록(521)으로 이송된다. 상기 기판은 상기 히팅 블록 내의 기판 지지대 상으로 이송되고, 상기 히팅 블록 내에서 공정 챔버(410)의 공정 온도로 가열된다. 가열된 기판은 제1 이송 로봇(315)에 의해 공정 챔버(410)로 반입되고, 별도의 가열 시간을 소요하지 않고 곧바로 공정을 진행하게 된다. Specifically, the substrate loaded into the transfer module 310 from the load lock chamber 200 is transferred to the heating block 521 of the preheater 520. The substrate is transferred onto a substrate support in the heating block and heated to the process temperature of the process chamber 410 within the heating block. The heated substrate is carried into the process chamber 410 by the first transfer robot 315 and immediately proceeds without requiring a separate heating time.

공정 챔버(410)내에서 100℃ 이상의 공정 온도로 가열된 기판은 소정의 공정이 진행된 후, 공정 챔버(410)로부터 트랜스퍼 모듈(310)내로 반입된다. 상기 반입된 기판은 상기 냉각부(530)의 냉각 블록(531)으로 이송된다. 상기 기판은 상기 냉각 블록 내의 기판 지지대 상으로 이송되고, 상기 냉각 블록 내에서 상온으로 냉각된다. 냉각된 기판은 슬롯 밸브(500)를 통해 로드락 챔버(200)로 반입되거나 제2 트랜스퍼 모듈(320)로 이송될 수 있다.The substrate heated to a process temperature of 100 ° C. or higher in the process chamber 410 is loaded into the transfer module 310 from the process chamber 410 after a predetermined process is performed. The loaded substrate is transferred to the cooling block 531 of the cooling unit 530. The substrate is transferred onto a substrate support in the cooling block and cooled to room temperature in the cooling block. The cooled substrate may be carried into the load lock chamber 200 through the slot valve 500 or transferred to the second transfer module 320.

상술한 바와 같이, 본 발명의 바람직한 실시예에 따른 기판 처리 장치는 트랜스퍼 모듈 내에 배치되어, 공정 챔버 내로/부터 반입/반출되는 기판의 온도를 조 절하는 온도 조절부를 포함한다. As described above, the substrate processing apparatus according to the preferred embodiment of the present invention includes a temperature controller disposed in the transfer module to adjust the temperature of the substrate to be brought in and out of the process chamber.

상기 온도 조절부는 상기 트랜스퍼 모듈 내로 반입된 기판을 가열 또는 냉각시킨 후, 가열 또는 냉각된 기판은 다른 공정 챔버나 로드락 챔버로 이송된다. 이에 따라, 별도로 기판을 가열하거나 냉각하는 시간을 줄임으로써 전체적인 생산량을 향상시킬 수 있다.After the temperature controller heats or cools the substrate loaded into the transfer module, the heated or cooled substrate is transferred to another process chamber or load lock chamber. Accordingly, it is possible to improve the overall yield by reducing the time for separately heating or cooling the substrate.

상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.While the foregoing has been described with reference to preferred embodiments of the present invention, those skilled in the art will be able to variously modify and change the present invention without departing from the spirit and scope of the invention as set forth in the claims below. It will be appreciated.

Claims (6)

기판을 처리하는 공정 챔버; A process chamber for processing the substrate; 상기 공정 챔버와 연통되어 상기 공정 챔버로 상기 기판을 이송시키는 트랜스퍼 모듈; 및A transfer module in communication with the process chamber to transfer the substrate to the process chamber; And 상기 트랜스퍼 모듈 내에 배치되어, 상기 공정 챔버 내로/부터 반입/반출되는 상기 기판의 온도를 조절하는 온도 조절부를 포함하고,A temperature controller disposed in the transfer module to adjust a temperature of the substrate to be brought in / out of the process chamber, 상기 온도 조절부는The temperature control unit 상기 공정 챔버로 반입되기 전의 상기 기판을 예열시키는 히팅 블록 및 히팅 블록 내에 승강 가능하게 배치되어 상기 기판을 승강시키는 리프트 핀을 구비하는 예열부; 및A preheating unit including a heating block for preheating the substrate before being carried into the process chamber, and a lift pin disposed in the heating block so as to be liftable to lift the substrate; And 상기 공정 챔버로부터 반출되는 상기 기판을 냉각시키는 냉각부를 포함하는 것을 특징으로 하는 기판 처리 장치.And a cooling unit for cooling the substrate carried out from the process chamber. 삭제delete 삭제delete 제 1 항에 있어서, 상기 냉각부는 The method of claim 1, wherein the cooling unit 상기 기판을 냉각시키는 냉각 블록; 및 A cooling block for cooling the substrate; And 상기 냉각 블록 내에 승강 가능하게 배치되어, 상기 기판을 승강시키는 리프트 핀을 포함하는 것을 특징으로 하는 기판 처리 장치.And a lift pin disposed in the cooling block so as to be liftable to lift the substrate. 제 1 항에 있어서, 상기 트랜스터 모듈과 연통되며 상기 기판을 로딩/언로딩시키는 로드락 챔버를 더 포함하며, 상기 트랜스퍼 모듈은 일렬로 복수개 배치되는 것을 특징으로 하는 기판 처리 장치.The substrate processing apparatus of claim 1, further comprising a load lock chamber in communication with the transfer module and configured to load / unload the substrate, wherein the transfer modules are arranged in plural in a row. 제 1 항에 있어서, 상기 트랜스퍼 모듈은 인접하는 트랜스퍼 모듈 또는 공정 챔버로 상기 기판을 이송하는 이송 로봇을 포함하는 것을 특징으로 하는 기판 처리 장치.The substrate processing apparatus of claim 1, wherein the transfer module comprises a transfer robot for transferring the substrate to an adjacent transfer module or a process chamber.
KR1020060135944A 2006-12-28 2006-12-28 Apparatus for processing a substrate KR100803562B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060135944A KR100803562B1 (en) 2006-12-28 2006-12-28 Apparatus for processing a substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060135944A KR100803562B1 (en) 2006-12-28 2006-12-28 Apparatus for processing a substrate

Publications (1)

Publication Number Publication Date
KR100803562B1 true KR100803562B1 (en) 2008-02-15

Family

ID=39343326

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060135944A KR100803562B1 (en) 2006-12-28 2006-12-28 Apparatus for processing a substrate

Country Status (1)

Country Link
KR (1) KR100803562B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101066594B1 (en) 2008-10-31 2011-09-22 세메스 주식회사 Substrate processing apparatus, method of setting nozzle using the same and method of processing substrate using the same
CN107316824A (en) * 2016-04-22 2017-11-03 北京北方华创微电子装备有限公司 The integrated process equipment of semiconductor and semiconductor processing method
CN116978825A (en) * 2023-07-31 2023-10-31 宇弘研科技(苏州)有限公司 Semiconductor composite cooling disc structure and semiconductor track

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10270307A (en) 1997-03-21 1998-10-09 Dainippon Screen Mfg Co Ltd Substrate treating device
JP2002164399A (en) 2000-11-24 2002-06-07 Ulvac Japan Ltd Temperature-controlled chamber, and vacuum processing apparatus using the same
JP2004200329A (en) 2002-12-17 2004-07-15 Tokyo Electron Ltd Substrate processing apparatus and method therefor
KR20060088909A (en) * 1998-11-17 2006-08-07 동경 엘렉트론 주식회사 Vacuum processing system
JP2006245312A (en) 2005-03-03 2006-09-14 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10270307A (en) 1997-03-21 1998-10-09 Dainippon Screen Mfg Co Ltd Substrate treating device
KR20060088909A (en) * 1998-11-17 2006-08-07 동경 엘렉트론 주식회사 Vacuum processing system
JP2002164399A (en) 2000-11-24 2002-06-07 Ulvac Japan Ltd Temperature-controlled chamber, and vacuum processing apparatus using the same
JP2004200329A (en) 2002-12-17 2004-07-15 Tokyo Electron Ltd Substrate processing apparatus and method therefor
JP2006245312A (en) 2005-03-03 2006-09-14 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101066594B1 (en) 2008-10-31 2011-09-22 세메스 주식회사 Substrate processing apparatus, method of setting nozzle using the same and method of processing substrate using the same
CN107316824A (en) * 2016-04-22 2017-11-03 北京北方华创微电子装备有限公司 The integrated process equipment of semiconductor and semiconductor processing method
CN107316824B (en) * 2016-04-22 2020-10-16 北京北方华创微电子装备有限公司 Semiconductor integrated processing apparatus and semiconductor processing method
CN116978825A (en) * 2023-07-31 2023-10-31 宇弘研科技(苏州)有限公司 Semiconductor composite cooling disc structure and semiconductor track
CN116978825B (en) * 2023-07-31 2024-03-22 宇弘研科技(苏州)有限公司 Semiconductor composite cooling disc structure and semiconductor track

Similar Documents

Publication Publication Date Title
US6911112B2 (en) Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
KR100904392B1 (en) Apparatus for processing a substrate
US6331095B1 (en) Transportation system and processing apparatus employing the transportation system
KR102534391B1 (en) Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US20030053893A1 (en) Substrate processing apparatus and a method for fabricating a semiconductor device by using same
US20040052618A1 (en) Semiconductor device producing apparatus and producing method of semiconductor device
JP2013033965A (en) Substrate processing apparatus, substrate processing facility, and substrate processing method
US11195734B2 (en) Dual load lock chamber
JP7211716B2 (en) Halogen removal module and related systems and methods
KR101400157B1 (en) Apparatus, system and method for treating substrate
KR100803562B1 (en) Apparatus for processing a substrate
KR100819176B1 (en) Large waper processing chamber system
KR101417942B1 (en) substrate loader and apparatus for treating substrate
KR100836069B1 (en) Apparatus for processing a substrate
KR100882474B1 (en) Apparatus for processing a substrate having a cleaning unit
CN111048444A (en) Heating plate cooling method, substrate processing apparatus and method
JP2004119627A (en) Semiconductor device manufacturing apparatus
KR20110016642A (en) Substrate processing apparatus
US20230215754A1 (en) Substrate processing apparatus and substrate transfer method
KR102241600B1 (en) Loadlock chamber and system for treating substrate with the loadlock chamber
US20230386870A1 (en) Wet processing system and system and method for manufacturing semiconductor structure
US20220406629A1 (en) Semiconductor processing tool and method of using an embedded chamber
KR100873328B1 (en) Apparatus for processing a substrate
JP2005197543A (en) Substrate processor
KR20230067075A (en) Substrate treating apparatus and semiconductor manufacturing equipment including the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Publication of correction
FPAY Annual fee payment

Payment date: 20130201

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140204

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150202

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160129

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170202

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180205

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190208

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20200204

Year of fee payment: 13