KR101400157B1 - Apparatus, system and method for treating substrate - Google Patents

Apparatus, system and method for treating substrate Download PDF

Info

Publication number
KR101400157B1
KR101400157B1 KR1020110128270A KR20110128270A KR101400157B1 KR 101400157 B1 KR101400157 B1 KR 101400157B1 KR 1020110128270 A KR1020110128270 A KR 1020110128270A KR 20110128270 A KR20110128270 A KR 20110128270A KR 101400157 B1 KR101400157 B1 KR 101400157B1
Authority
KR
South Korea
Prior art keywords
substrate
chamber
substrate processing
transfer
module
Prior art date
Application number
KR1020110128270A
Other languages
Korean (ko)
Other versions
KR20130014304A (en
Inventor
김형준
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to US13/559,797 priority Critical patent/US8974601B2/en
Priority to JP2012166683A priority patent/JP2013033965A/en
Priority to TW101127222A priority patent/TWI474430B/en
Priority to CN201210269099.6A priority patent/CN103035467B/en
Publication of KR20130014304A publication Critical patent/KR20130014304A/en
Application granted granted Critical
Publication of KR101400157B1 publication Critical patent/KR101400157B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Abstract

본 명세서는 기판처리장치, 기판처리설비 및 기판처리방법, 보다 상세하게는, 클러스터(cluster) 구조의 기판처리장치, 기판처리설비 및 이를 이용하는 기판처리방법을 개시한다. 본 발명에 따른 기판처리장치의 일 양상은, 기판이 수납되는 용기가 탑재되는 로드포트; 상기 기판을 처리하는 복수의 공정모듈; 상기 로드포트와 상기 공정모듈 사이에 배치되고, 상기 용기와 상기 공정모듈 간에 상기 기판을 반송하는 이송모듈; 서로 인접한 상기 공정모듈 사이에 배치되고, 상기 서로 인접한 공정모듈 간에 상기 기판이 반송되는 공간을 제공하는 버퍼챔버;를 포함한다.The present invention relates to a substrate processing apparatus, a substrate processing apparatus and a substrate processing method, and more particularly, a substrate processing apparatus of a cluster structure, a substrate processing apparatus, and a substrate processing method using the same. According to one aspect of the present invention, there is provided a substrate processing apparatus comprising: a load port on which a container accommodating a substrate is mounted; A plurality of process modules for processing the substrate; A transfer module, disposed between the load port and the process module, for transferring the substrate between the process module and the container; And a buffer chamber disposed between the process modules adjacent to each other and providing a space in which the substrate is transported between adjacent process modules.

Figure R1020110128270
Figure R1020110128270

Description

기판처리장치, 기판처리설비 및 기판처리방법{APPARATUS, SYSTEM AND METHOD FOR TREATING SUBSTRATE}BACKGROUND OF THE INVENTION 1. Field of the Invention [0001] The present invention relates to a substrate processing apparatus, a substrate processing apparatus,

본 발명은 기판처리장치, 기판처리설비 및 기판처리방법에 관한 것으로, 보다 상세하게는, 클러스터(cluster) 구조의 기판처리장치, 기판처리설비 및 이를 이용하는 기판처리방법에 관한 것이다.The present invention relates to a substrate processing apparatus, a substrate processing apparatus, and a substrate processing method, and more particularly, to a substrate processing apparatus having a cluster structure, a substrate processing apparatus, and a substrate processing method using the same.

반도체소자는 사진식각법(photolithography)을 비롯한 다단계의 다양한 공정을 거쳐 실리콘웨이퍼 등의 기판 상에 회로패턴을 형성하여 제조된다. 이러한 공정들은 그 공정을 수행하도록 고안된 챔버에서 수행되며, 따라서 반도체소자의 제조는 기판을 챔버로 반입하여 공정을 수행하고, 다른 공정을 위해 다른 챔버로 기판을 반입하는 과정을 반복하며 진행된다.Semiconductor devices are manufactured by forming a circuit pattern on a substrate such as a silicon wafer through various processes including photolithography. These processes are performed in a chamber designed to perform the process, and thus the fabrication of the semiconductor device is carried out by repeating the process of bringing the substrate into the chamber and carrying out the process, and bringing the substrate into another chamber for another process.

최근에는 반도체소자가 미세화됨에 따라, 반도체소자가 종래보다 더 많고, 복잡한 공정을 거쳐 제조되고 있으며, 이에 따라 반도체소자의 총 제조시간 중 챔버 간에 기판을 반송하는데 소요되는 시간이 차지하는 비중이 점차 증가하고 있다. In recent years, with the miniaturization of semiconductor devices, semiconductor devices have been manufactured through more complicated processes than before, and accordingly, the time required for transporting the substrates between the chambers during the total manufacturing time of the semiconductor devices is gradually increased have.

이러한 추세 속에서 기판의 처리율(substrate throughput)을 향상시키기 위해서 불필요한 반송과정을 줄이고, 공정을 연속적으로 처리할 수 있는 반도체제조설비의 레이아웃(lay-out)에 관한 연구가 활발히 진행되고 있다. In this trend, studies on lay-out of a semiconductor manufacturing facility which can reduce the unnecessary transportation process and process the process continuously have been actively carried out in order to improve the substrate throughput of the substrate.

본 발명의 일 과제는, 불필요한 기판의 반송을 최소화하는 기판처리장치, 기판처리설비 및 기판처리방법을 제공하는 것이다.An object of the present invention is to provide a substrate processing apparatus, a substrate processing apparatus, and a substrate processing method that minimize the transfer of an unnecessary substrate.

본 발명이 해결하고자 하는 과제가 상술한 과제들로 한정되는 것은 아니며, 언급되지 아니한 과제들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확하게 이해될 수 있을 것이다.The problems to be solved by the present invention are not limited to the above-mentioned problems, and the problems not mentioned can be clearly understood by those skilled in the art from the description and the accompanying drawings will be.

본 발명은 기판처리장치를 제공한다.The present invention provides a substrate processing apparatus.

본 발명에 따른 기판처리장치의 일 양상은, 기판이 수납되는 용기가 탑재되는 로드포트; 상기 기판을 처리하는 복수의 공정모듈; 상기 로드포트와 상기 공정모듈 사이에 배치되고, 상기 용기와 상기 공정모듈 간에 상기 기판을 반송하는 이송모듈; 서로 인접한 상기 공정모듈 사이에 배치되고, 상기 서로 인접한 공정모듈 간에 상기 기판이 반송되는 공간을 제공하는 버퍼챔버;를 포함한다.According to one aspect of the present invention, there is provided a substrate processing apparatus comprising: a load port on which a container accommodating a substrate is mounted; A plurality of process modules for processing the substrate; A transfer module, disposed between the load port and the process module, for transferring the substrate between the process module and the container; And a buffer chamber disposed between the process modules adjacent to each other and providing a space in which the substrate is transported between adjacent process modules.

상기 로드포트, 상기 이송모듈 및 상기 공정모듈은, 제1방향에 따라 순차적으로 배치되고, 상기 복수의 공정모듈은, 상부에서 바라볼 때 상기 제1방향에 수직한 제2방향에 따라 상기 이송모듈의 일측에 일렬로 배치될 수 있다. Wherein the load port, the transfer module, and the process module are sequentially disposed along a first direction, and the plurality of process modules are arranged in a first direction perpendicular to the first direction, As shown in FIG.

상기 공정모듈은, 그 둘레에 배치된 챔버들 간에 상기 기판을 반송하는 트랜스퍼챔버, 상기 트랜스퍼챔버의 둘레에 배치되고, 상기 기판에 대한 처리공정을 수행하는 공정챔버 및 상기 이송모듈과 상기 트랜스퍼챔버 사이에 배치되는 로드락챔버를 포함하고, 상기 버퍼챔버는, 상기 서로 인접한 공정모듈의 트랜스퍼챔버 사이에 배치될 수 있다. The process module includes a transfer chamber for transferring the substrate between chambers disposed therearound, a process chamber disposed around the transfer chamber for performing a process for the substrate, and a transfer chamber for transferring the transfer chamber between the transfer module and the transfer chamber And a buffer chamber disposed between the transfer chambers of the process modules adjacent to each other.

상기 버퍼챔버는, 상기 서로 인접한 공정모듈 간에 반송되는 기판이 임시로 머무르는 버퍼공간을 제공할 수 있다. The buffer chamber may provide a buffer space in which the substrate transferred between the adjacent process modules temporarily remains.

상기 버퍼챔버는, 하우징, 상기 하우징 내에 설치되고, 상기 기판이 놓이는 지지부재 및 상기 지지부재에 놓인 기판을 회전시키는 회전부재를 포함할 수 있다. The buffer chamber may include a housing, a support member installed in the housing, and a rotating member rotating the substrate placed on the support member.

상기 버퍼챔버는, 하우징, 상기 하우징 내에 설치되고, 상기 기판이 놓이는 지지부재 및 상기 하우징으로 플라즈마를 공급하는 플라즈마공급기를 포함할 수 있다. The buffer chamber may include a housing, a support member installed in the housing, a support member on which the substrate is placed, and a plasma supplier for supplying plasma to the housing.

상기 버퍼챔버는, 상하로 적층되는 복수의 하우징을 포함할 수 있다. The buffer chamber may include a plurality of housings vertically stacked.

본 발명은 기판처리설비를 제공한다.The present invention provides a substrate processing facility.

본 발명에 따른 기판처리설비의 일 양상은, 기판이 수납되는 용기가 탑재되는 로드포트, 상기 기판을 처리하는 공정모듈 및 상기 로드포트와 상기 공정모듈 사이에 배치되고, 상기 용기와 상기 공정모듈 간에 상기 기판을 반송하는 이송모듈을 포함하는 복수의 기판처리장치; 및 서로 인접한 기판처리장치 사이에 배치되고, 상기 서로 인접한 기판처리장치 간에 상기 기판이 반송되는 공간을 제공하는 제1버퍼챔버;를 포함하되, 상기 공정모듈은, 그 둘레에 배치된 챔버들 간에 상기 기판을 반송하는 트랜스퍼챔버, 상기 트랜스퍼챔버의 둘레에 배치되고, 상기 기판에 대한 처리공정을 수행하는 공정챔버 및 상기 이송모듈과 상기 트랜스퍼챔버 사이에 배치되는 로드락챔버를 포함하고, 상기 제1버퍼챔버는, 상기 서로 인접한 기판처리장치의 트랜스퍼챔버 사이에 배치될 수 있다. An aspect of the substrate processing apparatus according to the present invention is a substrate processing apparatus including a load port on which a container accommodating a substrate is mounted, a process module for processing the substrate, and a process chamber disposed between the load port and the process module, A plurality of substrate processing apparatuses including a transfer module for transferring the substrate; And a first buffer chamber disposed between the adjacent substrate processing apparatuses and providing a space for transporting the substrate between the adjacent substrate processing apparatuses, A transfer chamber for transferring a substrate, a process chamber disposed around the transfer chamber for performing a process on the substrate, and a load lock chamber disposed between the transfer module and the transfer chamber, The chamber may be disposed between transfer chambers of the adjacent substrate processing apparatuses.

상기 로드포트, 상기 이송모듈 및 상기 공정모듈은, 제1방향에 따라 순차적으로 배치되고, 상기 복수의 기판처리장치는, 상기 제1방향과 수직한 제2방향에 따라 일렬로 배치될 수 있다. The load port, the transfer module, and the process module may be sequentially arranged along a first direction, and the plurality of substrate processing apparatuses may be arranged in a line in a second direction perpendicular to the first direction.

상기 제1버퍼챔버는, 상기 서로 인접한 기판처리장치 간에 반송되는 기판이 임시로 머무르는 버퍼공간을 제공할 수 있다. The first buffer chamber may provide a buffer space in which the substrate transferred between the adjacent substrate processing apparatuses temporarily remains.

상기 제1버퍼챔버는, 하우징, 상기 하우징 내에 설치되고, 상기 기판이 놓이는 지지부재 및 상기 지지부재에 놓인 기판을 회전시키는 회전부재를 포함할 수 있다. The first buffer chamber may include a housing, a support member installed in the housing, and a rotating member for rotating the substrate placed on the support member.

상기 제1버퍼챔버는, 하우징, 상기 하우징 내에 설치되고, 상기 기판이 놓이는 지지부재 및 상기 하우징으로 플라즈마를 공급하는 플라즈마공급기를 포함하고, 플라즈마공정을 수행할 수 있다. The first buffer chamber may include a housing, a support member installed in the housing, a support member on which the substrate is placed, and a plasma supplier for supplying plasma to the housing, and may perform a plasma process.

상기 제1버퍼챔버는, 상하로 적층되는 복수의 하우징을 포함할 수 있다. The first buffer chamber may include a plurality of housings vertically stacked.

상기 기판처리장치에 포함되는 상기 공정모듈은, 복수이고, 상기 기판처리장치는, 동일한 기판처리장치에 속하고, 서로 인접한 공정모듈 사이에 배치되고, 상기 서로 인접한 공정모듈 간에 상기 기판이 반송되는 공간을 제공하는 제2버퍼챔버를 더 포함할 수 있다. Wherein the substrate processing apparatus includes a plurality of process modules included in the substrate processing apparatus, the substrate processing apparatus being disposed between process modules adjacent to each other and belonging to the same substrate processing apparatus, And a second buffer chamber for providing a second buffer chamber.

상기 공정모듈은, 그 둘레에 배치된 챔버들 간에 상기 기판을 반송하는 트랜스퍼챔버, 상기 트랜스퍼챔버의 둘레에 배치되고, 상기 기판에 대한 처리공정을 수행하는 공정챔버 및 상기 이송모듈과 상기 트랜스퍼챔버 사이에 배치되는 로드락챔버를 포함하고, 상기 제2버퍼챔버는, 상기 서로 인접한 공정모듈 간에 사이에 배치될 수 있다. The process module includes a transfer chamber for transferring the substrate between chambers disposed therearound, a process chamber disposed around the transfer chamber for performing a process for the substrate, and a transfer chamber for transferring the transfer chamber between the transfer module and the transfer chamber And the second buffer chamber may be disposed between the process modules adjacent to each other.

본 발명은 기판처리방법을 제공할 수 있다.The present invention can provide a substrate processing method.

본 발명에 따른 기판처리방법의 일 양상은, 기판이 수납된 용기가 탑재되는 로드포트; 상기 용기로부터 기판을 인출하는 이송모듈; 상기 이송모듈의 일측에 일렬로 배치되는 복수의 공정모듈; 및 서로 인접한 공정모듈 사이에 배치되는 버퍼챔버;를 포함하는 기판처리장치를 이용하는 기판처리방법에 있어서, 이송모듈이 상기 용기로부터 상기 복수의 공정모듈 중 제1공정모듈로 상기 기판을 반송하는 단계; 상기 제1공정모듈이 처리공정을 수행하는 단계; 및 상기 제1공정모듈로부터 상기 제1공정모듈과 상기 제1공정모듈에 인접한 제2공정모듈 사이에 배치된 제1버퍼챔버로 상기 기판을 반송하는 단계; 상기 제1버퍼챔버로부터 직접 상기 제2공정모듈로 상기 기판을 반송하는 단계; 및 상기 제2공정모듈이 처리공정을 수행하는 단계;을 포함한다.One aspect of the substrate processing method according to the present invention is a substrate processing apparatus including: a load port on which a container containing a substrate is mounted; A transfer module for withdrawing the substrate from the container; A plurality of process modules arranged in a line on one side of the transfer module; And a buffer chamber disposed between adjacent process modules, the method comprising: transferring the substrate from the container to a first one of the plurality of process modules; The first process module performing a process; And transporting the substrate from the first process module to a first buffer chamber disposed between the first process module and a second process module adjacent to the first process module; Transporting the substrate from the first buffer chamber directly to the second process module; And performing a process of the second process module.

상기 기판처리방법은, 상기 이송모듈이 상기 제2공정모듈로부터 상기 용기로 상기 기판을 반송하는 단계;를 더 포함할 수 있다. The substrate processing method may further include a step in which the transport module transports the substrate from the second process module to the container.

상기 기판처리방법은, 상기 제2공정모듈로부터 상기 제2공정모듈과 상기 제2공정모듈에 인접한 제3공정모듈 사이에 배치된 제2버퍼챔버로 상기 기판을 반송하는 단계; 상기 제2버퍼챔버로부터 직접 상기 제3공정모듈로 상기 기판을 반송하는 단계; 및 상기 제3공정모듈이 처리공정을 수행하는 단계;를 더 포함할 수 있다. The substrate processing method comprising: transporting the substrate from the second process module to a second buffer chamber disposed between the second process module and a third process module adjacent to the second process module; Transporting the substrate from the second buffer chamber directly to the third process module; And performing the process of the third process module.

본 발명에 따른 기판처리방법의 다른 양상은, 기판이 수납된 용기가 탑재되는 로드포트, 상기 용기로부터 기판을 인출하는 이송모듈 및 상기 이송모듈의 일측에 배치되는 공정모듈을 각각 포함하고, 일렬로 배치되는 복수의 기판처리장치; 및 서로 인접한 기판처리장치 사이에 배치되는 버퍼챔버;를 포함하는 기판처리설비를 이용하는 기판처리방법에 있어서, 상기 제1기판처리장치가 상기 용기로부터 상기 기판을 인출하는 단계; 상기 제1기판처리장치가 처리공정을 수행하는 단계; 상기 제1기판처리장치로부터 상기 제1기판처리장치와 인접한 제2기판처리장치 사이에 배치된 제1버퍼챔버로 상기 기판을 반송하는 단계; 상기 제1버퍼챔버로부터 직접 상기 제2기판처리장치로 상기 기판을 반송하는 단계; 및 상기 제2기판처리장치가 처리공정을 수행하는 단계;를 포함할 수 있다. Another aspect of the substrate processing method according to the present invention includes a load port on which a container containing a substrate is mounted, a transfer module for withdrawing the substrate from the container, and a process module disposed on one side of the transfer module, A plurality of substrate processing apparatuses arranged; And a buffer chamber disposed between the substrate processing apparatuses adjacent to each other, the substrate processing apparatus comprising: a first substrate processing apparatus for withdrawing the substrate from the vessel; The first substrate processing apparatus performing a processing process; Transporting the substrate from the first substrate processing apparatus to a first buffer chamber disposed between the first substrate processing apparatus and a second substrate processing apparatus adjacent thereto; Transporting the substrate from the first buffer chamber directly to the second substrate processing apparatus; And performing the process of the second substrate processing apparatus.

상기 기판처리방법은, 상기 제2기판처리장치가 상기 용기로 상기 기판을 수납시키는 단계;를 더 포함할 수 있다. The substrate processing method may further include the step of the second substrate processing apparatus housing the substrate into the container.

상기 기판처리방법은, 상기 제2기판처리장치로부터 상기 제2기판처리장치와 상기 제2기판처리장치에 인접한 제3기판처리장치 사이에 배치된 제2버퍼챔버로 상기 기판을 반송하는 단계; 상기 제2버퍼챔버로부터 직접 상기 제3기판처리장치로 상기 기판을 반송하는 단계; 및 상기 제3기판처리장치가 처리공정을 수행하는 단계;를 더 포함할 수 있다.The substrate processing method comprising the steps of: transporting the substrate from the second substrate processing apparatus to a second buffer chamber disposed between the second substrate processing apparatus and a third substrate processing apparatus adjacent to the second substrate processing apparatus; Transporting the substrate from the second buffer chamber directly to the third substrate processing apparatus; And the third substrate processing apparatus performing the processing step.

본 발명에 따른 기판처리방법의 일 양상 및 다른 양상은, 상기 버퍼챔버가 상기 기판을 회전시키는 단계;를 더 포함할 수 있다. One aspect and another aspect of the substrate processing method according to the present invention may further include: the buffer chamber rotating the substrate.

본 발명에 따른 기판처리방법의 일 양상 및 다른 양상은, 상기 버퍼챔버가 상기 처리공정의 후속공정 또는 선행공정을 수행하는 단계;를 더 포함할 수 있다. One aspect and another aspect of the substrate processing method according to the present invention may further include the step of the buffer chamber performing a subsequent process or a preceding process of the process.

상기 버퍼챔버가 수행하는 공정은, 상기 공정에서 발생하여 상기 기판에 잔류하는 이물질을 제거하는 공정일 수 있다. The process performed by the buffer chamber may be a process for removing foreign substances remaining on the substrate, which are generated in the process.

본 발명에 의하면, 버퍼챔버를 통해 기판을 공정모듈 간에 직접 반송할 수 있으며, 이에 따라 기판의 반송경로가 단축되어 공정효율이 향상된다.According to the present invention, the substrate can be transported directly between the process modules through the buffer chamber, thereby shortening the transport path of the substrate and improving the process efficiency.

본 발명에 의하면, 기판처리장치 간에 오버헤드트랜스퍼(OHT: overhead transfer) 등의 외부 반송장치를 경유하지 않고, 버퍼챔버를 통해 직접 기판이 기판처리장치 간에 반송할 수 있으며, 이에 따라 기판의 반송경로가 단축되어 공정효율이 향상된다.According to the present invention, the substrate can be transferred between the substrate processing apparatuses directly through the buffer chamber without passing through an external transfer apparatus such as overhead transfer (OHT) between the substrate processing apparatuses, The process efficiency is improved.

본 발명에 의하면, 기판의 반송을 위한 공간으로 활용되는 버퍼챔버가 반송과정에서 공정을 수행하는 역할을 겸하여 풋프린트(foot print)를 효과적으로 사용할 수 있고, 결과적으로 기판처리율이 향상된다.According to the present invention, a buffer chamber utilized as a space for transporting a substrate can also effectively perform a footprint by performing a process in a transporting process, and as a result, the substrate throughput is improved.

본 발명에 의하면, 기판의 반송을 위한 공간으로 활용되는 버퍼챔버에서 공정챔버에서 수행되는 공정의 선행 또는 후행공정을 수행하여 공정이 연속적으로 처리될 수 있다. According to the present invention, a process can be continuously performed by performing a preceding or following process of a process performed in a process chamber in a buffer chamber utilized as a space for transferring a substrate.

본 발명에 의하면, 버퍼챔버에서 플라즈마공정으로 기판을 클리닝하여 이전 공정에서 발생한 이물질을 제거하여 기판이 바로 후속공정에 투입될 수 있도록 할 수 있다.According to the present invention, the substrate can be cleaned by a plasma process in the buffer chamber to remove foreign substances generated in a previous process, so that the substrate can be directly supplied to a subsequent process.

본 발명의 효과가 상술한 효과들로 한정되는 것은 아니며, 언급되지 아니한 효과들은 본 명세서 및 첨부된 도면으로부터 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 명확히 이해될 수 있을 것이다.The effects of the present invention are not limited to the above-mentioned effects, and the effects not mentioned can be clearly understood by those skilled in the art from the present specification and attached drawings.

도 1은 기판처리장치의 일 실시예의 평면도이다.
도 2은 기판처리장치의 다른 실시예의 평면도이다.
도 3는 도 1의 로드락챔버의 A-A방향의 단면도이다.
도 4은 도 1의 로드락챔버의 B-B방향의 단면도이다.
도 5는 도 1의 버퍼챔버의 일 실시예의 단면도이다.
도 6은 도 1의 버퍼챔버의 다른 실시예의 단면도이다.
도 7은 도 1의 버퍼챔버의 또 다른 실시예의 단면도이다.
도 8은 기판처리설비의 일 실시예의 평면도이다.
도 9는 기판처리설비의 다른 실시예의 평면도이다.
도 10은 기판처리설비의 또 다른 실시예의 평면도이다.
도 11은 기판처리방법의 일 실시예의 순서도이다.
도 12 및 도 13은 도 11의 기판처리방법의 동작도이다.
도 14는 기판처리방법의 다른 실시예의 순서도이다.
1 is a plan view of one embodiment of a substrate processing apparatus.
2 is a plan view of another embodiment of the substrate processing apparatus.
3 is a cross-sectional view of the load lock chamber of FIG. 1 in the AA direction.
4 is a cross-sectional view of the load lock chamber of Fig. 1 in the BB direction.
5 is a cross-sectional view of one embodiment of the buffer chamber of FIG.
6 is a cross-sectional view of another embodiment of the buffer chamber of FIG.
Figure 7 is a cross-sectional view of another embodiment of the buffer chamber of Figure 1;
Figure 8 is a top view of one embodiment of a substrate processing facility.
Figure 9 is a top view of another embodiment of a substrate processing facility.
10 is a plan view of another embodiment of a substrate processing facility.
11 is a flowchart of one embodiment of a substrate processing method.
Figs. 12 and 13 are operation diagrams of the substrate processing method of Fig.
14 is a flowchart of another embodiment of the substrate processing method.

본 명세서에서 사용되는 용어와 첨부된 도면은 본 발명을 용이하게 설명하기 위한 것이므로, 본 발명이 용어와 도면에 의해 한정되는 것은 아니다.The terms and accompanying drawings used herein are for the purpose of illustrating the present invention easily, and the present invention is not limited by the terms and drawings.

본 발명에 이용되는 기술 중 본 발명의 사상과 밀접한 관련이 없는 공지의 기술에 관한 자세한 설명은 생략한다.The detailed description of known techniques which are not closely related to the idea of the present invention among the techniques used in the present invention will be omitted.

이하에서는 본 발명에 따른 기판처리장치(1000)에 관하여 설명한다.Hereinafter, a substrate processing apparatus 1000 according to the present invention will be described.

본 발명에 따른 기판처리장치(1000)는 기판(S)에 대하여 공정을 수행할 수 있다. 여기서, 공정은 반도체소자, 평판디스플레이(FPD: flat panel display) 및 그 밖에 박막에 회로가 형성된 물건의 제조에 필요한 공정을 모두 포함할 수 있다. 또한, 기판(S) 역시 반도체소자, 평판디스플레이 및 그 밖에 박막에 회로가 형성된 물건의 제조에 이용되는 기판을 모두 포함할 수 있다. 예를 들어, 기판(S)으로는 실리콘웨이퍼를 비롯한 다양한 웨이퍼, 유기기판, 유리기판 등이 있을 수 있다. The substrate processing apparatus 1000 according to the present invention can perform a process on the substrate S. Here, the process may include all the steps necessary for manufacturing a semiconductor device, a flat panel display (FPD), and other objects in which a circuit is formed on a thin film. In addition, the substrate S may also include all of the semiconductor devices, flat panel displays, and other substrates used in the fabrication of thin film circuit-formed objects. For example, the substrate S may be a variety of wafers including silicon wafers, organic substrates, glass substrates, and the like.

이하에서는 기판처리장치(1000)의 일 실시예에 관하여 설명한다.Hereinafter, one embodiment of the substrate processing apparatus 1000 will be described.

도 1은 기판처리장치(1000)의 일 실시예의 평면도이다.1 is a plan view of one embodiment of a substrate processing apparatus 1000. As shown in FIG.

도 1을 참조하면, 기판처리장치(1000)는 로드포트(1110), 이송모듈(1100), 복수의 공정모듈(1200) 및 버퍼챔버(2000)를 포함한다. 로드포트(1110)에는 기판(S)이 수납되는 용기(C)가 놓여진다. 이송모듈(1100)은 로드포트(1110)에 놓여진 용기(C)와 공정모듈(1200) 간에 기판(S)을 반송한다. 공정모듈(1200)은 기판(S)을 처리한다. 버퍼챔버(2000)는 서로 인접한 공정모듈(1200) 사이에 배치되어 공정모듈(1200) 간에 기판(S)이 반송되는 공간을 제공한다. Referring to FIG. 1, a substrate processing apparatus 1000 includes a load port 1110, a transfer module 1100, a plurality of process modules 1200, and a buffer chamber 2000. In the load port 1110, a container C in which the substrate S is accommodated is placed. The transport module 1100 transports the substrate S between the container C placed in the load port 1110 and the process module 1200. Process module 1200 processes substrate S. The buffer chamber 2000 is disposed between the process modules 1200 adjacent to each other to provide a space in which the substrates S are transported between the process modules 1200.

로드포트(1110)에는 용기(C)가 탑재된다. 용기(C)는 외부로부터 반송되어 로드포트(1110)에 로딩되거나 또는 로드포트(1110)로부터 언로딩되어 외부로 반송될 수 있다. 예를 들어, 용기(C)는 오버헤드트랜스퍼와 같은 반송수단에 의해 기판처리장치들(1000) 간에 반송될 수 있다. 여기서, 기판(S)의 반송은 오버헤드트랜스퍼 대신 자동안내차량(automatic guided vehicle), 레일안내차량(rail guided vehicle) 등의 다른 반송수단 또는 작업자에 의해 수행될 수 있다.The container C is mounted on the load port 1110. The container C can be carried from the outside and loaded into the load port 1110 or unloaded from the load port 1110 and can be transported out. For example, the container C may be transported between the substrate processing apparatuses 1000 by a transporting means such as overhead transfer. Here, the conveyance of the substrate S may be performed by an operator or other conveying means such as an automatic guided vehicle, a rail guided vehicle or the like instead of the overhead transfer.

용기(C)에는 기판(S)이 수납되는데, 용기(C)로는 전면개방일체형포드(FOUP: front opening unified pod)가 사용될 수 있다. 전면개방일체형포드는 대개 25장의 기판(S)을 그 내부에 수납할 수 있다. 용기(C)는 그 내부가 밀폐될 수 있으며, 이에 따라 용기(C) 내부의 기판(S)이 오염되는 것이 방지될 수 있다. A container S is accommodated in the container C. The container C may be a front opening unified pod (FOUP). The front open integral pod can accommodate generally 25 substrates S therein. The inside of the container C can be sealed so that the substrate S inside the container C can be prevented from being contaminated.

로드포트(1110)는 이송모듈(1100)에 인접하게 배치될 수 있다. 예를 들어, 세 개의 로드포트(1110)가 도 1에 도시된 바와 같이, 이송모듈(1100)의 하우징(1120)의 일측에 제1방향(X)을 따라 일렬로 배치될 수 있다. 물론, 로드포트(1110)의 배치와 수는 이와 상이할 수 있다.The load port 1110 may be disposed adjacent to the transfer module 1100. For example, three load ports 1110 may be arranged in a row along a first direction X on one side of the housing 1120 of the transport module 1100, as shown in FIG. Of course, the arrangement and number of load ports 1110 may be different.

이송모듈(1100)은 용기(C)와 공정모듈(1200) 간에 기판(S)을 반송한다. 이송모듈(1100)은 로드포트(1110)와 공정모듈(1200) 사이에 배치되어 기판(S)의 반송을 수행할 수 있다. 이러한 이송모듈(1100)은 하우징(1120), 이송로봇(1130) 및 이송레일(1140)을 포함할 수 있다. The transfer module 1100 transfers the substrate S between the container C and the process module 1200. The transfer module 1100 may be disposed between the load port 1110 and the process module 1200 to carry the substrate S thereon. The transfer module 1100 may include a housing 1120, a transfer robot 1130, and a transfer rail 1140.

하우징(1120)은 이송모듈(1100)의 외벽을 형성하며, 이송모듈(1100)의 내부공간을 외부와 격리시킨다. 하우징(1120)은 직육면체 형상으로 제공될 수 있다. 물론, 하우징(1120)의 형상은 필요에 따라 적절히 변경될 수 있다. The housing 1120 forms an outer wall of the transfer module 1100 and isolates the inner space of the transfer module 1100 from the outside. The housing 1120 may be provided in a rectangular parallelepiped shape. Of course, the shape of the housing 1120 can be appropriately changed as needed.

하우징(1120)은 로드포트(1110)와 공정모듈(1200)의 사이에 배치된다. 이에 따라 하우징(1120)은 일측이 로드포트(1110)에 연결되며, 타측이 공정모듈(1200)과 연결된다. The housing 1120 is disposed between the load port 1110 and the process module 1200. Accordingly, one side of the housing 1120 is connected to the load port 1110, and the other side is connected to the process module 1200.

로드포트(1110)와 연결되는 하우징(1120)의 상기 일측에는 로드포트(1110)에 탑재된 용기(C)와 기판(S)을 교환하는 개구가 형성되며, 상기 개구를 개폐하는 도어가 제공된다. 또 공정모듈(1200)과 연결되는 하우징(1120)의 상기 타측은 공정모듈(1200)의 로드락챔버(1210)와 연결된다. An opening for exchanging the container C mounted on the load port 1110 with the substrate S is formed at the one side of the housing 1120 connected to the load port 1110 and a door for opening and closing the opening is provided . The other side of the housing 1120 connected to the process module 1200 is connected to the load lock chamber 1210 of the process module 1200.

하우징(1120)의 상면에는 하우징(1120) 내부로 유입되는 공기를 정류하는 팬필터(미도시)가 설치될 수 있다. 이에 의해 하우징(1120)의 내부공간에서 정류된 공기가 위에서 아래방향으로 흐르게 되어 하우징(1120)의 내부가 청정하게 유지될 수 있다. A fan filter (not shown) may be installed on the upper surface of the housing 1120 to rectify the air flowing into the housing 1120. Thus, the air rectified in the inner space of the housing 1120 flows vertically downward, so that the interior of the housing 1120 can be kept clean.

이송로봇(1130)은 직접적으로 기판(S)을 보지(保支)하여 이를 용기(C)와 공정모듈(1200) 간에 반송한다. 이송로봇(1130)은 하우징(1120)의 일측을 통해 용기(C)와 기판(S)을 교환하고, 하우징(1120)의 타측에 연결된 로드락챔버(1210)를 통해 공정모듈(1200)과 기판(S)을 교환할 수 있다. The transfer robot 1130 directly holds the substrate S and transfers it between the container C and the process module 1200. The transfer robot 1130 exchanges the container C and the substrate S through one side of the housing 1120 and transfers the substrate S to the processing module 1200 through the load lock chamber 1210 connected to the other side of the housing 1120, (S) can be exchanged.

이송로봇(1130)은 하우징(1120)의 내부에 설치되며, 이송레일(1140)을 따라 이동할 수 있다. 여기서, 이송레일(1140)은 이송로봇(1130)의 이동경로를 제공할 수 있다. 이송레일(1140)은 하우징(1120) 내부에 제1방향(X)에 따라 배치될 수 있다. 물론, 이송레일(1140)이 상술한 예로 한정되는 것은 아니며, 이송레일(1140)이 다른 방향으로 배치되거나 또는 이송레일(1140)이 생략되고 이송로봇(1130)이 하우징(1120)의 내부 중앙부에 고정되어 설치될 수도 있다. The transfer robot 1130 is installed inside the housing 1120 and can move along the transfer rail 1140. Here, the conveying rail 1140 can provide a moving path of the conveying robot 1130. The transfer rail 1140 may be disposed in the housing 1120 along the first direction X. [ It is needless to say that the transferring rail 1140 is not limited to the above example and that the transferring rail 1140 is arranged in the other direction or the transferring rail 1140 is omitted and the transferring robot 1130 is disposed at the inner central portion of the housing 1120 Or may be fixedly installed.

이러한 이송로봇(1130)은 베이스, 보디, 암 및 핸드를 가질 수 있다. 베이스는 이송레일(1140) 상에 설치되며, 이송레일(1140)을 따라 이동할 수 있다. 보디는 베이스에 결합되며, 베이스 상에서 연직방향을 따라 이동하거나 또는 연직방향을 축으로 회전할 수 있다. 암은 보디에 설치되며, 전진 및 후진을 하여 이동할 수 있다. 암의 일단에는 핸드가 구비되어 기판(S)을 집거나 놓을 수 있다. 암은 하나 또는 복수일 수 있으며, 복수의 암은 서로 연직방향으로 보디에 적층되어 설치되며, 각각 개별적으로 동작할 수 있다. Such a transfer robot 1130 may have a base, a body, an arm, and a hand. The base is installed on the conveying rail 1140 and can move along the conveying rail 1140. The body is coupled to the base and can move along the vertical direction on the base or rotate about the vertical direction. The arm is mounted on the body and can move forward and backward. A hand may be provided at one end of the arm to hold or place the substrate S. The arm may be one or a plurality of arms, and the arms are stacked on the body in the vertical direction, and can be operated individually.

이송로봇(1130)은 이송레일(1140)을 따라 베이스가 이동하며, 보디와 암의 동작에 따라 그 핸드의 위치를 제어하며, 핸드가 용기(C)로부터 기판(S)을 인출하여 이를 공정모듈(1200)로 반입하거나 또는 공정모듈(1200)로부터 기판(S)을 인출하여 용기(C)에 수납할 수 있다. 한편, 용기(C)는 밀폐된 상태로 로드포트(1110)에 탑재될 수 있는데, 하우징(1120)의 내부에는 용기(C)를 개폐하는 용기오프너가 제공될 수 있다. 이송로봇(1130)은 용기오프너에 의해 용기(C)가 개방되면 그에 수납된 기판(S)을 보지할 수 있을 것이다. The transfer robot 1130 moves the base along the transfer rail 1140 and controls the position of the hand in accordance with the operation of the body and the arm and the hand draws the substrate S from the container C, (S) from the process module 1200 and store it in the container (C). On the other hand, the container C can be mounted in the sealed state in the load port 1110, and a container opener for opening and closing the container C can be provided inside the housing 1120. When the container C is opened by the container opener, the transfer robot 1130 will be able to hold the substrate S accommodated therein.

공정모듈(1200)은 기판(S)을 처리하는 공정을 수행한다. 공정모듈(1200)은 기판처리장치(1000)에 복수 개로 제공될 수 있으며, 각각의 공정모듈(1200)은 이송모듈(1100)의 타측에 제1방향(X)에 따라 일렬로 배치될 수 있다. The process module 1200 performs a process of processing the substrate S. The process modules 1200 may be provided in a plurality in the substrate processing apparatus 1000 and each of the process modules 1200 may be arranged in a line in the first direction X on the other side of the transfer module 1100 .

도 1은 기판처리장치(1000)가 두 개의 공정모듈(1200)을 가지는 것으로 도시하고 있으나, 기판처리장치(1000)에 포함되는 공정모듈(1200)의 수는 이와 상이할 수 있다. 1 illustrates that the substrate processing apparatus 1000 has two process modules 1200, the number of process modules 1200 included in the substrate processing apparatus 1000 may be different.

도 2은 기판처리장치(1000)의 다른 실시예의 평면도이다. 도 2를 참조하면, 기판처리장치(1000)에는 세 개 또는 그보다 많은 수의 공정모듈(1200)이 제공될 수도 있을 것이다. 2 is a plan view of another embodiment of the substrate processing apparatus 1000. Fig. Referring to FIG. 2, the substrate processing apparatus 1000 may be provided with three or more processing modules 1200.

각 공정모듈(1200)은 로드락챔버(1210), 트랜스퍼챔버(1220) 및 공정챔버(1230)를 포함한다. 여기서, 로드포트(1110), 이송모듈(1100), 로드락챔버(1210), 트랜스퍼챔버(1220)는 각각 상부에서 볼 때 제1방향(X)에 수직한 제2방향(Y)에 따라 순차적으로 배치될 수 있다. 로드락챔버(1210)는 이송모듈(1100)의 타측에 배치되고, 이송모듈(1100)과 공정모듈(1200) 간의 기판(S)의 교환을 위한 공간을 제공한다. 트랜스퍼챔버(1220)는 그 둘레에 배치된 챔버들 간에 기판(S)을 반송한다. 공정챔버(1230)는 트랜스퍼챔버(1220)의 둘레에 배치되어 공정을 수행한다.Each process module 1200 includes a load lock chamber 1210, a transfer chamber 1220, and a process chamber 1230. Here, the load port 1110, the transfer module 1100, the load lock chamber 1210, and the transfer chamber 1220 are sequentially arranged in a second direction Y perpendicular to the first direction X, As shown in FIG. The load lock chamber 1210 is disposed on the other side of the transfer module 1100 and provides a space for exchanging the substrate S between the transfer module 1100 and the process module 1200. The transfer chamber 1220 transports the substrate S between the chambers disposed therearound. The process chamber 1230 is disposed around the transfer chamber 1220 to perform the process.

로드락챔버(1210)는 이송모듈(1100)과 트랜스퍼챔버(1220)의 사이에 배치되어 이송모듈(1100)과 트랜스퍼챔버(1220) 간에 기판(S)의 교환을 위한 공간을 제공한다. 복수의 공정모듈(1200)의 로드락챔버(1210)는 이송모듈(1100)의 타측에 제1방향(X)에 따라 일렬로 배치될 수 있다. 또, 하나의 공정모듈(1200)이 서로 상하방향으로 적층되어 배치되는 복수의 로드락챔버(1210)를 포함할 수도 있다. The load lock chamber 1210 is disposed between the transfer module 1100 and the transfer chamber 1220 to provide a space for exchanging the substrate S between the transfer module 1100 and the transfer chamber 1220. The load lock chambers 1210 of the plurality of process modules 1200 may be arranged in a line along the first direction X on the other side of the transfer module 1100. In addition, one process module 1200 may include a plurality of load lock chambers 1210 arranged vertically stacked on one another.

도 3는 도 1의 로드락챔버(1210)의 A-A방향의 단면도이고, 도 4은 도 1의 로드락챔버(1210)의 B-B방향의 단면도이다.3 is a cross-sectional view taken along the A-A direction of the load lock chamber 1210 of FIG. 1, and FIG. 4 is a cross-sectional view taken along the B-B direction of the load lock chamber 1210 of FIG.

도 3 및 도 4를 참조하면, 로드락챔버(1210)는 하우징(1211), 지지슬롯(1212) 및 감압부재(1213)를 포함할 수 있다.3 and 4, the load lock chamber 1210 may include a housing 1211, a support slot 1212, and a pressure-reducing member 1213.

하우징(1211)은 로드락챔버(1210)의 외벽을 형성하며, 내부공간을 외부로부터 격리시킨다. 하우징(1211)의 내부에는 이송모듈(1100)과 트랜스퍼챔버(1220) 간에 교환되는 기판(S)이 임시적으로 머무를 수 있다.The housing 1211 forms an outer wall of the load lock chamber 1210 and isolates the inner space from the outside. The substrate S exchanged between the transfer module 1100 and the transfer chamber 1220 may temporarily remain inside the housing 1211. [

하우징(1211)의 일측은 이송모듈(1100)의 타측에 연결되고, 하우징(1211)의 타측은 트랜스퍼챔버(1220)와 연결된다. 하우징(1211)의 일측과 타측에는 각각 기판(S)이 출입하는 개구가 형성되며, 개구를 개폐하는 도어가 설치된다. One side of the housing 1211 is connected to the other side of the transfer module 1100 and the other side of the housing 1211 is connected to the transfer chamber 1220. One side and the other side of the housing 1211 are provided with openings through which the substrate S enters and exits, respectively, and doors for opening and closing the openings are provided.

지지슬롯(1212)은 하우징(1211)의 내벽에 형성된다. 지지슬롯(1212)은 기판(S)의 가장자리를 지지하도록 한 쌍의 이격된 플레이트의 형상으로 제공될 수 있다. 이송모듈(1100)의 이송로봇(1130) 또는 트랜스퍼챔버(1220)의 반송로봇(1222)은 양 플레이트 사이의 이격된 공간을 따라 수직방향으로 이동하여 지지슬롯(1212)에 기판(S)을 놓거나 지지슬롯(1212)으로부터 기판(S)을 집어 들 수 있다. A support slot 1212 is formed in the inner wall of the housing 1211. The support slots 1212 may be provided in the shape of a pair of spaced apart plates to support the edge of the substrate S. [ The transfer robot 1130 of the transfer module 1100 or the transfer robot 1222 of the transfer chamber 1220 moves vertically along the spaced space between both plates to place the substrate S in the support slot 1212 The substrate S can be picked up from the support slot 1212.

이러한 지지슬롯(1212)은 하나 또는 복수일 수 있다. 복수의 지지슬롯(1212)은 하우징(1211)의 내벽에 서로 수직방향으로 이격되어 형성될 수 있다. Such support slots 1212 may be one or more. The plurality of support slots 1212 may be formed on the inner wall of the housing 1211 so as to be vertically spaced from each other.

감압부재(1213)는 하우징(1211)의 내부를 감압할 수 있다. 감압부재(1213)는 감압펌프와 펌프라인을 포함할 수 있다. 여기서, 감압펌프는 외부전원을 이용하여 음압을 발생시키고, 펌프라인은 감압펌프와 하우징(1211)을 연결하여, 감압펌프에서 발생한 음압에 따라 하우징(1211)의 공기를 흡입할 수 있다. The pressure-reducing member 1213 can decompress the inside of the housing 1211. [ The pressure reducing member 1213 may include a pressure reducing pump and a pump line. Here, the decompression pump generates negative pressure using an external power source, and the pump line connects the decompression pump and the housing 1211, so that the air in the housing 1211 can be sucked in accordance with the negative pressure generated in the decompression pump.

일반적으로 이송모듈(1100)의 내부는 상압인 반면, 공정모듈(1200)의 챔버들의 내부는 공정을 수행하기 적합한 조건을 제공하기 위하여 상압 이하의 압력, 예를 들어 진공압으로 유지될 수 있는데, 로드락챔버(1210)는 그 사이에서 기판(S)의 반송 시 공정모듈(1200)의 내부로 공기가 유입되는 것을 방지하는 역할을 할 수 있다. Generally, the interior of the transfer module 1100 is atmospheric, while the interior of the chambers of the process module 1200 may be maintained at a sub-atmospheric pressure, for example vacuum, to provide conditions suitable for performing the process, The load lock chamber 1210 can prevent air from flowing into the processing module 1200 during transportation of the substrate S therebetween.

로드락챔버(1210)는 이송모듈(1100)로부터 기판(S)이 반입되면, 하우징(1211)을 밀폐하고, 감압부재(1213)로 내부를 진공상태로 만든 다음, 하우징(1211)의 타측을 개방하여 트랜스퍼챔버(1220)로 기판(S)을 제공한다. 이러한 절차에 따라 공정모듈(1200)의 내부압력이 진공압으로 유지될 수 있다. The load lock chamber 1210 closes the housing 1211 when the substrate S is carried from the transfer module 1100 and makes the inside of the vacuum chamber 1213 into a vacuum state by the pressure reducing member 1213. Then the other side of the housing 1211 To provide a transfer chamber (1220) with a substrate (S). With this procedure, the internal pressure of the process module 1200 can be maintained at the vacuum pressure.

트랜스퍼챔버(1220)는 트랜스퍼챔버(1220)의 둘레에 배치된 챔버들 간에 기판(S)을 반송한다. 트랜스퍼챔버(1220)의 둘레에는 로드락챔버(1210), 공정챔버(1230) 및 버퍼챔버(2000)가 배치될 수 있다. 구체적으로, 로드락챔버(1210)는 트랜스퍼챔버(1220)와 이송모듈(1100)의 사이에 배치되고, 버퍼챔버(2000)는 복수의 공정모듈(1200)의 트랜스퍼챔버들(1220) 사이에 배치되며, 공정챔버(1230)는 그 외의 트랜스퍼챔버(1220)의 둘레에 배치될 수 있다. The transfer chamber 1220 transports the substrate S between the chambers disposed around the transfer chamber 1220. A load lock chamber 1210, a process chamber 1230, and a buffer chamber 2000 may be disposed around the transfer chamber 1220. Specifically, the load lock chamber 1210 is disposed between the transfer chamber 1220 and the transfer module 1100, and the buffer chamber 2000 is disposed between the transfer chambers 1220 of the plurality of process modules 1200 And the process chamber 1230 may be disposed around other transfer chambers 1220. [

트랜스퍼챔버(1220)는 하우징(1221)과 반송로봇(1222)을 가진다. The transfer chamber 1220 has a housing 1221 and a carrying robot 1222.

하우징(1221)은 트랜스퍼챔버(1220)의 외벽을 형성한다. 트랜스퍼챔버(1220)의 둘레에 배치되는 챔버들(1210, 1230,2000)은 하우징(1221)에 연결되어 배치된다. The housing 1221 forms the outer wall of the transfer chamber 1220. The chambers 1210, 1230, and 2000 disposed around the transfer chamber 1220 are connected to the housing 1221.

반송로봇(1222)은 하우징(1221)의 중앙부에 고정되어 설치되고, 트랜스퍼챔버(1220) 둘레의 챔버들(1210, 1230,2000) 간에 기판(S)을 반송한다. 반송로봇(1222)은 베이스, 보디, 암 및 핸드를 가지며, 베이스가 트랜스퍼챔버(1220)의 중앙부에 고정설치 되는 것을 제외하고는 대개 이송로봇(1130)과 그 구성이 유사하다. The transfer robot 1222 is fixed to the center of the housing 1221 and transfers the substrate S between the chambers 1210, 1230 and 2000 around the transfer chamber 1220. The transfer robot 1222 has a base, a body, an arm, and a hand. The transfer robot 1222 is similar in construction to the transfer robot 1130 except that the base is fixed to the center of the transfer chamber 1220.

공정챔버(1230)는 공정을 수행한다. 공정챔버(1230)에서 수행되는 공정의 대표적인 예로는, 식각공정, 박리공정, 애싱공정, 스트립공정, 증착공정 등이 있다. 물론, 이는 공정챔버(1230)가 수행하는 공정이 상술한 예로 한정되는 것은 아니다.The process chamber 1230 performs the process. Representative examples of the process performed in the process chamber 1230 include an etching process, a peeling process, an ashing process, a strip process, and a deposition process. Of course, this is not a limitation of the process performed by the process chamber 1230 as described above.

각 공정모듈(1200)은 하나 또는 복수의 공정챔버(1230)를 포함할 수 있다. 복수의 공정챔버(1230)는 트랜스퍼챔버(1220)의 둘레에 배치되어 반송로봇(1222)을 통해 기판(S)을 반입받을 수 있다. 여기서, 동일한 공정모듈(1200)에 속하는 복수의 공정챔버(1230)는 모두 동일한 공정을 수행할 수 있다. 또한, 서로 다른 공정모듈(1200)에 속하는 공정챔버(1230)는 서로 상이한 공정을 수행할 수 있다. 물론, 경우에 따라서는 동일한 공정모듈(1200)의 공정챔버(1230)라도 서로 상이한 공정을 수행하거나 반대로 서로 상이한 공정모듈(1200)에 속하는 공정챔버(1230)라도 동일한 공정을 수행할 수 있을 것이다. Each process module 1200 may include one or more process chambers 1230. The plurality of process chambers 1230 may be disposed around the transfer chamber 1220 to receive the substrate S through the transfer robot 1222. Here, the plurality of process chambers 1230 belonging to the same process module 1200 can all perform the same process. In addition, the process chambers 1230 belonging to different process modules 1200 can perform processes different from each other. Of course, in some cases, the process chambers 1230 of the same process module 1200 perform different processes, or conversely, the process chambers 1230 belonging to different process modules 1200 may perform the same process.

공정챔버(1230)는 각각 수행하는 공정에 따라 그 공정을 위해 제공되는 공지의 구성을 포함할 수 있다. The process chamber 1230 may include a known configuration provided for the process, depending on the process each is performing.

버퍼챔버(2000)는 서로 인접한 공정모듈(1200) 사이에 배치된다. 구체적으로는 하나의 공정모듈(1200)의 트랜스퍼챔버(1220), 버퍼챔버(2000), 다른 공정모듈(1200)의 트랜스퍼챔버(1220)가 제1방향(X)에 따라 순차적으로 배치될 수 있다. 이와 같이 배치되는 버퍼챔버(2000)는 공정모듈(1200) 간에 기판(S)이 반송되는 공간을 제공한다. 즉, 기판(S)은 하나의 공정모듈(1200)에서 처리된 뒤, 버퍼챔버(2000)를 통해 직접 다른 공정모듈(1200)로 반송될 수 있다. 일반적으로는 하나의 공정모듈(1200)에서 다른 공정모듈(1200)로 기판(S)을 반송하기 위해서는 이송모듈(1100)을 거쳐야 하는데, 버퍼챔버(2000)를 통해 직접 이동이 가능하면 불필요한 기판(S)의 반송과정을 제거할 수 있다. The buffer chamber 2000 is disposed between process modules 1200 adjacent to each other. Specifically, the transfer chamber 1220 of the one process module 1200, the buffer chamber 2000, and the transfer chamber 1220 of the other process module 1200 may be sequentially disposed along the first direction X . The buffer chamber 2000 thus arranged provides a space in which the substrate S is transported between the processing modules 1200. That is, the substrate S may be processed in one processing module 1200 and then transferred directly to another processing module 1200 through the buffer chamber 2000. Generally, in order to transport the substrate S from one process module 1200 to another process module 1200, it must pass through the transfer module 1100. If it is possible to move directly through the buffer chamber 2000, S can be removed.

버퍼챔버(2000)는 단순하게 기판(S)을 반송하는 통로역할을 하거나 또는 통로역할을 함과 동시에 기판(S)에 대하여 공정을 수행하는 기능을 수행할 수도 있다.The buffer chamber 2000 may serve merely as a passageway for transporting the substrate S or may serve as a passageway and perform a process on the substrate S. [

도 5는 도 1의 버퍼챔버(2000)의 일 실시예의 단면도이다.5 is a cross-sectional view of one embodiment of the buffer chamber 2000 of FIG.

도 5를 참조하면, 버퍼챔버(2000)의 일 실시예는 단순히 기판(S)을 반송하는 통로역할을 수행할 수 있다.Referring to FIG. 5, one embodiment of the buffer chamber 2000 may simply serve as a path for transporting the substrate S.

버퍼챔버(2000)는 하우징(2100) 및 지지부재(2200)를 포함하며, 회전부재(2300) 및 감압부재(2400)를 선택적으로 더 포함할 수 있다.The buffer chamber 2000 includes a housing 2100 and a support member 2200 and may further optionally include a rotary member 2300 and a pressure-reducing member 2400.

하우징(2100)은 버퍼챔버(2000)의 외벽을 형성하며, 서로 인접한 공정모듈(1200)의 트랜스퍼챔버(1220)의 하우징(1221)의 사이에 배치된다. 하우징의 일측과 타측에는 각각 기판(S)이 출입하는 개구가 형성되며, 개구를 개폐하는 도어가 설치된다. The housing 2100 forms the outer wall of the buffer chamber 2000 and is disposed between the housings 1221 of the transfer chamber 1220 of the process module 1200 adjacent to each other. On one side and the other side of the housing are formed openings through which the substrate S enters and exits, respectively, and doors for opening and closing the openings are provided.

지지부재(2200)는 기판(S)을 지지한다. 지지부재(2200)는 하우징(2100)의 중앙부에 설치된다. 지지부재(2200)는 그 상면이 기판(S)과 유사한 형상으로 제공되며, 기판(S)의 면적과 동일하거나 더 크게 제공될 수 있다. 또한, 지지부재(2200)는 리프트핀을 포함하여 반송로봇(1222)이 기판(S)을 잡기 용이하도록 기판(S)을 상하로 이동시킬 수도 있다. 경우에 따라서는 지지부재(2200)는 로드락챔버(1210)의 지지슬롯(1212)과 유사하게 제공될 수도 있다.The support member 2200 supports the substrate S. The support member 2200 is installed at the center of the housing 2100. The upper surface of the support member 2200 is provided in a shape similar to that of the substrate S and may be provided equal to or larger than the area of the substrate S. [ The support member 2200 may also include a lift pin to move the substrate S up and down so that the transfer robot 1222 can easily hold the substrate S. [ In some cases, the support member 2200 may be provided similar to the support slot 1212 of the load lock chamber 1210.

회전부재(2300)는 지지부재(2200)에 안착된 기판(S)을 회전시킨다. 공정모듈(1200) 간에 기판(S) 교환 시 기판(S)의 방향을 정렬(alignment)할 필요가 있는데, 회전부재(2300)는 기판(S)을 회전시켜 기판(S)을 정렬할 수 있다. 회전부재(2300)는 회전모터 및 회전축으로 형성될 수 있으며, 회전모터는 회전력을 발생시키고, 회전축은 회전모터와 지지부재(2200)에 연결되어 회전모터에서 발생한 회전력에 따라 지지부재(2200)를 회전시켜 그에 안착된 기판(S)을 회전시킬 수 있다. The rotating member 2300 rotates the substrate S that is seated on the supporting member 2200. It is necessary to align the direction of the substrate S when the substrate S is exchanged between the processing modules 1200. The rotating member 2300 can align the substrate S by rotating the substrate S . The rotary member 2300 may be formed of a rotary motor and a rotary shaft. The rotary motor generates a rotary force, and the rotary shaft is connected to the rotary motor and the support member 2200 to rotate the support member 2200 So that the substrate S placed on the substrate S can be rotated.

감압부재(2400)는 하우징(2100)의 내부를 감압할 수 있다. 복수의 공정모듈(1200) 간에는 각각 그 내부 압력이 서로 상이하게 설정될 수 있으므로, 감압부재(2400)는 하우징(2100)의 내부를 감압하여 공정모듈(1200) 중 내부압력이 높은 공정모듈(1200)로부터 내부압력이 낮은 공정모듈(1200)로 공기가 유입되는 것을 방지할 수 있다. 감압부재(2400)의 구성은 로드락챔버(1210)의 감압부재(1213)와 유사할 수 있으므로, 이에 대한 자세한 설명은 생략한다.The pressure-reducing member 2400 can decompress the interior of the housing 2100. The pressure reducing member 2400 may reduce the pressure inside the housing 2100 so that the processing module 1200 having the high internal pressure of the processing module 1200 It is possible to prevent air from flowing into the process module 1200 having a low internal pressure. The configuration of the pressure-reducing member 2400 may be similar to that of the pressure-reducing member 1213 of the load lock chamber 1210, so that detailed description thereof will be omitted.

한편, 서로 공정모듈(1200) 간에 내부기압이 동일한 경우에는 버퍼챔버(2000)에 감압부재(2400)가 생략될 수 있으며, 이때에는 하우징(2100)을 밀폐할 필요가 없기 때문에 개구를 개폐하는 도어가 생략될 수 있다.On the other hand, when the internal pressures of the process modules 1200 are equal to each other, the pressure reducing member 2400 may be omitted in the buffer chamber 2000. Since the housing 2100 does not need to be hermetically closed, Can be omitted.

도 6은 도 1의 버퍼챔버(2000)의 다른 실시예의 단면도이다.6 is a cross-sectional view of another embodiment of the buffer chamber 2000 of FIG.

버퍼챔버(2000)는 공정모듈(1200) 간의 통로역할을 함과 동시에 기판(S)에 대하여 공정을 수행하는 기능을 수행할 수도 있다. 여기서, 버퍼챔버(2000)가 수행하는 공정은 공정챔버(1230)에서 수행되는 공정의 선행공정 또는 후행공정일 수 있다. 이하에서는 버퍼챔버(2000)가 클리닝공정, 특히 플라즈마를 이용한 클리닝공정을 수행하는 것을 기준으로 설명한다. 다만, 버퍼챔버(2000)가 수행하는 공정은 이외에도 다양할 수 있으며, 상술한 예로 한정되는 것은 아니다. 이와 같이 버퍼챔버(2000)가 공정을 수행하면, 동일한 풋프린트에 버퍼챔버(2000)에서 수행되는 공정을 수행하는 공정챔버(1230) 대신 다른 공정을 수행하는 공정챔버(1230)를 더 많이 배치할 수 있어 공간효율이 향상된다.The buffer chamber 2000 may serve as a path between the process modules 1200 and perform a process on the substrate S. [ Here, the process performed by the buffer chamber 2000 may be a pre-process or a post-process of the process performed in the process chamber 1230. Hereinafter, the buffer chamber 2000 performs a cleaning process, in particular, a cleaning process using plasma. However, the process performed by the buffer chamber 2000 may be varied, and is not limited to the above example. When the buffer chamber 2000 is thus processed, more processing chambers 1230 for performing other processes in place of the process chambers 1230 for performing the processes performed in the buffer chambers 2000 are arranged in the same footprint And space efficiency is improved.

도 6을 참조하면, 버퍼챔버(2000)의 다른 실시예는 하우징(2100), 지지부재(2200), 가열부재(2500) 및 플라즈마공급기(2600)를 포함할 수 있다.6, another embodiment of the buffer chamber 2000 may include a housing 2100, a support member 2200, a heating member 2500, and a plasma feeder 2600.

하우징(2100) 및 지지부재(2200)에 관해서는 버퍼챔버(2000)의 일 실시예에서 상술한 바 있으므로, 이에 관한 자세한 설명은 생략한다.Since the housing 2100 and the support member 2200 have been described in the embodiment of the buffer chamber 2000, a detailed description thereof will be omitted.

가열부재(2500)는 지지부재(2200)에 안착된 기판(S)을 가열할 수 있다. 예를 들어, 가열부재(2500)는 하우징(2100)의 외벽 또는 지지부재(2200) 내에 매설되는 히터 또는 하우징(2100)의 일측에 형성되어 고온가스를 하우징(2100)으로 공급하는 고온가스라인 등으로 구현될 수 있다. 가열부재(2500)에 의해 기판(S)이 가열되면, 기판(S)으로부터 기판(S) 상에 잔류하는 이물질을 제거할 수 있다. 여기서, 이물질을 공정챔버(1230)에서 선행된 공정에서 발생한 것일 수 있다. The heating member 2500 can heat the substrate S that is seated on the support member 2200. [ For example, the heating member 2500 may be a heater or a hot gas line formed at one side of the housing 2100 embedded in the outer wall of the housing 2100 or the support member 2200 to supply the hot gas to the housing 2100 Lt; / RTI > When the substrate S is heated by the heating member 2500, the foreign substance remaining on the substrate S can be removed from the substrate S. Here, the foreign matter may be generated in the process preceding the process chamber 1230.

플라즈마공급기(2600)는 하우징(2100)의 내부에 플라즈마를 제공할 수 있다. 버퍼챔버(2000)는 이러한 플라즈마를 이용하여 플라즈마공정을 수행할 수 있다. 예를 들어, 버퍼챔버(2000)는 플라즈마애싱공정 또는 플라즈마클리닝공정을 수행할 수 있다. 다만, 버퍼챔버(2000)는 플라즈마공정 대신 다른 공정을 수행할 수도 있으며 이 경우에는 플라즈마공급기(2600)가 다른 구성으로 변경될 수 있다. 예를 들어, 케미컬이나 가스를 이용하여 공정을 진행하는 경우에 하우징(2100)에는 케미컬공급기나 가스공급기 등이 플라즈마공급기(2600) 대신 설치될 수 있다.The plasma feeder 2600 may provide plasma within the housing 2100. The buffer chamber 2000 can perform the plasma process using this plasma. For example, the buffer chamber 2000 may perform a plasma ashing process or a plasma cleaning process. However, the buffer chamber 2000 may perform another process instead of the plasma process. In this case, the plasma supply device 2600 may be changed to another configuration. For example, when the process is performed using a chemical or a gas, a chemical feeder or a gas feeder may be installed in the housing 2100 instead of the plasma feeder 2600.

플라즈마공급기(2600)는 플라즈마소스(2610), 공급관(2620) 및 샤워헤드(2630)를 포함할 수 있다.The plasma feeder 2600 may include a plasma source 2610, a feed tube 2620, and a showerhead 2630.

플라즈마소스(2610)는 플라즈마를 생성한다. 예를 들어, 플라즈마소스(2610)는 리모트플라즈마발생기(remote plasma generator), 용량결합형플라즈마발생기(CCP: capacitively coupled plasma generator) 또는 유도결합형플라즈마발생기(ICP: inductively coupled plasma generator)일 수 있다. The plasma source 2610 generates a plasma. For example, the plasma source 2610 may be a remote plasma generator, a capacitively coupled plasma generator (CCP), or an inductively coupled plasma generator (ICP).

이 중 리모트플라즈마발생기는 하우징(2100)의 외부에 위치하며, 가스공급원(미도시)으로부터 가스를 공급받아 공급된 가스를 이용하여 플라즈마를 생성할 수 있다. 공급관(2620)은 생성된 플라즈마를 하우징(2100)의 내부로 공급한다. 샤워헤드(2630)는 공급관(2620)의 일단에 설치되며, 공급관(2620)을 통해 공급되는 플라즈마는 샤워헤드(2630)를 통해 하우징(2100)으로 분사될 수 있다. Among these, the remote plasma generator is located outside the housing 2100, and can generate plasma using gas supplied from a gas supply source (not shown). The supply pipe 2620 supplies the generated plasma to the inside of the housing 2100. The showerhead 2630 is installed at one end of the supply pipe 2620 and the plasma supplied through the supply pipe 2620 may be injected into the housing 2100 through the showerhead 2630.

용량결합형플라즈마발생기 또는 유도결합형플라즈마발생기의 경우에는, 플라즈마소소가 하우징(2100)의 내부나 하우징(2100)의 외벽에 설치될 수 있다. 이 경우에는 공급관(2620)이 외부의 가스공급원(미도시)로부터 가스를 공급받아, 하우징(2100)의 내부로 공급하고, 플라즈마소스(2610)가 이를 이용하여 플라즈마를 생성할 수 있다.In the case of a capacitively coupled plasma generator or an inductively coupled plasma generator, a plasma source may be installed inside the housing 2100 or on the outer wall of the housing 2100. In this case, the supply pipe 2620 receives gas from an external gas supply source (not shown) and supplies the gas to the inside of the housing 2100, and the plasma source 2610 can generate plasma using the gas.

도 7은 도 1의 버퍼챔버(2000)의 또 다른 실시예의 단면도이다.7 is a cross-sectional view of another embodiment of the buffer chamber 2000 of FIG.

이상에서는 버퍼챔버(2000)가 단일한 하우징(2100)으로 구현되는 것으로 설명하였다. 그러나 버퍼챔버(2000)는 복수의 하우징이 적층되는 구조로 제공될 수도 있다. 이와 같이 버퍼챔버(2000)가 적층되면, 동일한 풋프린트에 더 많은 버퍼챔버(2000)를 배치할 수 있어 공간효율이 향상될 수 있다. In the above description, the buffer chamber 2000 is realized as a single housing 2100. However, the buffer chamber 2000 may be provided in a structure in which a plurality of housings are stacked. When the buffer chamber 2000 is stacked as described above, more buffer chambers 2000 can be disposed in the same footprint, and space efficiency can be improved.

도 7을 참조하면, 버퍼챔버(2000)는 복수의 하우징(2100)을 포함할 수 있다. 복수의 하우징(2100)은 각각 상술한 버퍼챔버(2000)의 일 실시예 또는 버퍼챔버(2000)의 다른 실시예 중 어느 하나의 구성을 가질 수 있다. Referring to FIG. 7, the buffer chamber 2000 may include a plurality of housings 2100. The plurality of housings 2100 may each have an arrangement of any one of the embodiments of the buffer chamber 2000 or the buffer chamber 2000 described above.

예를 들어, 버퍼챔버(2000)는 서로 상하로 적층되는 상부하우징(2100a)과 하부하우징(2100b)을 포함할 수 있다. 여기서, 하부하우징(2100b)에는 단순히 공정모듈(1200) 간에 기판(S)이 이동하는 경로를 제공하거나 기판(S)을 정렬하는 기능을 수행하도록, 지지부재(2200b)와 회전부재(2300)만을 가질 수 있다. 또한, 상부하우징(2100a)에는 이동경로를 제공하는 동시에 공정을 수행하도록, 지지부재(2200a), 가열부재(2500) 및 플라즈마공급기(2600)를 포함할 수 있다.For example, the buffer chamber 2000 may include an upper housing 2100a and a lower housing 2100b, which are stacked on top of each other. Here, only the supporting member 2200b and the rotating member 2300 are provided in the lower housing 2100b so as to perform a function of simply providing a path for moving the substrate S between the processing modules 1200 or aligning the substrate S. [ Lt; / RTI > The upper housing 2100a may also include a support member 2200a, a heating member 2500 and a plasma feeder 2600 to provide a path of movement and to perform the process.

물론, 경우에 따라서는 상부하우징(2100a)과 하부하우징(2100b)이 모두 통로역할만을 수행하거나 모두 통로역할과 공정을 수행하는 기능을 겸할 수도 있으며, 나아가 적층되는 하우징(2100)의 수가 세 개 이상일 수도 있다.Of course, in some cases, the upper housing 2100a and the lower housing 2100b may both function as passages, or both may serve as a passageway and perform a process. Further, the number of the housings 2100 to be laminated may be three or more It is possible.

이하에서는 본 발명에 따른 기판처리설비(100)에 관하여 설명한다.Hereinafter, a substrate processing apparatus 100 according to the present invention will be described.

본 발명에 따른 기판처리설비(100)는 복수의 기판처리장치(1000) 및 버퍼챔버(2000)를 포함할 수 있다. 여기서, 기판처리장치(1000)는 각각 다른 공정을 수행할 수 있다. The substrate processing apparatus 100 according to the present invention may include a plurality of substrate processing apparatuses 1000 and a buffer chamber 2000. Here, the substrate processing apparatus 1000 can perform different processes.

이하에서는 기판처리설비(100)의 일 실시예에 관하여 설명한다. Hereinafter, one embodiment of the substrate processing apparatus 100 will be described.

도 8은 기판처리설비(100)의 일 실시예의 평면도이다.8 is a plan view of one embodiment of the substrate processing facility 100. FIG.

도 8을 참조하면, 기판처리설비(100)의 일 실시예에서는, 복수의 기판처리장치(1000)가 제1방향(X)에 따라 배치되고, 버퍼챔버(2000)가 복수의 기판처리장치(1000) 중 서로 인접한 기판처리장치(1000)의 사이에 배치된다. 이에 따라 하나의 기판처리장치(1000), 버퍼챔버(2000), 다른 기판처리장치(1000)가 제1방향(X)에 따라 순차적으로 배치된다.8, in an embodiment of the substrate processing apparatus 100, a plurality of substrate processing apparatuses 1000 are arranged in a first direction X and a buffer chamber 2000 is arranged in a plurality of substrate processing apparatuses 1000 disposed adjacent to each other. Accordingly, one substrate processing apparatus 1000, the buffer chamber 2000, and the other substrate processing apparatus 1000 are sequentially disposed along the first direction X. [

각 기판처리장치(1000)에서 로드포트(1110), 이송모듈(1100), 복수의 공정모듈(1200)이 제2방향(Y)에 따라 배치되고, 그 기판처리장치(1000)의 하나의 공정모듈(1200)의 트랜스퍼챔버(1220), 버퍼챔버(2000), 다른 공정모듈(1200)의 트랜스퍼챔버(1220)는 제1방향(X)에 따라 배치된다. 기판처리장치(1000)에 관해서는 이미 상술한 바 있으므로 구체적인 설명은 생략한다. In each substrate processing apparatus 1000, a load port 1110, a transfer module 1100, and a plurality of process modules 1200 are arranged in a second direction Y, and one process of the substrate processing apparatus 1000 The transfer chamber 1220 of the module 1200, the buffer chamber 2000 and the transfer chamber 1220 of the other process module 1200 are arranged in the first direction X. [ Since the substrate processing apparatus 1000 has already been described above, a detailed description thereof will be omitted.

버퍼챔버(2000)는 복수의 공정모듈(1200) 사이에 배치되는 버퍼챔버(2000)와 동일 또는 유사한 구조로 제공될 수 있다. The buffer chamber 2000 may be provided in the same or similar structure as the buffer chamber 2000 disposed between the plurality of process modules 1200.

다만, 기판처리설비(100)에서 서로 인접한 기판처리장치(1000) 사이에 배치되는 버퍼챔버(2000)는 공정모듈(1200) 간에서 기판(S)이 반송되는 공간을 제공하는 대신 기판처리장친 간에 기판(S)이 반송되는 공간을 제공한다. 이러한 버퍼챔버(2000)는 하나의 기판처리장치(1000)의 트랜스퍼챔버(1220)와 그에 인접하여 배치된 다른 기판처리장치(1000)의 트랜스퍼챔버(1220) 사이에 배치된다. 따라서, 버퍼챔버(2000)는 그 일측과 타측이 하나의 기판처리장치(1000)의 트랜스퍼챔버(1220)와 그에 인접하여 배치된 다른 기판처리장치(1000)의 트랜스퍼챔버(1220)에 연결된다.The buffer chamber 2000 disposed between the substrate processing apparatuses 1000 adjacent to each other in the substrate processing apparatus 100 is provided with a substrate processing apparatus 1200 for providing a space for transporting the substrate S between the processing modules 1200, Thereby providing a space in which the substrate S is transported. This buffer chamber 2000 is disposed between the transfer chamber 1220 of one substrate processing apparatus 1000 and the transfer chamber 1220 of another substrate processing apparatus 1000 disposed adjacent thereto. The buffer chamber 2000 is connected to the transfer chamber 1220 of one substrate processing apparatus 1000 and the transfer chamber 1220 of another substrate processing apparatus 1000 disposed adjacent thereto.

다만, 도 8은 기판처리설비(100)가 두 개의 기판처리장치(1000)를 가지는 것으로 도시하고 있으나, 기판처리설비(100)에 포함되는 기판처리장치(1000)의 수는 이와 상이할 수 있으며, 또한 기판처리장치(1000)의 구성도 상술한 바와 상이할 수 있다. 8 shows that the substrate processing apparatus 100 has two substrate processing apparatuses 1000. However, the number of the substrate processing apparatuses 1000 included in the substrate processing apparatus 100 may be different , And the configuration of the substrate processing apparatus 1000 may also be different from those described above.

도 9는 기판처리설비(100)의 다른 실시예의 평면도이다.9 is a plan view of another embodiment of the substrate processing facility 100. FIG.

기판처리설비(100)에 제공되는 기판처리장치(1000)가 반드시 복수의 공정모듈(1200)과 그 사이에 배치되는 버퍼챔버(2000)를 가지는 형태, 즉 본 발명의 기판처리장치(1000)의 일 실시예로 한정되는 것은 아니다.The substrate processing apparatus 1000 provided in the substrate processing apparatus 100 necessarily includes a plurality of processing modules 1200 and a buffer chamber 2000 disposed therebetween, The present invention is not limited to the embodiment.

도 9를 참조하면, 기판처리설비(100)에 포함되는 기판처리장치(1000)는 로드포트(1110), 이송모듈(1100) 및 하나의 공정모듈(1200)을 포함하며, 이에 따라 서로 인접한 공정모듈(1200) 간에 배치되는 버퍼챔버(2000)는 생략될 수 있다. 9, the substrate processing apparatus 1000 included in the substrate processing apparatus 100 includes a load port 1110, a transfer module 1100, and a process module 1200, The buffer chamber 2000 disposed between the modules 1200 may be omitted.

도 10는 기판처리설비(100)의 또 다른 실시예의 평면도이다. 도 9를 참조하면, 기판처리설비(100)에는 세 개 또는 그보다 많은 수의 기판처리장치(1000)가 제공될 수도 있을 것이다. 10 is a plan view of another embodiment of the substrate processing apparatus 100. Fig. Referring to FIG. 9, the substrate processing apparatus 100 may be provided with three or more substrate processing apparatuses 1000. FIG.

이하에서는 본 발명에 따른 기판처리방법에 관하여 상술한 본 발명에 따른 기판처리장치(1000) 및 기판처리설비(100)를 기준으로 설명한다.Hereinafter, the substrate processing apparatus 1000 and the substrate processing apparatus 100 according to the present invention will be described with reference to the substrate processing method according to the present invention.

이는 설명을 용이하게 하기 위한 것에 불과하므로, 본 발명에 따른 기판처리방법이 상술한 기판처리장치(1000) 및 기판처리설비(100)에 의해 한정되는 것은 아니며, 이와 동일 또는 유사한 기능을 수행하는 다른 장치를 이용하여 수행될 수 있다.The substrate processing method according to the present invention is not limited to the substrate processing apparatus 1000 and the substrate processing apparatus 100 described above, Device. ≪ / RTI >

이하에서는 기판처리방법의 일 실시예에 관하여 설명한다. 기판처리방법의 일 실시예는, 기판처리장치(1000)에서 기판(S)을 처리하는 방법에 관한 것이다.Hereinafter, one embodiment of the substrate processing method will be described. One embodiment of the substrate processing method relates to a method of processing a substrate S in the substrate processing apparatus 1000. [

도 11은 기판처리방법의 일 실시예의 순서도이다.11 is a flowchart of one embodiment of a substrate processing method.

도 11을 참조하면, 기판처리방법은 용기(C)로부터 제1공정모듈(1200a)의 로드락챔버(1210a)로 기판(S)을 반송하는 단계(S110), 제1공정모듈(1200a)의 로드락챔버(1210a)로부터 공정챔버(1230a)로 기판(S)을 반송하는 단계(S120), 제1공정모듈(1200a)의 공정챔버(1230a)가 공정을 수행하는 단계(S130), 제1공정모듈(1200a)의 공정챔버(1230a)로부터 버퍼챔버(2000)로 기판(S)을 반송하는 단계(S140), 버퍼챔버(2000)로부터 제2공정모듈(1200b)의 공정챔버(1230b)로 기판(S)을 반송하는 단계(S150), 제2공정모듈(1200b)의 공정챔버(1230b)가 공정을 수행하는 단계(S160), 제2공정모듈(1200b)의 공정챔버(1230b)로부터 제2공정모듈(1200b)의 로드락챔버(1210b)로 기판(S)을 반송하는 단계(S170) 및 기판(S)을 용기(C)에 수납하는 단계(S180)을 포함할 수 있다. 다만, 상술한 단계가 반드시 설명된 순서에 따라 실행되어야만 하는 것은 아니며, 나중에 설명한 단계가 앞서 설명된 단계보다 먼저 수행될 수도 있으며, 이는 후술할 기판처리방법의 다른 실시예에서도 마찬가지이다. 이하에서는 상술한 각 단계에 관하여 설명한다.11, a substrate processing method includes a step S110 of transferring a substrate S from a container C to a load lock chamber 1210a of a first processing module 1200a, A step S120 of transferring the substrate S from the load lock chamber 1210a to the process chamber 1230a, a step S130 of performing the process of the process chamber 1230a of the first process module 1200a, (S140) transferring the substrate S from the process chamber 1230a of the process module 1200a to the buffer chamber 2000 and transferring the substrate S from the buffer chamber 2000 to the process chamber 1230b of the second process module 1200b A step S160 of carrying the substrate S to the processing chamber 1230b of the second processing module 1200b and a step S160 of performing the processing of the processing chamber 1230b of the second processing module 1200b Step S170 of transferring the substrate S to the load lock chamber 1210b of the first process module 1200b and step S180 of housing the substrate S in the container C. It should be noted, however, that the above-described steps do not necessarily have to be performed in accordance with the described order, and the steps described later may be performed before the steps described above, which is also true in other embodiments of the substrate processing method described later. Hereinafter, each of the above-described steps will be described.

도 12 및 도 13은 도 11의 기판처리방법의 동작도이다.Figs. 12 and 13 are operation diagrams of the substrate processing method of Fig.

도 12를 참조하면, 이송모듈(1100)은 용기(C)로부터 제1공정모듈(1200a)의 로드락챔버(1210a)로 기판(S)을 반송한다(S110). 용기오프너가 용기(C)를 오픈하면, 이송로봇(1130)은 용기(C)로부터 기판(S)을 인출한다. 로드락챔버(1210a)의 도어는 이송모듈(1100)과 연결된 개구를 열고, 이송로봇(1130)이 지지슬롯(1212)에 기판(S)을 안착시킨다. 로드락챔버(1210a)에 기판(S)이 반입되면, 하우징(1211)이 밀폐되고, 감압부재(1213)가 내부에 진공압을 인가한다. 내부가 진공이 되면, 로드락챔버(1210a)의 트랜스퍼챔버(1220a)와 연결된 개구가 열린다.12, the transfer module 1100 transfers the substrate S from the container C to the load lock chamber 1210a of the first process module 1200a (S110). When the container opener opens the container C, the transfer robot 1130 takes out the substrate S from the container C. [ The door of the load lock chamber 1210a opens the opening connected to the transfer module 1100 and the transfer robot 1130 places the substrate S in the support slot 1212. [ When the substrate S is carried into the load lock chamber 1210a, the housing 1211 is closed, and the pressure-sensitive member 1213 applies vacuum pressure inside. When the interior is evacuated, the opening associated with the transfer chamber 1220a of the load lock chamber 1210a is opened.

트랜스퍼챔버(1220a)가 제1공정모듈(1200a)의 로드락챔버(1210a)로부터 공정챔버(1230a)로 기판(S)을 반송한다(S120). 로드락챔버(1210a)의 개구가 열리면, 반송로봇(1222a)이 로드락챔버(1210a)로부터 기판(S)을 인출하여 이를 공정챔버(1230a)로 반입시킨다.The transfer chamber 1220a transfers the substrate S from the load lock chamber 1210a of the first process module 1200a to the process chamber 1230a at step S120. When the opening of the load lock chamber 1210a is opened, the transfer robot 1222a takes out the substrate S from the load lock chamber 1210a and brings it into the process chamber 1230a.

기판(S)이 반입되면, 제1공정모듈(1200a)의 공정챔버(1230a)가 공정을 수행한다(S130).When the substrate S is loaded, the process chamber 1230a of the first process module 1200a performs the process (S130).

공정이 종료되면, 트랜스퍼챔버(1220a)가 제1공정모듈(1200a)의 공정챔버(1230a)로부터 버퍼챔버(2000)로 기판(S)을 반송한다(S140). 반송로봇(1222a)은 공정챔버(1230a)로부터 기판(S)을 인출하고, 버퍼챔버(2000)의 도어가 열리면, 그 지지부재(2200)에 기판(S)을 안착시킨다. When the process is completed, the transfer chamber 1220a transfers the substrate S from the process chamber 1230a of the first process module 1200a to the buffer chamber 2000 (S140). The transfer robot 1222a draws the substrate S from the process chamber 1230a and seats the substrate S on the support member 2200 when the door of the buffer chamber 2000 is opened.

지지부재(2200)에 기판(S)이 안착되면, 버퍼챔버(2000)가 기판(S)을 정렬하거나(S141) 또는 공정을 수행할 수 있다(S142). 예를 들어, 회전부재(2300)는 지지부재(2200)를 회전시켜 기판(S)의 방향을 회전시킬 수 있다. 다른 예를 들어, 지지부재(2200)에 기판(S)이 안착되면, 플라즈마공급기(2600)가 하우징(2100)으로 플라즈마를 공급하여 플라즈마공정을 수행할 수 있다. 이때에는 가열부재(2500)가 기판(S)을 가열할 수도 있다. 이러한 플라즈마공정을 수행하면, 공정챔버(1230a)에서 기판(S) 상에 발생한 이물질이 제거될 수 있다.When the substrate S is mounted on the support member 2200, the buffer chamber 2000 can align the substrate S (S141) or perform the process (S142). For example, the rotating member 2300 can rotate the direction of the substrate S by rotating the supporting member 2200. [ In another example, when the substrate S is mounted on the support member 2200, the plasma supplier 2600 may supply the plasma to the housing 2100 to perform the plasma process. At this time, the heating member 2500 may heat the substrate S. By performing such a plasma process, foreign matter generated on the substrate S in the process chamber 1230a can be removed.

물론, 버퍼챔버(2000)가 단순히 통로로 역할을 하는 경우에는 단계 S141 또는 S142가 생략될 수 있으며, 경우에 따라서는 버퍼챔버(2000)가 기판(S)의 정렬과 공정을 같이 수행할 수도 있다. 또한, 버퍼챔버(2000)가 플라즈마공정 대신 다른 공정을 수행할 수도 있다. Of course, step S141 or S142 may be omitted if the buffer chamber 2000 serves merely as a passageway, and in some cases, the buffer chamber 2000 may perform the same process as the alignment of the substrate S . Further, the buffer chamber 2000 may perform another process instead of the plasma process.

제2공정모듈(1200b)의 트랜스퍼챔버(1220b)가 버퍼챔버(2000)로부터 제2공정모듈(1200b)의 공정챔버(1230b)로 기판(S)을 반송한다(S150). 트랜스퍼챔버(1220b)는 버퍼챔버(2000)로부터 기판(S)을 인출하여 이를 제2공정모듈(1200b)의 공정챔버(1230b)로 반입할 수 있다. 여기서, 제2공정모듈(1200b)은 제1공정모듈(1200a)과 버퍼챔버(2000)를 사이에 두고 인접한 공정모듈(1200)이다.The transfer chamber 1220b of the second process module 1200b transfers the substrate S from the buffer chamber 2000 to the process chamber 1230b of the second process module 1200b at step S150. The transfer chamber 1220b can take the substrate S from the buffer chamber 2000 and bring it into the process chamber 1230b of the second process module 1200b. Here, the second process module 1200b is a process module 1200 adjacent to the first process module 1200a and the buffer chamber 2000.

기판(S)이 반입되면, 제2공정모듈(1200b)의 공정챔버(1230b)가 공정을 수행한다(S160). 여기서, 공정챔버(1230b)가 수행하는 공정은 제1공정모듈(1200a)의 공정챔버(1230a)에서 수행된 공정과 상이한 공정일 수 있다. 버퍼챔버(2000)에서 플라즈마 등을 이용하여 클리닝공정이 수행된 경우에는, 기판(S)으로부터 이물질이 제거된 상태로 기판(S)이 반입되므로 공정이 효율적으로 진행될 수 있다.When the substrate S is loaded, the process chamber 1230b of the second process module 1200b performs the process (S160). Here, the process performed by the process chamber 1230b may be a process different from the process performed in the process chamber 1230a of the first process module 1200a. In the case where the cleaning process is performed using the plasma or the like in the buffer chamber 2000, the substrate S is carried in a state in which the foreign substances are removed from the substrate S, so that the process can be efficiently performed.

트랜스퍼챔버(1220b)는 제2공정모듈(1200b)의 공정챔버(1230b)로부터 제2공정모듈(1200b)의 로드락챔버(1210b)로 기판(S)을 반송하고(S170), 이송모듈(1100)이 로드락챔버(1210b)로부터 기판(S)을 인출하여 기판(S)을 용기(C)에 수납한다(S180). 이로써, 기판(S)은 제1공정모듈(1200a), 버퍼챔버(2000), 제2공정모듈(1200b)을 각각 거치게 되며, 각각의 챔버가 기판(S)에 공정을 가할 수 있다. The transfer chamber 1220b transports the substrate S from the process chamber 1230b of the second process module 1200b to the load lock chamber 1210b of the second process module 1200b at step S170, ) Draws the substrate S from the load lock chamber 1210b and stores the substrate S in the container C (S180). In this way, the substrate S passes through the first process module 1200a, the buffer chamber 2000, and the second process module 1200b, respectively, and each chamber can process the substrate S.

버퍼챔버(2000)가 없는 경우에는 각각의 공정을 거치기 위해서는 제1공정모듈(1200a)로부터 다시 로드락챔버(1210a)를 거쳐 이송모듈(1100)로 기판(S)이 반환된 후 다시 로드락챔버(1210b)를 거쳐 제2공정모듈(1200b)로 기판(S)이 반송되어야 하므로, 본 발명에 따르면 불필요한 기판(S)의 반송과정이 생략되므로 기판(S)의 처리시간이 단축되어 결과적으로 기판처리율이 향상될 수 있다.In the absence of the buffer chamber 2000, the substrate S is returned from the first process module 1200a to the transfer module 1100 through the load lock chamber 1210a, Since the substrate S is transported to the second process module 1200b via the second process module 1210b according to the present invention, the process of transporting the unnecessary substrate S is omitted, thereby shortening the processing time of the substrate S. As a result, The throughput can be improved.

여기서, 경우에 따라서는 제2공정모듈(1200b)에서 공정이 종료된 뒤(S160), 기판(S)을 버퍼챔버(2000)를 통해 다시 제1공정모듈(1200a)로 반환할 수도 있다(S170a). 제1공정모듈(1200a)은 기판(S)에 대하여 다시 공정을 수행할 수 있을 것이다(S180a).Here, in some cases, the substrate S may be returned to the first process module 1200a through the buffer chamber 2000 after the process is completed in the second process module 1200b (S160) (S170a ). The first process module 1200a may perform the process again on the substrate S (S180a).

한편, 도 13을 참조하면, 기판처리장치(1000)에 제1방향(X)에 따라 제1공정모듈(1200a), 제2공정모듈(1200b)에 순차적으로 배치되는 제3공정모듈(1200c)이 제공되는 경우에는, 제2공정모듈(1200b)의 공정챔버(1230b)에서 공정이 종료된 뒤(S160), 이송모듈(1100)로 기판(S)을 반환하는 대신, 제2공정모듈(1200b)과 제3공정모듈(1200c)의 사이에 위치하는 버퍼챔버(2000)를 통해 제3공정모듈(1200c)로 기판(S)을 반송할 수도 있을 것이다(S170b). 이에 따라 기판(S)은 역시 이송모듈(1100)을 거치지 않고, 제3공정모듈(1200c)의 공정챔버(1230c)로 이동하게 되고(S180b), 제3공정모듈(1200c)이 기판(S)에 대하여 공정을 처리할 수 있게 된다(S190b). 13, a third process module 1200c is sequentially disposed on the first process module 1200a and the second process module 1200b along the first direction X to the substrate processing apparatus 1000, The substrate S is returned to the transfer module 1100 after the process is completed in the process chamber 1230b of the second process module 1200b (S160). Instead of returning the substrate S to the transfer module 1100, the second process module 1200b The substrate S may be transferred to the third process module 1200c through the buffer chamber 2000 located between the third process module 1200c and the third process module 1200c in step S170b. The substrate S is moved to the process chamber 1230c of the third process module 1200c without passing through the transfer module 1100 in step S180b and the third process module 1200c is moved on the substrate S, (Step S190b).

이하에서는 기판처리방법의 다른 실시예에 관하여 설명한다. 기판처리방법의 다른 실시예는, 기판처리설비(100)에서 기판(S)을 처리하는 방법에 관한 것이다.Hereinafter, another embodiment of the substrate processing method will be described. Another embodiment of the substrate processing method relates to a method of processing the substrate S in the substrate processing facility 100. [

도 14는 기판처리방법의 다른 실시예의 순서도이다.14 is a flowchart of another embodiment of the substrate processing method.

도 14를 참조하면, 기판처리방법은 제1기판처리장치(1000a)가 기판(S)을 처리하는 단계(S210), 제1기판처리장치(1000a)로부터 버퍼챔버(2000)로 기판(S)이 반송되는 단계(S220), 버퍼챔버(2000)로부터 제2기판처리장치(1000b)로 기판(S)이 반송되는 단계(S230) 및 제2기판처리장치(1000b)가 기판(S)을 처리하는 단계(S240)를 포함할 수 있다. 이하에서는 각 단계에 관하여 설명한다.14, the substrate processing method includes a step S210 of processing the substrate S by the first substrate processing apparatus 1000a, a step S302 of processing the substrate S from the first substrate processing apparatus 1000a to the buffer chamber 2000, (S230) in which the substrate S is transferred from the buffer chamber 2000 to the second substrate processing apparatus 1000b, and the second substrate processing apparatus 1000b processes the substrate S (Step S240). Each step will be described below.

외부의 반송장치로부터 용기(C)가 제1기판처리장치(1000a)의 로드포트(1110)에 안착되면, 제1기판처리장치(1000a)가 기판(S)을 처리한다(S210). 구체적으로는 제1기판처리장치(1000a)에서, 이송모듈(1100)이 기판(S)을 인출하여 공정모듈(1200)로 반송하고, 공정모듈(1200)의 공정챔버(1230)가 기판(S)에 대하여 공정을 수행할 수 있다. 공정이 종료되면, 트랜스퍼챔버(1220)가 공정모듈(1200)로부터 기판(S)을 인출한다. 여기서, 제1기판처리장치(1000)에 복수의 공정모듈(1200)이 있는 경우에는 상술한 기판처리방법의 일 실시예에 따라 버퍼챔버(2000)를 통해 공정챔버(1230) 간에 기판(S)이 반송되면서 기판(S)이 처리될 수 있다.When the container C is placed on the load port 1110 of the first substrate processing apparatus 1000a from the external transfer apparatus, the first substrate processing apparatus 1000a processes the substrate S (S210). More specifically, in the first substrate processing apparatus 1000a, the transfer module 1100 takes out the substrate S and transfers it to the process module 1200. The process chamber 1230 of the process module 1200 transfers the substrate S ) Can be performed. Upon completion of the process, the transfer chamber 1220 withdraws the substrate S from the process module 1200. If there are a plurality of process modules 1200 in the first substrate processing apparatus 1000, the substrate S is transferred between the process chambers 1230 through the buffer chamber 2000 according to an embodiment of the substrate processing method described above. The substrate S can be processed.

제1기판처리장치(1000a)에서 기판(S)의 처리가 종료되면, 제1기판처리장치(1000a)로부터 버퍼챔버(2000)로 기판(S)이 반송된다(S220). 구체적으로는 제1기판처리장치(1000a)의 트랜스퍼챔버(1220)가 제1기판처리장치(1000a)의 공정챔버(1230)로부터 기판(S)을 인출하여 버퍼챔버(2000)로 기판(S)을 반입한다. When the substrate S is completely processed in the first substrate processing apparatus 1000a, the substrate S is transferred from the first substrate processing apparatus 1000a to the buffer chamber 2000 (S220). The transfer chamber 1220 of the first substrate processing apparatus 1000a draws the substrate S from the process chamber 1230 of the first substrate processing apparatus 1000a and transfers the substrate S to the buffer chamber 2000. [ .

버퍼챔버(2000)에 기판(S)이 반입되면, 버퍼챔버(2000)는 선택적으로 기판(S)을 정렬하거나 또는 공정을 수행할 수 있다. 물론, 버퍼챔버(2000)에 이러한 기능이 없는 경우에는 버퍼챔버(2000)는 단순히 기판처리장치들(1000)을 연결하는 통로로 기능할 수도 있다. 기판처리장치(1000) 간에 내부기압이 상이한 경우에는 버퍼챔버(2000)가 로드락챔버(1210)와 같이 감압기능을 수행할 수도 있다.When the substrate S is loaded into the buffer chamber 2000, the buffer chamber 2000 can selectively align the substrate S or perform a process. Of course, in the absence of such a function in the buffer chamber 2000, the buffer chamber 2000 may simply function as a passage connecting the substrate processing apparatuses 1000. When the internal atmospheric pressure differs between the substrate processing apparatuses 1000, the buffer chamber 2000 may perform a decompression function like the load lock chamber 1210.

버퍼챔버(2000)로부터 제2기판처리장치(1000b)로 기판(S)이 반송된다(S230). 제2기판처리장치(1000b)의 트랜스퍼챔버(1220)는 버퍼챔버(2000)로부터 기판(S)을 인출하여 제2기판처리장치(1000b)로 기판(S)을 반입시킬 수 있다.The substrate S is transferred from the buffer chamber 2000 to the second substrate processing apparatus 1000b (S230). The transfer chamber 1220 of the second substrate processing apparatus 1000b can draw the substrate S from the buffer chamber 2000 and carry the substrate S to the second substrate processing apparatus 1000b.

제2기판처리장치(1000b)가 기판(S)을 처리한다(S240). 구체적으로 제2기판처리장치(1000b)의 트랜스퍼챔버(1220)는 기판(S)을 제2기판처리장치(1000)의 공정챔버(1230)로 반송하고, 그 공정챔버(1230)가 기판(S)에 대하여 공정을 수행할 수 있다. 공정이 종료되면, 기판(S)은 제2기판처리장치(1000b)의 트랜스퍼챔버(1220)는 기판(S)을 제2기판처리장치(1000b)의 로드락챔버(1210)로 반송하고, 제2기판처리장치(1000b)의 이송모듈(1100)이 로드락챔버(1210)로부터 기판(S)을 인출하여 제2기판처리장치(1000b)의 로드포트(1110)에 탑재된 용기(C)에 기판(S)을 수납시킨다.The second substrate processing apparatus 1000b processes the substrate S (S240). The transfer chamber 1220 of the second substrate processing apparatus 1000b transports the substrate S to the process chamber 1230 of the second substrate processing apparatus 1000 and the process chamber 1230 transfers the substrate S ) Can be performed. The transfer chamber 1220 of the second substrate processing apparatus 1000b transports the substrate S to the load lock chamber 1210 of the second substrate processing apparatus 1000b, 2 transferring module 1100 of the substrate processing apparatus 1000b draws the substrate S from the load lock chamber 1210 and transfers the substrate S to the container C mounted on the load port 1110 of the second substrate processing apparatus 1000b And the substrate S is accommodated.

한편, 기판처리설비(100)에 제2기판처리장치(1000b)와 인접하고 그 사이에 위치하는 버퍼챔버(2000)를 통해 연결되는 제3기판처리장치(1000c)를 가지는 경우에는 제2기판처리장치(1000b)에서 공정이 수행된 뒤, 기판(S)을 용기(C)로 수납하는 대신 기판(S)이 제2기판처리장치(1000b)와 제3기판처리장치(1000c) 사이에 배치된 버퍼챔버(2000)를 통해 제3기판처리장치(1000c)로 반송되고(S250), 제3기판처리장치(1000c)가 기판(S)을 처리할 수도 있을 것이다.On the other hand, when the substrate processing apparatus 100 has a third substrate processing apparatus 1000c connected to the second substrate processing apparatus 1000b through a buffer chamber 2000 located between the second substrate processing apparatus 1000b and the second substrate processing apparatus 1000b, The substrate S is placed between the second substrate processing apparatus 1000b and the third substrate processing apparatus 1000c after the process in the apparatus 1000b is performed and the substrate S is stored in the container C The substrate S may be processed by the third substrate processing apparatus 1000c by being transferred to the third substrate processing apparatus 1000c through the buffer chamber 2000 (S250).

이러한 본 발명에 따르면, 기판처리설비(100)에서 기판처리장치(1000) 간에 기판(S)을 반송하기 위하여 오버헤드트랜스퍼 등의 반송수단을 이용하지 않고, 각 기판처리장치(1000)의 공정모듈(1200)에서 다른 기판처리장치(1000)의 공정모듈(1200)로 기판(S)이 바로 이동하므로 기판(S)을 연속적으로 처리할 수 있어 공정효율이 상승되는 효과가 발생한다.According to the present invention, in order to transport the substrate S between the substrate processing apparatuses 1000 in the substrate processing apparatus 100, a process module of each substrate processing apparatus 1000, Since the substrate S is directly moved from the substrate processing apparatus 1200 to the process module 1200 of the other substrate processing apparatus 1000, the substrate S can be continuously processed, thereby increasing the process efficiency.

이상에서 언급된 본 발명의 실시예는 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발명에 대한 이해를 돕기 위하여 기재된 것이므로, 본 발명이 상술한 실시예에 의해 한정되는 것은 아니다. The above-described embodiments of the present invention are described in order to facilitate understanding of the present invention to those skilled in the art, so the present invention is not limited to the above embodiments.

따라서, 본 발명은 상술한 실시예 및 그 구성요소를 선택적으로 조합하거나 공지의 기술을 더해 구현될 수 있으며, 나아가 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 수정, 치환 및 변경이 가해진 수정예, 변형예를 모두 포함한다.Therefore, it is to be understood that the present invention may be embodied in many other specific forms without departing from the spirit or essential characteristics thereof. For example, the present invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. All of the modifications are included.

또한, 본 발명의 보호범위는 아래의 특허청구범위에 의해서 해석되어야 하며, 그와 균등한 범위 내에 있는 발명은 모두 권리범위에 포함되는 것으로 해석되어야 한다.In addition, the scope of protection of the present invention should be construed according to the following claims, and all inventions within the scope of the claims should be construed as being included in the scope of the present invention.

100: 기판처리설비
1000: 기판처리장치 1100: 이송모듈 1110: 로드포트
1210: 로드락챔버 1220: 트랜스퍼챔버 1230: 공정챔버
2000: 버퍼챔버
2100: 하우징 2200: 지지부재 2300: 회전부재
2400: 감압부재 2500: 가열부재 2600: 플라즈마공급기
S: 기판 C: 용기
100: substrate processing equipment
1000: substrate processing apparatus 1100: transfer module 1110: load port
1210: load lock chamber 1220: transfer chamber 1230: process chamber
2000: buffer chamber
2100: housing 2200: support member 2300: rotating member
2400: pressure reducing member 2500: heating member 2600: plasma feeder
S: substrate C: container

Claims (24)

기판이 수납되는 용기가 탑재되는 로드포트;
상기 기판을 처리하는 복수의 공정모듈;
상기 로드포트와 상기 공정모듈 사이에 배치되고, 상기 용기와 상기 공정모듈 간에 상기 기판을 반송하는 이송모듈;
서로 인접한 상기 공정모듈 사이에 배치되고, 상기 서로 인접한 공정모듈 간에 상기 기판이 반송되는 공간을 제공하는 버퍼챔버를 포함하고,
상기 버퍼챔버는 상하로 적층되는 상부하우징 및 하부하우징을 포함하되,
상기 상부하우징은,
상기 상부하우징 내에 설치되고, 상기 기판이 놓이는 지지부재, 및
상기 상부하우징으로 플라즈마를 공급하는 플라즈마공급기를 포함하고,
상기 하부하우징은,
상기 하부하우징 내 설치되고, 상기 기판이 놓이는 지지부재, 및
상기 지지부재 및 상기 지지부재에 놓인 기판을 회전시키는 회전부재를 포함하는
기판처리장치.
A load port on which a container accommodating a substrate is mounted;
A plurality of process modules for processing the substrate;
A transfer module, disposed between the load port and the process module, for transferring the substrate between the process module and the container;
And a buffer chamber disposed between the process modules adjacent to each other and providing a space in which the substrate is transported between adjacent process modules,
Wherein the buffer chamber includes an upper housing and a lower housing which are stacked up and down,
Wherein the upper housing comprises:
A support member installed in the upper housing, on which the substrate is placed, and
And a plasma supplier for supplying plasma to the upper housing,
The lower housing comprises:
A support member installed in the lower housing, on which the substrate is placed, and
And a rotating member for rotating the substrate placed on the supporting member and the supporting member
/ RTI >
제1항에 있어서,
상기 로드포트, 상기 이송모듈 및 상기 공정모듈은, 제1방향(X)에 따라 순차적으로 배치되고,
상기 복수의 공정모듈은, 상부에서 바라볼 때 상기 제1방향(X)에 수직한 제2방향에 따라 상기 이송모듈의 일측에 일렬로 배치되는
기판처리장치.
The method according to claim 1,
Wherein the load port, the transfer module, and the process module are sequentially disposed along a first direction (X)
The plurality of process modules are arranged in a line on one side of the transfer module along a second direction perpendicular to the first direction (X) when viewed from above
/ RTI >
제2항에 있어서,
상기 공정모듈은, 그 둘레에 배치된 챔버들 간에 상기 기판을 반송하는 트랜스퍼챔버, 상기 트랜스퍼챔버의 둘레에 배치되고, 상기 기판에 대한 처리공정을 수행하는 공정챔버 및 상기 이송모듈과 상기 트랜스퍼챔버 사이에 배치되는 로드락챔버를 포함하고,
상기 버퍼챔버는, 상기 서로 인접한 공정모듈의 트랜스퍼챔버 사이에 배치되는
기판처리장치.
3. The method of claim 2,
The process module includes a transfer chamber for transferring the substrate between chambers disposed therearound, a process chamber disposed around the transfer chamber for performing a process for the substrate, and a transfer chamber for transferring the transfer chamber between the transfer module and the transfer chamber And a load lock chamber disposed in the load lock chamber,
The buffer chamber is disposed between the transfer chambers of the process modules adjacent to each other
/ RTI >
제1항 내지 제3항 중 어느 한 항에 있어서,
상기 버퍼챔버는, 상기 서로 인접한 공정모듈 간에 반송되는 기판이 임시로 머무르는 버퍼공간을 제공하는
기판처리장치.
4. The method according to any one of claims 1 to 3,
Wherein the buffer chamber provides a buffer space in which the substrate transferred between the adjacent process modules temporarily remains
/ RTI >
제4항에 있어서,
상기 버퍼챔버는, 하우징, 상기 하우징 내에 설치되고, 상기 기판이 놓이는 지지부재 및 상기 지지부재에 놓인 기판을 회전시키는 회전부재를 포함하는
기판처리장치.
5. The method of claim 4,
The buffer chamber includes a housing, a rotating member installed in the housing and rotating the substrate placed on the supporting member and the supporting member on which the substrate is placed
/ RTI >
삭제delete 삭제delete 기판이 수납되는 용기가 탑재되는 로드포트, 상기 기판을 처리하는 공정모듈 및 상기 로드포트와 상기 공정모듈 사이에 배치되고, 상기 용기와 상기 공정모듈 간에 상기 기판을 반송하는 이송모듈을 포함하는 복수의 기판처리장치; 및
서로 인접한 기판처리장치 사이에 배치되고, 상기 서로 인접한 기판처리장치 간에 상기 기판이 반송되는 공간을 제공하는 제1버퍼챔버;를 포함하되,
상기 공정모듈은, 그 둘레에 배치된 챔버들 간에 상기 기판을 반송하는 트랜스퍼챔버, 상기 트랜스퍼챔버의 둘레에 배치되고, 상기 기판에 대한 처리공정을 수행하는 공정챔버 및 상기 이송모듈과 상기 트랜스퍼챔버 사이에 배치되는 로드락챔버를 포함하고,
상기 제1버퍼챔버는, 상기 서로 인접한 기판처리장치의 트랜스퍼챔버 사이에 배치되고,상하로 적층되는 상부하우징 및 하부하우징을 포함하되,
상기 상부하우징은,
상기 상부하우징 내에 설치되고, 상기 기판이 놓이는 지지부재, 및
상기 상부하우징으로 플라즈마를 공급하는 플라즈마공급기를 포함하고,
상기 하부하우징은,
상기 하부하우징 내 설치되고, 상기 기판이 놓이는 지지부재, 및
상기 지지부재 및 상기 지지부재에 놓인 기판을 회전시키는 회전부재를 포함하는
기판처리설비.
A load port on which a container for holding a substrate is mounted; a process module for processing the substrate; and a transfer module, disposed between the load port and the process module, for transferring the substrate between the process container and the process module A substrate processing apparatus; And
And a first buffer chamber disposed between the adjacent substrate processing apparatuses and providing a space in which the substrate is transported between the adjacent substrate processing apparatuses,
The process module includes a transfer chamber for transferring the substrate between chambers disposed therearound, a process chamber disposed around the transfer chamber for performing a process for the substrate, and a transfer chamber for transferring the transfer chamber between the transfer module and the transfer chamber And a load lock chamber disposed in the load lock chamber,
Wherein the first buffer chamber includes an upper housing and a lower housing disposed between the transfer chambers of the adjacent substrate processing apparatuses and stacked up and down,
Wherein the upper housing comprises:
A support member installed in the upper housing, on which the substrate is placed, and
And a plasma supplier for supplying plasma to the upper housing,
The lower housing comprises:
A support member installed in the lower housing, on which the substrate is placed, and
And a rotating member for rotating the substrate placed on the supporting member and the supporting member
Substrate processing equipment.
제8항에 있어서,
상기 로드포트, 상기 이송모듈 및 상기 공정모듈은, 제1방향(X)에 따라 순차적으로 배치되고,
상기 복수의 기판처리장치는, 상기 제1방향(X)과 수직한 제2방향에 따라 일렬로 배치되는
기판처리설비.
9. The method of claim 8,
Wherein the load port, the transfer module, and the process module are sequentially disposed along a first direction (X)
The plurality of substrate processing apparatuses are arranged in a line in a second direction perpendicular to the first direction (X)
Substrate processing equipment.
제9항에 있어서,
상기 제1버퍼챔버는, 상기 서로 인접한 기판처리장치 간에 반송되는 기판이 임시로 머무르는 버퍼공간을 제공하는
기판처리설비.
10. The method of claim 9,
Wherein the first buffer chamber provides a buffer space in which substrates transferred between the adjacent substrate processing apparatuses temporarily stay
Substrate processing equipment.
제10항에 있어서,
상기 제1버퍼챔버는, 하우징, 상기 하우징 내에 설치되고, 상기 기판이 놓이는 지지부재 및 상기 지지부재에 놓인 기판을 회전시키는 회전부재를 포함하는
기판처리설비.
11. The method of claim 10,
The first buffer chamber includes a housing, a rotary member installed in the housing, for rotating the substrate placed on the support member and the support member on which the substrate is placed
Substrate processing equipment.
삭제delete 제8항 또는 제9항에 있어서,
상기 제1버퍼챔버는, 상하로 적층되는 복수의 하우징을 포함하는
기판처리설비.
10. The method according to claim 8 or 9,
The first buffer chamber may include a plurality of housings stacked vertically
Substrate processing equipment.
제8항에 있어서,
상기 기판처리장치에 포함되는 상기 공정모듈은, 복수이고,
상기 기판처리장치는, 동일한 기판처리장치에 속하고, 서로 인접한 공정모듈 사이에 배치되고, 상기 서로 인접한 공정모듈 간에 상기 기판이 반송되는 공간을 제공하는 제2버퍼챔버를 더 포함하는
기판처리설비.
9. The method of claim 8,
Wherein the process modules included in the substrate processing apparatus are plural,
The substrate processing apparatus further comprises a second buffer chamber belonging to the same substrate processing apparatus and disposed between the adjacent processing modules and providing a space in which the substrate is transported between the adjacent processing modules
Substrate processing equipment.
제14항에 있어서,
상기 공정모듈은, 그 둘레에 배치된 챔버들 간에 상기 기판을 반송하는 트랜스퍼챔버, 상기 트랜스퍼챔버의 둘레에 배치되고, 상기 기판에 대한 처리공정을 수행하는 공정챔버 및 상기 이송모듈과 상기 트랜스퍼챔버 사이에 배치되는 로드락챔버를 포함하고,
상기 제2버퍼챔버는, 상기 서로 인접한 공정모듈 간에 사이에 배치되는
기판처리설비.
15. The method of claim 14,
The process module includes a transfer chamber for transferring the substrate between chambers disposed therearound, a process chamber disposed around the transfer chamber for performing a process for the substrate, and a transfer chamber for transferring the transfer chamber between the transfer module and the transfer chamber And a load lock chamber disposed in the load lock chamber,
The second buffer chamber is disposed between the adjacent process modules
Substrate processing equipment.
삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020110128270A 2011-07-29 2011-12-02 Apparatus, system and method for treating substrate KR101400157B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US13/559,797 US8974601B2 (en) 2011-07-29 2012-07-27 Apparatuses, systems and methods for treating substrate
JP2012166683A JP2013033965A (en) 2011-07-29 2012-07-27 Substrate processing apparatus, substrate processing facility, and substrate processing method
TW101127222A TWI474430B (en) 2011-07-29 2012-07-27 Apparatuses, systems and methods for treating substrate
CN201210269099.6A CN103035467B (en) 2011-07-29 2012-07-30 The device for the treatment of substrate, system and method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20110076208 2011-07-29
KR1020110076208 2011-07-29

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020140006880A Division KR20140016421A (en) 2011-07-29 2014-01-20 Apparatus, system and method for treating substrate

Publications (2)

Publication Number Publication Date
KR20130014304A KR20130014304A (en) 2013-02-07
KR101400157B1 true KR101400157B1 (en) 2014-05-30

Family

ID=47894582

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020110128270A KR101400157B1 (en) 2011-07-29 2011-12-02 Apparatus, system and method for treating substrate
KR1020140006880A KR20140016421A (en) 2011-07-29 2014-01-20 Apparatus, system and method for treating substrate

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020140006880A KR20140016421A (en) 2011-07-29 2014-01-20 Apparatus, system and method for treating substrate

Country Status (3)

Country Link
KR (2) KR101400157B1 (en)
CN (1) CN103035467B (en)
TW (1) TWI474430B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210082336A (en) * 2019-12-24 2021-07-05 파워테크 테크놀로지 인코포레이티드 Wafer storage device, carrier plate and wafer cassette

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102034706B1 (en) * 2013-03-28 2019-10-21 주식회사 원익아이피에스 Substrate processing system and substrate processing method
JP6246606B2 (en) 2014-01-31 2017-12-13 株式会社Screenホールディングス Substrate processing equipment
KR102150452B1 (en) 2014-03-31 2020-09-01 주식회사 선익시스템 Cluster type deposition apparatus
KR102121058B1 (en) * 2015-06-19 2020-06-26 (주) 엔피홀딩스 Dry and wet processing system using buffer chamber and substrate processing method thereof
KR102546347B1 (en) * 2016-01-08 2023-06-21 주성엔지니어링(주) Substrate processing Apparatus
CN105529239B (en) * 2016-03-07 2018-06-29 京东方科技集团股份有限公司 A kind of dry etching device and method
CN108666231B (en) * 2017-03-28 2022-04-26 雷仲礼 Substrate processing system, substrate transfer apparatus and transfer method
KR20200000638A (en) * 2018-06-25 2020-01-03 주성엔지니어링(주) Appratus and method for processing substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060088909A (en) * 1998-11-17 2006-08-07 동경 엘렉트론 주식회사 Vacuum processing system
KR100758298B1 (en) * 2006-03-03 2007-09-12 삼성전자주식회사 Apparatus and method for treating substrates
KR20100030052A (en) * 2008-09-09 2010-03-18 세메스 주식회사 Multi-chamber system for manufacturing semiconductor device and method for substrate processing in the system

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5981399A (en) * 1995-02-15 1999-11-09 Hitachi, Ltd. Method and apparatus for fabricating semiconductor devices
JP4821074B2 (en) * 2001-08-31 2011-11-24 東京エレクトロン株式会社 Processing system
CN1902031A (en) * 2003-11-10 2007-01-24 布卢希弗特科技公司 Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
CN101246833A (en) * 2007-02-12 2008-08-20 Psk有限公司 Substrate position detecting method, substrate processing method and device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060088909A (en) * 1998-11-17 2006-08-07 동경 엘렉트론 주식회사 Vacuum processing system
KR100758298B1 (en) * 2006-03-03 2007-09-12 삼성전자주식회사 Apparatus and method for treating substrates
KR20100030052A (en) * 2008-09-09 2010-03-18 세메스 주식회사 Multi-chamber system for manufacturing semiconductor device and method for substrate processing in the system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210082336A (en) * 2019-12-24 2021-07-05 파워테크 테크놀로지 인코포레이티드 Wafer storage device, carrier plate and wafer cassette
KR102353099B1 (en) 2019-12-24 2022-01-20 파워테크 테크놀로지 인코포레이티드 Wafer storage device, carrier plate and wafer cassette

Also Published As

Publication number Publication date
CN103035467A (en) 2013-04-10
KR20130014304A (en) 2013-02-07
TW201310572A (en) 2013-03-01
KR20140016421A (en) 2014-02-07
TWI474430B (en) 2015-02-21
CN103035467B (en) 2016-01-20

Similar Documents

Publication Publication Date Title
KR101400157B1 (en) Apparatus, system and method for treating substrate
KR101390900B1 (en) Apparatus for treating substrate
JP2013033965A (en) Substrate processing apparatus, substrate processing facility, and substrate processing method
KR100831933B1 (en) Substrate processing equipment and method for manufacturing semiconductor device
KR100639765B1 (en) Method and apparatus for processing substrates and semiconductor device manufacturing method
JP2010192855A (en) Substrate processing apparatus
JP2003077974A (en) Substrate processing device and manufacturing method of semiconductor device
KR20020019414A (en) Substrate processing apparatus and method for manufacturing a semiconductor device by using the substrate processing apparatus
KR101530024B1 (en) Substrate processing module, substrate processing apparatus and substrate transfering method including the same
JP4342745B2 (en) Substrate processing method and semiconductor device manufacturing method
JP2003124284A (en) Substrate treatment equipment and method for manufacturing semiconductor device
JP5610009B2 (en) Substrate processing equipment
US11430679B2 (en) Semiconductor manufacturing apparatus
KR20040045361A (en) Atmospheric robot handling equipment
KR101870660B1 (en) Apparatus and mothod for treating substrate
JP2009188411A (en) Silylation processing method, silylation processing apparatus, and etching processing system
JP4838293B2 (en) Substrate processing method, semiconductor device manufacturing method, and substrate processing apparatus
KR100803562B1 (en) Apparatus for processing a substrate
JP2004119627A (en) Semiconductor device manufacturing apparatus
JP2004260120A (en) Substrate processing apparatus
JP4359109B2 (en) Substrate processing apparatus and substrate processing method
JP2005347667A (en) Semiconductor fabrication device
JP2005197543A (en) Substrate processor
JP2005353978A (en) Method and device for silylation processing
JP2007242764A (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170523

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180517

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190417

Year of fee payment: 6