KR100743792B1 - 증착 챔버 내에서 기판 상에 박막을 증착하는 방법 - Google Patents

증착 챔버 내에서 기판 상에 박막을 증착하는 방법 Download PDF

Info

Publication number
KR100743792B1
KR100743792B1 KR1020000070291A KR20000070291A KR100743792B1 KR 100743792 B1 KR100743792 B1 KR 100743792B1 KR 1020000070291 A KR1020000070291 A KR 1020000070291A KR 20000070291 A KR20000070291 A KR 20000070291A KR 100743792 B1 KR100743792 B1 KR 100743792B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
chamber
depositing
thin film
Prior art date
Application number
KR1020000070291A
Other languages
English (en)
Other versions
KR20010051925A (ko
Inventor
테츄야 이시가와
파드마나브한 크리쉬나라지
펭 가오
알란더블유. 콜린스
릴리엘. 팡
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20010051925A publication Critical patent/KR20010051925A/ko
Application granted granted Critical
Publication of KR100743792B1 publication Critical patent/KR100743792B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 처리 챔버, 상기 챔버 내에 배열된 기판 지지 부재, 제 1 가스 입구, 제 2 가스 입구, 플라즈마 생성기 및 가스 배기구를 포함하는, 기판상에 박막을 증착하는 장치를 제공한다. 상기 제 1 가스 입구는 상기 챔버의 내부 표면으로부터 제 1 거리에서 제 1 가스를 제공하고, 상기 제 2 가스 입구는 상기 챔버의 내부 표면으로부터 제 1 거리보다 더 가까운 제 2 거리에서 제 2 가스를 제공한다. 그러므로 상기 제 2 가스는 상기 챔버의 내부 표면 부근에 높은 부분압을 생성하여 내부 표면상에 제 1 가스에 의한 증착을 상당히 감소시킨다. 본 발명은 또한 상기 챔버의 내부 표면으로부터 제 1 거리에 있는 제 1 가스 입구를 통해서 제 1 가스를 유입시키는 단계, 및 상기 챔버의 내부 표면으로부터 제 2 거리에 있는 제 2 가스 입구를 통해서 제 2 가스를 유입시키는 단계를 포함하는, 기판상에 플루오르가 도프된 규소 유리(FSG) 박막을 증착하는 방법을 제공하며, 상기 방법에 있어서 제 2 가스는 상기 챔버의 내부 표면의 부근에 높은 부분압을 생성하여 상기 내부 표면상에 제 1 가스에 의한 증착을 방지한다. 선택적으로, 제 1 가스는 기판 표면에 대해서 제 2 가스와 상이한 각도로 유입된다.

Description

증착 챔버 내에서 기판 상에 박막을 증착하는 방법 {METHOD FOR DEPOSITING A FILM ONTO A SUBSTRATE WITHIN A DEPOSITION CHAMBER}
도 1은 기판상에 다양한 박막을 증착하는데 유용한 고밀도 플라즈마 화학 증착(high density plasma chemical vapor deposition, HDP CVD) 챔버의 횡단면도.
도 2는 본 발명의 처리 장치의 횡단면도.
도 3은 기판 지지 부재와 상기 기판 지지 부재용 처리 키트의 부분 횡단면도.
도 4는 상기 가스 분배 조립체를 도시하기 위해 본 발명의 챔버를 관통한 횡단면도.
도 5는 내부에 배열된 노즐을 구비한 하나의 포트에 연결된 제 1 가스 채널을 도시하는 횡단면도.
도 6은 상기 제 2 가스 채널을 도시하는 횡단면도.
도 7은 상기 돔을 통해 배열된 중앙 가스 공급부를 도시하는 횡단면도.
도 8은 리드 조립체의 베이스 판과 가스 분배 링을 도시하는 분해도.
도 9는 본 발명에 따른 가스 분배 링의 대안적인 실시예의 사시도.
도 10은 제 2 환형 가스 채널과 경사진 노즐을 도시하는 다단계 가스 분배 링의 부분 횡단면도이다.
※ 도면의 주요 부분에 대한 부호의 설명
10 : 공정 장치 12 : 챔버 몸체
14 : 리드 조립체 16 : 기판 지지 부재
18 : 측면 벽 20 : 내부 환경 처리 영역
22 : 배기 통로 24 : 기판 유입 포트
26 : 지지 부재 포트 28 : 가스 채널
30 : 원격 작동의 플라즈마 공급원 32 : 에너지 전도 돔
44 : 슬릿 밸브 46 : 플랜지
49 : 유동 채널 50 : 외부 벽
52 : 내부 벽 54 : 배기 포트
56 : 한 쌍의 블레이드 드로틀 조립체 58 : 게이트 밸브
60 : 터보모레큐라 펌프 62 : 에너지 전달 조립체
64 : 온도 제어 조립체 66 : 원통의 측면 벽
68 : 평평한 상부 70 : 접합부
72 : 상부 코일 74 : 측면 코일
76 및 78 : 고주파(RF) 공급원 80 : 가열 판
82 : 냉각 판 200 : 환형의 기판 수용 면
본 발명은 반도체 기판을 처리하는 장치 및 방법에 관한 것이다. 더 구체적으로는 기판상에 박막을 HDP-CVD에 의해 피복시키는 방법 및 장치에 관한 것이다.
화학 증착(CVD), 에칭, 반응성 이온 에칭 등과 같은 반도체 처리용으로 이용되는 플라즈마 장치는 일반적으로 상기 처리 챔버에 플라즈마의 유도 결합 또는 전기 용량적 결합을 이용하여 플라즈마를 발생시키고 유지한다. 전기 용량적으로 결합된 플라즈마에 대비한 유도 결합된 플라즈마의 한 장점은 유도 결합된 플라즈마가 상기 기판에 더 낮은 바이어스 전압으로 생성되어, 상기 기판에 손상을 입히는 경향을 감소시킨다는 점이다. 게다가, 유도 결합된 플라즈마는 더 높은 증착 속도와 평균 자유 행로를 제공하는 높은 이온 밀도를 가지는 반면, 전기 용량적으로 결합된 플라즈마보다 훨씬 더 낮은 압력에서 작동한다. 이러한 장점은 공정 중에 원위치(in-situ)에서 스퍼터링 및/또는 증착을 허용한다.
최근에, HDP-CVD는 화학 반응과 물리적 스퍼터링의 조합을 제공하는데 이용되고 있다. HDP-CVD 처리는 상기 기판 표면에 가까운 반응 영역에 고주파(radio frequency, RF) 에너지를 가함으로써 상기 반응 가스의 분해를 촉진하여, 높은 반응성 이온 종의 플라즈마를 생성한다. 상기 분해된 이온 종의 높은 반응성은 화학 반응이 발생하는데 필요한 에너지를 감소시킴으로써, 이러한 처리 공정에 필요한 온도를 낮춘다.
대부분의 HDP-CVD 처리에서의 목적은 기판의 표면에 걸쳐 균일한 두께의 박막을 증착시키는 동시에, 배선과 상기 기판상에 형성된 다른 미세구조물 사이의 양호한 갭 충전을 제공하는 것이다. 증착 균일성과 갭 충전은 상기 플라즈마 생성기 소스의 구성, 소스 RF 생성기의 전력, 바이어스 RF 생성기 전력, 공정 가스의 유동 변화, 및 노즐 분포에서의 대칭, 노즐의 수, 공정 중에 상기 기판 상부에 배열된 노즐의 높이 및 기판의 증착 표면에 대한 노즐의 측면 위치를 포함한 공정 가스 노즐의 설계에 매우 민감하다. 이러한 변수는 장치 내에서 수행되는 처리 공정이 변하고 공정 가스가 변함에 따라 변한다.
도 1은 기판상에 다양한 박막을 증착하기 위해 이용되는 HDP-CVD의 횡단면도이다. HDP-CVD의 예는 캘리포니아, 산타클라라 소재의 어플라이드 머티어리얼스(Applied Materials)사에서 생산되어 이용되고 있는 울티마(Ultima) HDP-CVD이다. 일반적으로, 상기 HDP-CVD 챔버(100)는 챔버 밀폐외피(102), 기판 지지 부재(104), 가스 입구(106), 가스 배기구(108) 및 이중 코일의 플라즈마 생성기(110)를 포함한다. 상기 챔버 밀폐외피(102)는 일반적으로 시스템 플랫폼 또는 모노리스(monolith) 상에 장착되고, 상부 리드(112)는 상기 챔버 밀폐외피(102)의 상부 부분을 덮는다. 일반적으로 알루미늄 산화물(AL2O3)과 같은 세라믹으로 만들어진 돔(114)은 상기 리드(112) 상에 배열된다. 상기 이중 코일의 플라즈마 생성기(110)는 제 1 및 제 2 코일(116, 118)과 제 1 및 제 2 코일(116, 118)에 각각 전기적으로 연결된 제 1 및 제 2 RF 전력 공급원(120, 122)을 포함한다. HDP(high density plasma)를 제공하기 위해, 상기 제 1 코일(116)은 상기 돔(114) 주위에 배열되고 상기 제 2 코일(118)은 상기 돔(114) 상부에 배열된다. 상기 가스 입구(106)는 일반적으로 상기 기판 지지 부재 상부의 영역에 있는 챔버의 내부 원주 주위에 배열된 복수의 가스 노즐(124)을 포함한다. 일반적으로, 상기 가스 노즐(124)은 공정 중에 상기 기판에 공정 가스의 균일한 분포를 제공하기 위해 상기 챔버의 내부 표면으로부터 상기 기판 지지 부재(104) 상에 배열된 기판상의 거리까지 연장한다. 상기 가스 배기구(108)는 상기 챔버를 배기하고 공정 중에 상기 챔버 내부의 압력을 제어하기 위한 가스 출구(126)와 펌프(128)를 포함한다. 상기 증착 공정 중에, 공정 가스는 상기 가스 입구(106)를 통해 유입되고 공정 가스의 플라즈마는 상기 챔버 내에서 생성되어 상기 기판상에 화학 증착을 달성한다. 상기 공정 가스가 상기 챔버 내에 균일한 가스 분배를 제공하기 위해 동일한 길이를 갖는 가스 노즐(124)을 통해 동일한 유동 속도로 유입되기 때문에, 상기 증착은 일반적으로, 상기 돔(114)과 같은 상기 챔버의 내부 표면을 포함한, 공정 가스에 노출된 모든 표면에서 발생한다.
HDP 처리 공정은 집적 회로의 제조에서 이용되는 중요한 처리 공정이 되었다. HDP 처리 공정은 기판상에 박막을 증착하고 박막을 에칭하여 집적 회로를 형성하는데 유리하게 이용될 수 있다. 다른 증착 및 에칭 처리 공정에 있어서, 중요 고려사항은 공정 환경에 존재하는 오염의 정도이다. HDP 공정시, 상기 HDP는 일반적으로 상기 처리 챔버 내에 더 높은 온도를 생성하기 때문에 오염의 정도는 중요하다. 상기 처리 챔버의 온도가 증가함에 따라, 바람직하지 않은 이동 이온 및 금속 오염물이 챔버 부재로부터의 이탈 가능성이 증가한다. 그러므로 상기 HDP 처리 공정 환경 내의 입자 수는 바람직하지 않게 높을 수 있다.
상기 챔버 내의 입자 오염은 일반적으로 플루오르를 첨가한 화합물인 세정 가스의 플라즈마를 이용하여 상기 챔버를 주기적으로 세정함으로써 제어된다. 세정 가스는 상기 챔버로부터 배기될 수 있는 안정된 생성물을 형성하도록 상기 챔버 부품상에 형성되는 증착 재료를 전구체(precursor) 가스와 결합함으로써 상기 공정 환경을 세정할 수 있는 능력에 기초해서 선택된다. HDP 반응실에서, 플루오르를 포함하는 대부분의 세정 가스(예를 들어, NF3, CF4, 및 C2F6)는 대부분 분해되고 상기 챔버로부터 배기될 수 있는 안정한 생성물을 형성하는 상기 증착 재료와 용이하게 결합할 수 있다.
일반적으로 상기 증착 처리 공정 전에, 상기 챔버의 내부 표면은 세정되고 상기 공정 가스로부터 이러한 표면을 보호하기 위해 시이즈닝(seasoning) 코팅으로 코팅된다. 상기 시이즈닝 코팅은 기판이 처리 챔버로 유입되기 전에 상기 챔버 내의 표면상에 증착 재료를 증착함으로써 형성된다. 이러한 단계는 증착 처리방법에 따라 처리 영역을 형성하는 내부 표면을 코팅하도록 박막을 증착함으로써 수행된다.
하나의 처리 예로서, 실란 가스가 상기 챔버로 유입될 수 있고 다음의 반응식 1에 따라 산화되어 실리콘 다이옥사이드 층을 증착한다.
SiH4 + O2 → SiO2 +2H2
200 mm 기판에 적용시, 증착 공정은 약 4500 W까지의 RF 전력 공급원과 약 2500 W까지의 바이어스 RF 전력을 이용하여 수행된다. 증착에 앞서 상기 시이즈닝 단계는 4500 W까지의 RF 전력 공급원과 약 1600 W까지의 바이어스 RF 전력을 이용하여 수행된다. 통상적으로 300 mm 기판에 적용시, 상기 증착 공정은 약 10,125 W까지의 RF 공급원과 약 5625 W의 바이어스 RF를 이용하여 수행된다. 증착에 앞서 상기 시이즈닝 단계는 약 10,125 W까지의 RF 공급원과 약 3600 W까지의 바이어스 RF 전력을 이용하여 수행된다.
복수의 기판을 처리한 후에, 상기 시이즈닝 코팅은 상기 시이즈닝 코팅 상에 증착된 어떤 재료와 함께 상기 챔버의 내부 표면으로부터 제거되거나 세정되고, 새로운 시이즈닝 코팅이 다음 배치의 기판을 처리하는 청결하고 일정한 환경을 제공하기 위해서 상기 챔버의 내부 표면에 도포된다.
상기 HDP-CVD를 이용하는 증착에서 발생하는 한 문제점은 상기 챔버가 FSG와 같은 플루오르 기저 박막을 증착하는데 이용될 때, 상기 플라즈마 내의 플루오르는 상기 시이즈닝 코팅을 통해 확산하고 상기 세라믹(Al2O3) 돔을 침식시킨다. 상기 세라믹 돔에 도달한 상기 플루오르 원자는 상기 세라믹과 반응하여 상기 돔의 표면에 Al2OxFy(x와 y는 정수)를 형성한다. 돔 흑화 현상(blackening)과 공정 편차(process drift)가 상기 돔에 Al2OxFy의 형성에 의해 야기된다는 것이 2차 이온 질량 분광기(Secondary Ion Mass Spectroscopy, SIMS)의 분석에 의해 확인되었다. 상기 돔에 Al2OxFy의 형성은 상기 돔 재료의 전기적 특성을 변화시키고 상기 증착의 균일성, 증착 속도, 플루오르의 농도 및 상기 챔버에서의 스퍼터링의 균일성에 공정 편차를 야기시킨다. 상기 공정 편차 때문에, 불균일한 처리가 기판의 표면 전 체에 걸쳐서 그리고 한 기판에서 다른 기판에 걸쳐 발생한다.
상기 공정 편차 문제를 해결하고 상기 시이즈닝 코팅을 통한 플루오르 원자의 확산을 방지하는 시도로서, 두꺼운 시이즈닝 코팅(> 1000Å)이 각 기판의 처리에 앞서 증착된다. 상기 두꺼운 시이즈닝 코팅은 상기 플루오르 원자가 상기 시이즈닝 코팅을 통해 확산하고 상기 돔에 도달하는데 필요한 시간을 지연시킨다. 그러나 상기 공정 시간이 충분히 길 때, 상기 플루오르 원자는 여전히 상기 시이즈닝 코팅을 통해 확산하여 돔에 Al2OxFy를 형성하고 공정 편차를 야기시킬 수 있다. 더욱이, 과도한 시간이 상기 두꺼운 시이즈닝 코팅을 증착하고 제거하는데 소비된다. 상기 시이즈닝 코팅은 상기 플루오르 원자가 상기 시이즈닝 코팅을 통해 확산하여 상기 돔에 Al2OxFy를 형성하지 못하도록 다수의 기판이 처리된 후에 제거되어야 하고, 새로운 시이즈닝 코팅이 다음 배치의 기판이 처리되기 전에 증착되어야 한다. 상기 두꺼운 시이즈닝 코팅을 증착하고 제거하는데 소비되는 과도한 시간은 상기 시스템의 처리량을 감소시키기 때문에 또 다른 주요 단점이다.
HDP-CVD 챔버를 이용하는 도프된 규소 유리의 증착과 관계된 또 다른 문제점은 통상의 가스 분배 시스템이 상기 기판의 표면에 걸쳐 균일한 불순물(dopant) 전달을 제공하지 않아서, 상기 기판의 표면 전체에 걸쳐서 상이한 재료 특성을 가지는 증착된 도프 규소 유리층을 형성한다는 점이다. 일반적으로, 처리 공정에서 균일성은 제품의 질을 유지하는데 바람직하다.
따라서, 플루오르와 다른 가스에 의한 상기 돔의 오염과 공정 편차에 따른 문제점을 제거할 수 있는, 기판상의 박막 증착 장치 및 방법에 대한 요구가 존재한다. 이러한 장치 및 방법은 상기 돔의 내부 표면에 시이즈닝 코팅을 형성하고 제거하는데 요구되는 시간을 감소시킴으로써 증가된 처리량을 제공하는 것이 바람직하다. 이러한 장치 및 방법은 균일하게 도프된 규소 유리 박막을 얻도록 기판 표면 전체에 걸쳐서 균일한 불순물을 전달할 수 있도록 제공되는 것이 바람직하다.
본 발명은 일반적으로 플루오르에 의한 오염과 증착의 균일성, 증착 속도, 공정 중에 챔버에서의 플루오르 함유량 및 스퍼터링의 균일성과 관련된 공정 편차를 감소시킬 수 있는 기판상의 박막 증착 장치 및 방법을 제공한다. 본 발명의 장치 및 방법은 또한 상기 돔의 내부 표면에 있는 시이즈닝 코팅을 형성하고 제거하는데 요구되는 시간을 감소시킴으로써 증가된 처리량을 제공한다.
본 발명의 일 양태는 처리 챔버, 상기 챔버 내에 배열된 기판 지지 부재, 제 1 가스 입구, 제 2 가스 입구, 플라즈마 생성기 및 가스 배기구를 포함하는, 기판상에 박막을 증착하기 위한 장치를 제공한다. 상기 제 1 가스 입구는 제 1 가스를 챔버의 내부 표면으로부터 제 1 거리로 전달하고, 상기 제 2 가스 입구는 제 2 가스를 챔버의 내부 표면으로부터 상기 제 1 거리보다 가까운 제 2 거리로 전달한다. 그러므로 상기 제 2 가스는 챔버의 내부 근처에 높은 부분압을 형성하여 상기 제 1 가스에 의한 상기 내부 표면에의 증착을 상당히 감소시킨다. 일예로서, FSG 층의 증착을 위해, 상기 제 2 가스는 산소를 포함하는 반면에 상기 제 1 가스는 SiH4, SiF4, 및 아르곤을 포함한다. 높은 부분압이 산소에 의해 형성되기 때문에, 제 1 가스로부터 나온 플루오르 이온은 상기 시이즈닝 코팅을 통해 상기 세라믹 돔과 같은 챔버의 내부 표면에 증착되고 확산되는 것이 방지되어, 상기 돔의 플루오르 오염에 의해 야기되는 공정 편차의 문제를 해소한다. 이와는 달리, 상기 제 1 가스 입구는 기판 표면에 대해 상기 제 2 가스와 상이한 각도로 배열된다. 또한, 본 발명은 상기 돔의 내부 표면에 시이즈닝 코팅을 형성하고 제거하는데 요구되는 시간을 감소시킴으로써 증가된 처리량을 제공한다.
본 발명의 다른 양태는 화학 증착 챔버를 제공하는 단계, 제 1 가스와 제 2 가스를 상기 챔버에 유입시키는 단계, 및 공정 가스의 플라즈마를 생성시키는 단계를 포함하는, 기판상에 박막을 증착시키는 방법을 제공한다. 상기 제 1 가스는 제 1 가스 입구를 통해 상기 챔버의 내부 표면으로부터 제 1 거리에서 유입되고, 상기 제 2 가스는 제 2 가스 입구를 통해 상기 챔버의 내부 표면으로부터 상기 제 1 거리보다 가까운 제 2 거리에서 유입된다. 그러므로 상기 제 2 가스는 챔버의 내부 표면 근처에 더 높은 부분압을 형성하여 상기 제 1 가스에 의한 상기 내부 표면에의 증착을 감소시킨다. 이와는 달리, 상기 제 1 가스는 상기 동일한 목적을 달성하기 위해 기판 표면에 대해 상기 제 2 가스와 상이한 각도로 유입된다. 바람직하게, 상기 제 1 가스는 상기 기판쪽으로 기울어지고 상기 제 2 가스는 상기 돔쪽으로 기울어진다.
본 발명의 전술한 특징들, 장점들 및 목적들에 도달하는 방법이 자세히 이해될 수 있도록, 위에서 간략히 요약된 본 발명의 특정 설명에 대해서 첨부 도면에 도시된 실시예를 참조할 수 있다.
그러나 상기 첨부된 도면은 본 발명의 일반적인 실시예를 설명하는 것이지 본 발명의 범위를 제한하는 것으로 간주되지 않으며, 본 발명에 대해 동등한 효과를 갖는 실시예가 인정될 수 있음을 주의해야 한다.
도 2는 본 발명에 따른 처리 장치(10)의 횡단면도이다. 바람직하게, 상기 처리 장치는 HDP-CVD 챔버이다. 상기 처리 장치(10)는 일반적으로 챔버 몸체(12), 리드 조립체(lid assembly, 14) 및 기판의 처리를 수행하기 위해 배기 가능한 밀폐외피를 한정하는 기판 지지 부재(16)를 포함한다. 챔버 몸체(12)는 바람직하게 내부 환형 처리 영역(20)을 한정하고 동심의 배기 통로(22)를 한정하도록 하단부 방향으로 테이퍼진 측면 벽(18)을 구비한 기계 가공된 단일 구조물이다. 챔버 몸체(12)는 적어도, 외팔보(cantilever)식으로 장착된 기판 지지 부재(16)가 배열되어 있는 측면 포트(26) 및 슬릿 밸브(44)에 의해 선택적으로 밀봉되는 기판 유입 포트(24)를 포함하는 복수의 포트를 한정한다. 상기 기판 유입 포트(24)와 상기 지지 부재 포트(26)는 바람직하게 챔버 몸체(12)의 양측 반대 측면에 배열된다.
두 개의 부가적인 측면 포트(도시 않음)는 상기 기판 지지 부재(16)의 상부 표면 정도에서 챔버 벽(18)의 반대 측면에 배열되고 챔버 벽(18)에 형성된 가스 채널(28)에 연결된다. 분해된 플루오르를 포함하는 가스와 같은 세정 가스는 원격 작동의 플라즈마 공급원(30)으로부터 상기 채널(28)로 유입되고 상기 측면 포트를 통해 챔버로 유입된다. 챔버 내측으로의 포트 개구의 위치는 상당한 축적이 발생하는 반응실의 영역으로 가스를 지향시킬 수 있게 제공된다.
챔버 벽(18)의 상부 면은 상기 리드 조립체(14)의 기저 판(33)이 지지되는 일반적으로 평평한 장착 영역을 한정한다. 하나 이상의 오-링 그루브가 챔버 몸체(12)와 상기 기저 판(33) 사이에 기밀 밀봉(airtight seal)을 형성하는 하나 이상의 오-링을 수용하기 위해 상기 벽(18)의 상부 면에 형성된다.
챔버 리드 조립체(14)는 일반적으로 에너지 전달 돔(32), 에너지 전달 조립체(62) 및 힌지식으로 장착된 기저 판(33)에 지지된 온도 제어 조립체(64)를 포함한다. 상기 기저 판(33)은 가스 분배 링이 배열된 내부 환형 채널을 한정한다. 오-링 그루브는 상기 돔(32)과 상기 가스 분배 링의 상부를 밀봉하는 오-링을 수용하기 위해 가스 분배 링의 상부에 형성된다. 상기 리드 조립체(14)는 처리 공정을 수행하기 위한 에너지 전달 시스템뿐만 아니라 플라즈마 처리 영역에 대한 물리적인 밀폐외피도 제공한다. 덮개는 바람직하게 상기 다양한 부재를 수용하도록 리드 조립체의 위에 제공된다.
상기 돔(32)은 일반적으로 평평한 상부(68)에 의해 한쪽 끝이 밀폐된 원통의 측면 벽(66)을 포함한다. 상기 원통의 측면 벽(66)은 일반적으로 상기 기판 지지 부재(16)의 상부 면에 수직하고 상기 평평한 상부(68)는 일반적으로 상기 지지 부재(16)의 상부 면에 평행하다. 상기 측면 벽과 상부 사이의 접합부(70)는 상기 돔(32)의 구부러진 내부 벽을 제공하기 위해 둥글게 구성된다. 상기 돔(32)은 RF 에너지를 투과하는 유전체 재료, 바람직하게 알루미늄 산화물(Al2O3)과 같은 세라믹으로 제조된다.
분리되어 전력이 공급되는 두 개의 RF 코일, 즉 상부 코일(72)과 측면 코일(74)은 상기 유전체 돔(32)의 외부에 감긴다. 상기 측면 코일(74)은 바람직하게 상기 코일(72, 74) 사이의 전기적인 합선을 감소시키기 위해 접지 차폐물(ground shield)에 의해 덮힌다. 상기 RF 코일(72, 74)은 두 개의 가변 주파수의 RF 공급원(76, 78)에 의해 전력이 공급된다.
각각의 전력 공급원은 반사 전력을 측정하고 일반적으로 1.8 MHz에서 시작되는 주파수를 스위핑(sweep) 하도록 상기 RF 생성기에 있는 디지털 제어식 합성 장치를 조절하여 반사 전력을 최소화하는 제어 회로를 포함한다. 상기 플라즈마가 발생할 때, 상기 플라즈마가 상기 코일과 평행하게 저항체로서 작용하기 때문에 상기 회로의 조건이 변한다. 이 단계에서, 상기 RF 생성기는 최소 반사 전력 지점에 다시 도달될 때까지 상기 주파수를 계속 스위핑한다. 상기 전력 공급원의 회로는 각각의 권선 세트가 상기 최소 반사 전력 지점에 도달되는 주파수에서 또는 상기 주파수 부근에서 공명되어, 상기 권선의 전압이 상기 플라즈마를 유지하기에 충분한 전류를 발생시킬 수 있도록 높게 설계된다. 그러므로 주파수 조절은 상기 회로의 공명 지점이 공정 중에 변한다면 상기 시스템이 공명에 가깝게 유지되는 것을 보증한다. 이에 따라, 주파수 조절은 저항 조화 요소(축전기 또는 유도자)의 값을 변화시킴으로써 회로의 조절과 저항 조화의 필요성을 배제한다.
각각의 전력 공급원은 소정의 전력이 어떤 저항의 부조화에 불구하고 상기 로드에 전달되고, 상기 플라즈마 저항의 변화 때문에 발생하는 저항의 부조화를 계속 변화시키는 것을 확인한다. 정확한 전력이 상기 로드에 전달되도록 보장하기 위해, 각각의 RF 생성기는 상기 반사 전력 자체를 소진하고 출력 전력을 증가시켜 상기 전달된 전력이 소정의 수준으로 유지되도록 한다. RF 정합 네트워크는 일반적으로 전력을 상기 플라즈마에 전달하도록 이용된다. 상기 이중 코일 배열 장치는 처리될 기판에 적절하게 조절되었을 때, 상기 반응 챔버 내의 방사상 이온의 밀도 프로파일을 제어할 수 있고 상기 기판 표면에 걸쳐 균일한 이온 밀도를 생성한다. 상기 기판 표면 전체에 걸쳐 균일한 이온은 상기 웨이퍼 상에 균일한 증착과 갭 충전 수행 능력에 기여하고 불균일한 플라즈마 밀도로 인한 디바이스 게이트 산화물의 플라즈마 하전을 완화할 수 있게 한다. 상기 코일의 수행이 첨가될 때, 균일한 플라즈마 밀도를 나타내고 증착 특성은 굉장히 개선될 것이다.
상기 돔(32)은 다양한 공정 사이클, 즉 증착 사이클 및 세정 사이클 중에 상기 돔의 온도를 조절하는 온도 조절 조립체(64)를 포함한다. 상기 온도 제어 조립체는 서로 근접하게 배열되고 바람직하게 이들 사이에 배열된 그라포일(grafoil)과 같은 열 전도성 재료의 박막 층을 갖는 가열 판(80)과 냉각 판(82)을 포함한다. 바람직하게, 약 4 밀(mil)에서 약 8 밀의 그라포일 층이 이들 사이에 배열된다. AlN 판과 같은 열 전도성 판(86)은 상기 코일(72)을 수용하는 하부 면에 형성된 그루브에 제공된다. 바람직하게 약 1에서 약 4 밀의 두께인, 제 2의 그라포일 층이 열 전도성 판(86)과 상기 가열 판(80) 사이에 배열된다. 제 3의 열 전도층이 상기 코일(72)과 상기 돔(32) 사이에 배열된다. 상기 제 3 층은 바람직하게 약 4 밀 내지 약 8 밀의 두께를 갖는 탄성 중합체 층이다. 상기 열 전도층은 상기 돔(32)으로, 그리고 상기 돔으로부터의 열 전달을 용이하게 한다. 세정 중에 상기 돔을 가열하고 공정 중에 상기 돔을 냉각하는 것이 바람직하다.
상기 냉각 판(82)은 내부에 형성된, 물과 같은 냉각액이 흐르는 하나 이상의 유관을 포함한다. 상기 냉각 판에 있는 수관은 바람직하게 연속적으로 챔버 몸체에 형성된 냉각 관과 연결되어 있다. 신속한 분리 피팅(quick disconnect fitting)되는 푸시락(pushlock) 형태의 고무 호스는 챔버 몸체와 상기 리드에 있는 냉각 관으로 물을 공급한다. 회수선은 연동된 흐름 스위치를 갖는 가시적 계량기를 갖는다. 상기 계량기는 약 60 psi의 압력에서 0.8 gpm의 유속에 맞춰 출하시 눈금이 교정된다. 온도 센서는 온도를 측정하기 위해 상기 돔 상에 올려진다.
바람직하게, 상기 가열 판(80)은 상기 세정 단계 중에 열을 제공하기 위해 배열된 하나 이상의 저항성 가열 부재를 갖는다. 바람직하게 상기 가열 판은 주조 알루미늄으로 만들어지지만, 본 발명의 기술분야에서 공지된 다른 재료가 이용될 수도 있다. 제어기는 상기 돔의 온도를 조절하기 위해 상기 온도 제어 조립체에 연결된다.
직접 전도에 의해, 상기 가열 판(80)과 상기 냉각 판(82)은 상기 돔의 온도를 제어하도록 이용된다. 상기 돔의 온도를 약 10°K 내로 제어함으로써 웨이퍼 반복성, 증착의 부착력을 개선하고, 챔버에서의 박리 또는 입자 수를 감소시킨다고 공지되어 있다. 상기 돔의 온도는 일반적으로 공정 요구에 따라 약 100℃ 내지 약 200℃의 범위 내에 유지된다. 더 높은 챔버의 세정 속도(에칭 속도)와 상기 기판에의 더 양호한 박막의 부착력이 더 높은 돔의 온도에서 얻어질 수 있다는 것이 공지되었다.
도 3은 기판 지지 부재와 상기 기판 지지 부재용 처리 키트의 부분 횡단면도이다. 바람직하게, 상기 기판 지지 부재는 정전기적 척(230)을 포함한다. 바람직하게 상기 정전기적 척(230)은 상부면에서 냉각된 물로부터 열의 흡수를 용이하게 하기 위해 높은 열량과 양호한 열 전도성을 갖는 전기적으로 전도성 재료로부터 전체 블록으로서 제조된 지지 몸체(232)를 포함한다. 알루미늄 또는 양극 처리된 알루미늄은 약 2.37 watts/cm-℃의 높은 열 전도성을 갖고 일반적으로 상기 반도체 웨이퍼와 양립할 수 있는 공정이기 때문에 지지 몸체(232)로 선호되는 재료이다. 지지 몸체(232)는 스테인리스 강 또는 니켈과 같은 다른 금속을 포함하고, 지지 몸체(232)는 부가적인 비전도성 재료를 포함하거나 상기 전체 지지 몸체(232)는 비전도성 또는 반도체 재료를 포함한다. 대안적인 실시예에서, 지지 몸체(232)는 세라믹 단일 결정으로 된 판을 포함한다. 이러한 구성에서, 상기 세라믹 판은 이에 매입된 전도성 부재와 통합된다. 상기 전도성 부재는 금속 부재, 녹색 프린트의 금속, 메쉬 스크린 등을 포함할 수도 있다. 부드러운 유전체 재료의 층(236)은 공정 중에 기판 또는 웨이퍼(W)를 지지하기 위해 상기 지지 몸체(232)의 상부 면(238)을 덮는다. 바람직하게 약 700 볼트의 전압이 웨이퍼(W)를 지지 몸체(232)의 상부 면에 가깝게 유지하는 정전기적 인력을 생성하기 위해 직류 전압 공급원(도시 않음)에 의해 상기 기판 지지 조립체(230)에 가해진다.
상기 기판 지지 몸체(232)는 리프트 핀 홀(240)을 포함하여 리프트 핀이 상기 지지 몸체를 통해 연장되고 기판 이동을 달성하도록 기판을 상기 기판 지지 부재 상으로 들어올릴 수 있다. 환형 장착 플랜지(234)는 지지 몸체(232)의 외부 면으로부터 외측으로 연장하여 세라믹 칼라(246)를 지지함으로써 상기 처리 챔버 내의 플라즈마가 상기 정전기적 척의 부품과 접촉하여 부식시키는 것을 방지하거나 방해한다. 세라믹 덮개(248)는 공정 중에 상기 플라즈마로부터 상기 지지 몸체(232)의 측면을 덮고 보호하기 위한 외부 재킷으로 제공된다. 상기 세라믹 덮개(248)는 상기 플랜지(234) 상의 상기 칼라(246)를 유지한다.
도 2를 다시 참조하여, 상기 기판 지지 부재(16)는 챔버 벽(18)에 형성된 상기 측면의 통과 포트(26)를 통해 부분적으로 연장하고 일반적으로 챔버의 중앙에 있는 환형의 기판 수용 면(200)을 제공하기 위해 플랜지 상의 챔버 벽(18)에 올려진다. 상기 기판 지지 부재(16)는 공정 중에 기판의 온도를 유지하는 온도 제어 시스템을 포함한다. 바람직하게 상기 온도 제어 시스템은 기판의 온도를 감지하고, 그에 따라 열 유체의 온도를 변화시키는 마이크로프로세서와 같은 제어기(도시 않음)와, 유체 공급원(도시 않음)에 연결된 기판 지지 부재 내의 유체 채널(49)을 포함한다. 대안적으로, 저항 가열과 같은, 다른 가열 및 냉각 방법이 공정 중에 기판의 온도를 제어하는데 이용될 수도 있다.
상기 기판 지지 부재(16)가 챔버에 위치될 때, 상기 환형의 지지 부재(16)의 외부 벽(50)과 챔버의 내부 벽(52)은 상기 지지 부재(16)의 전체 주변에 실질적으로 균일한 환형의 유체 통로(22)를 형성한다. 실질적으로 상기 통로(22)와 상기 배기 포트(54)는 상기 지지 부재의 기판 수용 면과 동심인 것이 바람직하다. 배기 포트(54)는 상기 통로(22)를 통해 가스를 챔버의 밖으로 인출하기 위해 지지 부재의 기판 수용 면 아래의 실질적으로 중앙에 배열된다. 이로 인해, 보다 균일한 가스 유동이 전체 주위, 반경 하방향, 그리고 챔버의 기저의 중앙에 위치한 배기 포트(54)를 통해 챔버로부터 외측으로 상기 기판 표면에 걸쳐 가능해진다. 상기 통로(22)는 상기 펌핑 포트에 대한 상이한 접근성을 갖는 기판 위치와 같이, 현재 처리 챔버에 부족한 압력 및 잔류 시간의 균일성을 유지함으로써 균일한 박막 층의 증착을 촉진시킨다.
한 쌍의 블레이드 드로틀 조립체(56), 게이트 밸브(58) 및 터보모레큘라 펌프(60)를 포함하는 펌핑 스택은 챔버 내의 압력 조절을 제공하기 위해 챔버 몸체의 테이퍼진 하부에 올려진다. 상기 한 쌍의 블레이드 드로틀 조립체(56)와 상기 게이트 밸브(58)는 챔버 몸체(12)와 상기 터보모레큘라 펌프(60) 사이에 올려져 게이트 밸브(58)를 통한 분리 및/또는 상기 한 쌍의 블레이드 드로틀 조립체(56)의 설정에 의해 결정된 약 0 내지 약 100 mTorr(milliTorr)의 압력으로 압력 조절을 가능케 한다. 1600 L/sec의 터보 펌프가 바람직한 펌프이지만, 챔버에서 요구 압력을 얻을 수 있는 임의의 펌프도 이용될 수 있다. 전방 라인(57)은 상기 터보 펌프로부터 상류 및 하류 위치에서 상기 배기 포트(54)에 연결된다. 이것은 백킹 펌프 능력을 제공한다. 상기 전방 라인은 상기 원격 작동의 메인프레임 펌프, 일반적으로 러핑(roughing) 펌프에 연결된다. 포트(59)는 상기 전방 라인의 플랜지(61)를 올리는 펌핑 스택에 형성된다. 챔버 세정 중에, 세정 가스는 높은 속도로 챔버로 흐르고, 챔버 내의 압력을 증가시킨다. 따라서, 본 발명의 일 양태에서, 상기 터보 펌프는 상기 게이트 밸브(58)에 의해 챔버로부터 격리되고, 상기 메인프레임 펌프는 상기 세정 공정 중에 챔버 내의 압력을 유지하는데 이용된다.
챔버 내에서 기판의 처리 중에, 상기 진공 펌프는 챔버를 약 4 내지 약 6 mTorr 범위의 압력으로 배기하고, 공정 가스 또는 가스들의 계량된 흐름은 상기 가스 분배 조립체를 통해 챔버로 공급된다. 챔버의 압력을 직접 측정하여 이 정보를 펌핑 속도를 조절하는 밸브를 개폐하는 제어기에 공급함으로써 챔버의 압력이 제어된다. 가스 흐름과 농도는 공정 처리방법에서 제공된 소프트웨어 설정값을 통해 질량 흐름 제어기에 의해 직접 제어된다. 상기 배기 포트(54)를 통해 챔버 외부로 펌프되는 가스의 흐름 속도를 측정함으로써, 상기 가스 공급 입구에 있는 질량 흐름 제어기(도시 않음)가 챔버 내의 요구 압력과 가스 농도를 유지하는데 이용된다.
도 4 내지 도 8을 참조하여 상기 가스 분배 조립체(300)를 하기에 설명한다. 도 4는 상기 가스 분배 조립체(300)를 도시하는 본 발명의 챔버의 횡단면도이다. 일반적으로, 상기 가스 분배 시스템은 상기 돔의 하부와 챔버 몸체의 상부 면 사이에 배열된 환형 가스 분배 링(310) 및 상기 돔의 상부를 관통해 위치한 중앙 배열식 중앙 가스 공급부(312)를 포함한다. 가스는 상기 돔(32)의 바닥 근처에 위치한 주위에 장착된 가스 노즐(302, 304)과 상기 돔의 상부 판에 위치한 중앙 배열식 가스 노즐(306)을 통해 챔버로 유입된다. 이러한 구성의 한 장점은 복수의 상이한 가스가 상기 노즐(302, 304, 306)을 통해 챔버 내의 선택된 위치에서 챔버로 유입될 수 있다는 것이다. 또한, 산소, 또는 산소 및 SiF4와 같은 가스의 혼합과 같은 또 다른 가스가 노즐(306) 주위에 배열된 가스 통로(308)를 통해 측면 노즐(306)을 따라서 유입되고 챔버로 유입된 다른 가스와 혼합될 수 있다.
일반적으로, 상기 가스 분배 링(310)은 수용 노즐을 위해 형성된 복수의 포트(311)를 갖는 알루미늄 또는 다른 적당한 재료(314)로 제조된 환형의 링을 포함하고, 하나 이상의 가스 채널(316,318)과 연통된다. 바람직하게, 챔버로 두 개 이상의 분리된 가스를 공급하기 위해 상기 가스 링에 형성된 두 개 이상의 분리된 채널이 존재한다. 각각의 포트(311)는 상기 링에 형성된 가스 분배 채널(316 또는 318) 중 하나에 연결된다. 본 발명에 따른 실시예에서, 선택된 포트가 하나의 채널에 연결되고, 다른 포트가 다른 채널에 연결된다. 이러한 배열은, 일례로, SiH4 및 O2와 같은 분리된 가스가 챔버에 분리되어 유입되도록 한다.
도 5는 포트에 배열된 노즐(302)을 갖는 포트(314)에 연결된 제 1 가스 채널(316)을 도시하는 횡단면도이다. 도시된 바와 같이, 상기 가스 채널(316)은 챔버 몸체 벽의 상부 면에 형성되고 바람직하게 챔버 벽의 전체 주위에서 환형이다. 상기 환형의 가스 링은 상기 채널에서 가스의 분배를 위해 제공된 각각의 포트(314)에 연결된 상기 링 내에 세로로 배열된 일련의 제 1 채널(320)을 갖는다. 상기 가스 링이 상기 가스 채널 상에 위치될 때, 상기 통로는 상기 채널과 연통된다. 상기 가스 분배 링은 챔버의 내부로 가스의 누설을 방지하기 위해 상기 채널로부터 외측으로 배열된 두 개의 분리되어 위치한 오-링(322, 324)을 통해 챔버 벽의 상부 면에서 밀봉된다. 테프론(Tefron™) 또는 다른 유사한 제품과 같은 폴리테트라플루오르에틸렌(PTFE) 밀봉(326)은 챔버로의 가스 누설을 방지하기 위해 리세스(328)에서 상기 채널의 내부로 배열된다.
도 4 및 도 5를 참조하여, 상기 포트(314)에 배열된 노즐(302, 304)은 바람직하게 홈이 파지고 상기 포트에서 홈과 균형이 되어 사이에 밀봉을 제공하고 빠르고 간편한 교체를 제공한다. 한정된 구멍(330)은 각각의 노즐의 끝에 위치하고 챔버 내에서 가스의 소정의 분배를 제공하도록 선택될 수 있다.
도 6은 상기 제 2 가스 채널(318)을 도시한 횡단면도이다. 상기 제 2 가스 채널(318)은 상기 환형의 가스 분배 링의 상부에 형성되고 유사하게 상기 가스 분배 링의 주변에서 환형의 구성으로 배열된다. 수평으로 배열된 통로(332)는 상기 제 2 가스 채널을 상기 가스 링과 부가적인 가스 노즐이 배열된 곳에 형성된 하나 이상의 포트에 연결시킨다. 제 2 가스 채널의 상부를 포함하는 면은 상기 돔(32)을 지지하는 리드의 부품에 의해 형성되고 상기 기저 판(33)에 의해 상부에서 밀봉된다. 상기 가스 링(310)은 챔버 몸체에 힌지식으로 장착된 상기 기저 판(33)에 볼트로 조여진다.
본 발명의 한 장점은 상기 가스 분배 링이 쉽게 제거되며 다양한 각도에서 노즐의 선단부를 수용하고 위치시키도록 형성된 포트를 갖는 링을 교체할 수 있는 것이다. 다시 말해, 어떤 응용에서 상기 가스 노즐의 일부를 챔버에서 상측으로 향하게 하거나, 역으로 챔버에서 하측으로 향하게 하는 것이 유익할 수도 있다. 상기 가스 분배 링에 형성된 포트는 밀링될 수 있어서 소정의 각도가 소정의 처리 결과를 제공하도록 선택될 수 있다. 게다가, 두 개 이상의 가스를 챔버에 분리시켜 전달할 수 있는 두 개 이상의 가스 채널을 구비함으로써 다양한 가스 사이에 발생하는 반응을 잘 제어할 수 있다. 또한, 상기 가스 분배 조립체 내에서 가스의 반응은 가스를 챔버로 분리시켜 전달함으로써 방지될 수 있다.
도 7은 상기 돔(32)을 통해 배열된 중앙 가스 공급부(312)를 도시한 횡단면도이다. 상기 상부 가스 공급부(312)는 바람직하게 상기 돔의 상부에 배열된 기저부(334)와 상기 돔에 형성된 리세스에 배열된 테이퍼진 몸체(335)를 갖는 테이퍼진 구조이다. 두 개의 개별적인 오-링(336,338)들 중 하나의 링(336)은 테이퍼 몸체(335)의 하부 면에, 다른 하나의 링(338)은 하단부로 향하는 상기 테이퍼 몸체(335)의 측면에 제공되며, 상기 가스 공급부(312)와 챔버의 돔 사이에서 밀봉 가능하게 접촉되어 있다. 포트(340)는 챔버에 가스를 전달하기 위한 노즐(306)을 수용하도록 상부 가스 공급부의 하부에 형성된다. 하나 이상의 가스 통로(342)가 상기 포트에 연결된 상기 가스 공급원(310)을 통해 배열되어 가스를 상기 노즐의 후면으로 전달한다. 또한, 상기 노즐(306)은 테이퍼지고 상기 포트(340)는 상기 노즐(306)의 측면을 따라 챔버로 가스를 전달하는 제 2 가스 통로(308)를 형성한다. 제 2 가스 채널(304)은 가스를 상기 통로(308)로 전달하도록 상기 가스 공급부(312)를 통해 배열된다. 산소와 같은 가스가 SiH4와 같은 가스와 나란히 전달될 수 있다.
도 8은 상기 리드 조립체의 기저 판(33)과 상기 가스 분배 링(310)을 도시한 확대도이다. 채널(350)은 상기 가스 분배 링(310)을 수용하기 위해 상기 기저 판(33)의 하부에 형성된다. 상기 가스 링(310)은 상기 기저 판(33)에 볼트로 죄어지거나 올려진다. 상기 기저 판은 챔버 몸체에 힌지식으로 장착된다.
다시 도 4를 참조하면, 제 1 가스 공급원(352)과 제 1 가스 제어기(354)는 라인(356)을 통해 챔버 벽에 형성된 제 1 가스 채널(316)로의 제 1 가스의 유입을 제어한다. 이와 유사하게, 제 2 가스 공급원(358)과 제 2 가스 제어기(360)는 라인(362)을 통해 상기 가스 분배 링에 형성된 제 2 가스 채널(318)로 제 2 소정의 가스를 공급한다.
제 3 가스 공급원(364)과 제 3 가스 제어기(366)는 라인(368)을 통해 챔버의 상부에 배열된 제 3 노즐(306)에 제 3 가스를 공급한다. 제 4 가스 공급원(370)과 제 4 가스 제어기(372)는 라인(374)을 통해 가스 통로(308)에 제 4 가스를 공급한다. 상기 제 3 가스 노즐과 제 4 가스 노즐(64)을 통해 유입된 가스는 두 가스가 챔버로 들어갈 때 챔버의 상부에서 혼합된다.
본 발명의 실시예에 따르면, FSG의 증착을 위해, SiH4, SiF4, 및 아르곤이 노즐(304)을 통해 유입되는 동안 산소는 노즐(302)을 통해 챔버로 유입된다. 제 1 노즐(302)이 노즐(304)보다 짧아서 상기 돔의 내부 면에 더 가깝기 때문에, 상기 노즐(302)을 통해 유입된 가스가 상기 돔의 내부 면에서 더 높은 부분압을 생성하며, 더 긴 노즐(304)을 통해 유입된 가스가 상기 돔의 내부 면에 증착되는 것을 방지한다. FSG 공정 가스에 적용될 때, 상기 돔의 내부 면에서 산소의 높은 부분압은 상기 내부 면에 있는 증착물에 플루오르가 결합되는 것을 감소시킨다. 상기 돔의 내부 면 부근에 높은 부분압을 제공하기 위해 요구되는 노즐(302)의 길이는 상기 노즐(304)의 길이, 및 각각의 노즐(302, 304)로부터 흐르는 공정 가스의 흐름 속도에 의해 결정된다. 바람직하게, 공정 가스의 흐름 속도는 상기 제 1 공정 가스에 대해 약 30 sccm 내지 약 500 sccm의 범위에 있고 상기 제 2 공정 가스에 대해 약 40 sccm 내지 약 500 sccm의 범위에 있으며, 가장 바람직하게 상기 공정 가스는 노즐(302)의 가스와 노즐(304)의 가스가 약 1:1의 비율로 챔버에 제공되도록 공급된다. 일반적으로, 상기 노즐(302, 304)로부터 가스의 흐름 속도가 동일할 때, 상기 노즐(304)의 길이에 비교한 상기 노즐(302)의 길이는 약 0.24 내지 약 0.85 범위의 비율이다. 일반적으로, 일반적인 200 mm 기판 처리 챔버에 대해, 상기 노즐(304)의 길이는 약 2.55 인치 내지 약 3.05 인치의 범위에 있으며, 상기 노즐(302)의 길이는 약 1.75 내지 약 2.55 인치의 범위에 있다. 대안적으로, 상기 SiF4는 상기 제 1 가스와 제 2 공정 가스의 비율이 약 1:1로 제공되는 것을 돕기 위해 산소와 함께 유입될 수 있다.
200 mm 기판에 있어서, 갭을 채우는 FSG 층을 생성하기 위한 가스 흐름은 SiH4에 대해 15 내지 150 sccm, SiF4에 대해 15 내지 150 sccm, O2에 대해 40 내지 500 sccm, 및 아르곤에 대해 0 내지 200 sccm이다. 체적당 SiH4 대 SiF4의 흐름비는 바람직하게 약 0.8:1 내지 약 1.2:1이고, 가장 바람직하게는 약 1:1이다. 체적당 O2 대 혼합된 SiH4 및 SiF4 혼합물의 흐름비는 바람직하게 약 1.5:1 내지 약 2:1이다.
상기 노즐(302)의 길이를 결정하는데 있어서 또 다른 고려사항은 상기 노즐(302)이 공정의 균일성을 유지하기 위해 처리 중인 기판의 표면에 충분한 가스 흐름을 제공해야 한다는 것이다. 그러므로 상기 기판으로부터 상기 노즐(304) 선단부까지의 거리에 대한 기판으로부터 상기 노즐(302)의 선단부까지의 거리는 상기 노즐(302)의 길이를 결정하는 요소가 된다. 일반적으로, 200 mm 기판 처리 챔버에 대해, 상기 노즐(304)의 선단부는 상기 기판 지지 부재 상에 배열된 기판으로부터 수직으로 약 1 인치 내지 약 2.5 인치 범위[즉, 상기 노즐(302, 304)의 선단부를 포함하는 면과 상기 기판의 표면을 포함하는 면 사이의 거리]에서 배열된다. 동일한 200 mm에 대해, 상기 노즐(302, 304)의 선단부와 상기 기판의 에지 사이의 수평 오프셋 거리는 일반적으로 약 0.5 인치 내지 약 3 인치의 범위에 있다. 예를 들어, 기판이 상기 노즐(304)과 노즐(302) 선단부를 포함하는 면으로부터 약 1.78 인치의 거리에 배열된 200 mm 기판 처리 챔버에서, 상기 노즐(302)의 길이는 약 2.55 인치이고 상기 노즐(304)의 길이는 약 3.05 인치이며, 상기 노즐(302)의 수평 오프셋 거리는 상기 기판의 에지로부터 약 1.45 인치이고 상기 노즐(304)의 수평 오프셋 거리는 상기 기판의 에지로부터 약 0.9 인치이다. 또 다른 실시예에서, 상기 노즐(302)의 길이는 약 1.75 인치이고 상기 노즐(304)의 길이는 약 2.55 인치이며, 상기 노즐(302)의 수평 오프셋 거리는 상기 기판의 에지로부터 약 2.55 인치이며 상기 노즐(304)의 수평 오프셋 거리는 상기 기판의 에지로부터 약 1.45 인치이다. 상기 노즐(302, 304)로부터 공정 가스의 흐름 속도는 바람직하게 약 150 sccm 내지 약 280 sccm의 범위에서 거의 동일하다.
일례로, 기판은 실질적으로 상기 기판상에 균일한 증착과 상기 돔으로 플루오르의 확산을 야기하는 공정 편차를 발생시키지 않는 다음의 변수로 FSG 증착을 위한 상술된 처리 챔버에서 처리된다. 상기 노즐(302)의 길이는 1.75 인치이고 상기 노즐(304)의 길이는 3.05 인치이다. 상기 기판은 상기 노즐(302, 304)의 선단부 면 아래 약 1.78 인치에서 배열된다. 산소는 노즐(302)을 통해 약 163 sccm O2에서 챔버로 유입되고 SiH4, SiF4, 및 아르곤은 노즐(304)을 통해 약 45 sccm SiH4, 약 45 sccm SiF4, 및 약 73 sccm Ar에서 챔버로 유입된다. 상기 제 1 코일에 가해진 플라즈마 전력은 약 1500 W이고 상기 제 2 코일에 가해진 플라즈마 전력은 약 2900 W이다. 증착 공정 중에, 상기 기판의 온도는 약 400 ℃에서 유지되고 상기 돔의 온도는 120 ℃에서 유지된다. 상기 기판 지지 부재는 1800 W에서 바이어스된다. 챔버의 압력은 8 mT에서 유지된다. 상기의 변수로, 상기 증착 공정은 3.4의 낮은 k값을 갖는 균일하고 일정한 FSG 증착을 생성한다. 증착된 FSG 박막은 안정하고 모든 요구된 박막의 특성을 만족시킨다. 상기 하드웨어(즉, 세라믹 돔)는 플루오르 원자에 의해 침식되지 않고, 두께가 약 1000 Å인 시이즈닝 코팅을 통해 완전히 확산된 플루오르 원자는 없다. 결과적으로, 플루오르가 확산하여 상기 돔에 Al2OxFy를 형성하지 않기 때문에, 공정중에 공정 편차가 발생하지 않는다.
도 9는 본 발명에 따른 가스 분배 링(410)의 대안적인 실시예의 사시도이다. 상기 다중 가스 분배 링(410)은 상기 가스 노즐(402, 404)의 구성을 제외하고 상기 가스 분배 링(310)의 구성과 일반적으로 유사하다. 도 9에 도시된, 상기 가스 분배 링(410)은 긴 노즐(304)과 유사한 일련의 제 1 노즐과 챔버 돔을 향한 각도로 경사진 일련의 제 2 노즐(402)을 포함한다. 상기 노즐(402, 404)은 세 개의 노즐(404)이 근처의 경사진 노즐(402) 사이로 배열되는 교대 구성으로 배열된다. 상기 일련의 제 1 노즐(404)은 상기 가스 분배 링(410) 내에 형성된 제 1 환형의 채널(도시 않음)에 연결되고 상기 일련의 제 2 노즐(402)은 상기 가스 분배 링 내에 형성된 제 2 환형의 채널(도 10에 도시됨)에 연결된다. 도 9가 노즐(402, 404)의 바람직한 배열을 도시하지만, 소정의 다중 가스 분배를 제공하는 노즐의 다른 구성이 본 발명에 의해 예상된다.
도 10은 상기 제 2 환형의 가스 채널(416)과 경사진 노즐(402)을 도시하는 상기 가스 분배 링(410)의 부분 횡단면도이다. 바람직하게, 상기 가스 분배 링(410)을 포함하는 면으로부터 측정된 경사 각, α는 챔버 돔을 향해 각져서 약 15도 내지 약 60도 범위에 있다. 도 10에서 도시된 바와 같이, 상기 각 α는 약 45도이다. 본 발명의 또 다른 대안적인 실시예는 상기 제 2 노즐(402)의 경사도와 반대 방향(즉, 상기 기판을 향해)으로 경사진 일련의 제 1 노즐(404)을 제공한다. 바람직하게, 상기 일련의 제 1 노즐(404)의 경사도는 상기 가스 분배 링(410)(즉, 상기 경사도는 상기 기판을 향해 약 0도 내지 약 25도 범위에 있다)을 포함하는 면으로부터 약 0도 내지 약 -25도 범위에 있다.
상기 다중 가스 분배 링(410)은 상기 경사진 노즐(402)을 통해 유입된 공정 가스에 대해 중앙의 두꺼운 확산 패턴으로 상기 공정 가스를 처리 챔버로 유입시킨다. 본 발명의 실시예에 따라, FSG의 증착에 대해, SiF4 및 산소는 노즐(404)을 통해 챔버로 유입되고 아르곤은 노즐(402)을 통해 유입된다. 상기 중앙의 두꺼운 확산 패턴 때문에, 플루오르 및 인과 같은, 규소 산화물 불순물은 상기 기판의 표면에 걸쳐 더 균일하게 분배되고, 개선된 증착의 균일성을 나타낸다.
상기 경사진 노즐(402)을 통해 유입된 공정 가스는 상기 노즐(404)을 통해 유입된 공정 가스보다 상기 세라믹 돔의 표면 근처에서 더 높은 부분압을 제공하기 때문에, 상기 다중의 가스 분배 링(410)은 상기 세라믹 돔에 대한 플루오르의 침식을 방지하는데에 있어서 상기 가스 분배 링(310)과 같은 목적을 수행한다. 예를 들어, 상기 FSG 공정 가스에 가해질 때, 상기 돔의 내부 면에서 SiH4의 더 높은 부분압은 내부 면 상의 증착에서 플루오르의 통합을 감소시켜, 플루오르 종에 의한 돔의 흑화 현상을 방지하고, 교대로 증착의 균일성, 증착 속도, 공정 중에 챔버 내의 플루오르의 함유량 및 스퍼터링의 균일성에서 공정 편차를 방지한다. 다중 가스 분배 링(410)의 또 다른 장점은 상기 세라믹 돔의 내부 표면과 상기 시이즈닝 가스를 유입시키는 노즐 사이의 감소된 거리 때문에 상기 시이즈닝 코팅이 더 빠른 속도로 증착된다는 것이다.
상기에서 본 발명의 바람직한 실시예에 맞추어졌지만, 본 발명의 다른 실시예가 본 발명의 기본적인 범위에서 벗어남이 없이 고안될 수 있으며, 상기 범위는 다음의 특허청구범위에 의해 결정된다.
본 발명에 의해 플루오르와 다른 가스에 의한 상기 돔의 오염과 공정 편차에 의해 나타나는 문제점을 제거하고 상기 돔의 내부 표면에 시이즈닝 코팅을 형성하고 제거하는데 요구되는 시간을 감소시킴으로써 증가된 처리량을 제공하며 균일하게 도프된 규소 유리 박막을 얻을 수 있도록 상기 표면에 걸쳐 균일한 불순물을 전달할 수 있다.

Claims (22)

  1. 증착 챔버 내에서 기판상에 박막을 증착하는 방법으로서,
    SiH4를 포함하는 제 1 공정 가스를 상기 기판 주위에 배열된 복수의 제 1 노즐을 통해 상기 챔버 내측으로 주입시키는 단계; 및
    산소를 포함하는 제 2 공정 가스를 상기 기판 주위에 배열된 복수의 제 2 노즐을 통해 상기 챔버 내측으로 주입시키는 단계;를 포함하며,
    상기 제 1 공정 가스와 상기 제 2 공정 가스의 체적당 흐름비가 1:1인
    증착 챔버 내에서 기판상에 박막을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 공정 가스가 SiF4를 더 포함하고 상기 SiH4와 상기 SiF4의 체적당 흐름비가 0.8:1 내지 1.2:1인
    증착 챔버 내에서 기판상에 박막을 증착하는 방법.
  3. 제 2 항에 있어서,
    상기 제 1 공정 가스가 아르곤을 더 포함하는
    증착 챔버 내에서 기판상에 박막을 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 제 2 공정 가스가 SiF4를 더 포함하는
    증착 챔버 내에서 기판상에 박막을 증착하는 방법.
  5. 제 2 항에 있어서,
    상기 SiH4와 상기 SiF4의 흐름비가 1:1인
    증착 챔버 내에서 기판상에 박막을 증착하는 방법.
  6. 제 3 항에 있어서,
    상기 SiH4의 흐름이 45 sccm이고, 상기 SiF4의 흐름이 45 sccm이며, 상기 아르곤의 흐름이 73 sccm이며, 상기 산소의 흐름이 163 sccm인
    증착 챔버 내에서 기판상에 박막을 증착하는 방법.
  7. 제 1 항에 있어서,
    상기 제 1 노즐이 상기 제 2 노즐보다 긴
    증착 챔버 내에서 기판상에 박막을 증착하는 방법.
  8. 제 1 항에 있어서,
    상기 제 2 노즐이 상기 제 2 공정 가스를 주입시키는 것보다 상기 제 1 노즐이 상기 챔버의 내부 면에서 더 가까이 상기 제 1 공정 가스를 주입시키는
    증착 챔버 내에서 기판상에 박막을 증착하는 방법.
  9. 증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법으로서,
    40 내지 500 sccm의 산소를 포함하는 제 1 공정 가스를 상기 기판 주위에 배열된 복수의 제 1 개구를 통해 상기 챔버 내측으로 주입시키는 단계; 및
    15 내지 150 sccm의 SiF4와, 15 내지 150 sccm의 SiH4를 포함하는 제 2 공정 가스를 상기 기판 주위에 배열된 복수의 제 2 개구를 통해 주입시키는 단계;를 포함하는
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  10. 제 9항에 있어서,
    상기 제 1 공정 가스와 상기 제 2 공정 가스의 체적당 흐름비가 1:1인
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  11. 제 9 항에 있어서,
    상기 산소 대 상기 SiH4와 상기 SiF4의 체적당 흐름비가 1.5:1 내지 2:1인
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  12. 제 9 항에 있어서,
    0 내지 200 sccm의 아르곤을 상기 챔버 내측으로 주입시키는 단계를 더 포함하는
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  13. 제 12 항에 있어서,
    상기 아르곤이 상기 제 2 개구를 통해 주입되는
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  14. 제 13 항에 있어서,
    상기 SiH4의 흐름이 45 sccm이고, 상기 SiF4의 흐름이 45 sccm이며, 상기 아르곤의 흐름이 73 sccm이며, 상기 산소의 흐름이 163 sccm인
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  15. 증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법으로서,
    40 내지 500 sccm의 산소를 포함하는 제 1 공정 가스를 상기 기판 주위에 배열된 복수의 제 1 개구를 통해 상기 챔버로 유입시키는 단계; 및
    15 내지 150 sccm의 SiF4, 15 내지 150 sccm의 SiH4, 및 아르곤을 포함하는 제 2 공정 가스를 상기 기판 주위에 배열된 복수의 제 2 개구를 통해 주입시키는 단계;를 포함하는
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  16. 제 15 항에 있어서,
    상기 제 1 공정 가스와 상기 제 2 공정 가스의 체적당 흐름비가 1:1인
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  17. 제 16 항에 있어서,
    상기 SiH4와 상기 SiF4의 체적당 흐름비가 1:1인
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  18. 제 15 항에 있어서,
    상기 SiH4의 흐름이 45 sccm이고, 상기 SiF4의 흐름이 45 sccm이며, 상기 아르곤의 흐름이 73 sccm이며, 상기 산소의 흐름이 163 sccm인
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  19. 제 15 항에 있어서,
    상기 제 1 개구가 상기 제 2 개구보다 짧은
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  20. 제 19 항에 있어서,
    상기 제 2 개구가 상기 제 2 공정 가스를 주입시키는 것보다 상기 제 1 개구가 상기 챔버의 내부 면에서 더 가까이 상기 제 1 공정 가스를 주입시키는
    증착 챔버 내에서 200 mm 기판상에 박막을 증착하는 방법.
  21. 제 1 항에 있어서,
    상기 제 2 노즐이 상기 제 1 노즐에 대해 15°내지 60°로 경사져 있는
    증착 챔버 내에서 기판상에 박막을 증착하는 방법.
  22. 삭제
KR1020000070291A 1999-11-24 2000-11-24 증착 챔버 내에서 기판 상에 박막을 증착하는 방법 KR100743792B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/449,203 US6486081B1 (en) 1998-11-13 1999-11-24 Gas distribution system for a CVD processing chamber
US9/449,203 1999-11-24
US09/449,203 1999-11-24

Publications (2)

Publication Number Publication Date
KR20010051925A KR20010051925A (ko) 2001-06-25
KR100743792B1 true KR100743792B1 (ko) 2007-07-30

Family

ID=23783302

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000070291A KR100743792B1 (ko) 1999-11-24 2000-11-24 증착 챔버 내에서 기판 상에 박막을 증착하는 방법

Country Status (6)

Country Link
US (1) US6486081B1 (ko)
EP (1) EP1103632A1 (ko)
JP (1) JP4801250B2 (ko)
KR (1) KR100743792B1 (ko)
SG (1) SG97977A1 (ko)
TW (1) TW495805B (ko)

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7067440B1 (en) * 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3861036B2 (ja) 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7055212B2 (en) * 2002-10-24 2006-06-06 Texas Instruments Incorporated Clean gas injector system for reactor chamber
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
WO2004095502A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited Plasma processing system and method
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
ITMI20031196A1 (it) * 2003-06-13 2004-12-14 Lpe Spa Sistema per crescere cristalli di carburo di silicio
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7387811B2 (en) 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
KR100782380B1 (ko) * 2005-01-24 2007-12-07 삼성전자주식회사 반도체 제조장치
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
CN100446195C (zh) * 2006-08-23 2008-12-24 上海华虹Nec电子有限公司 改善氟硅玻璃填隙性的方法
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US20100098882A1 (en) * 2008-10-21 2010-04-22 Applied Materials, Inc. Plasma source for chamber cleaning and process
WO2013170052A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
EP2674513B1 (en) 2009-05-13 2018-11-14 SiO2 Medical Products, Inc. Vessel coating and inspection
US7985188B2 (en) 2009-05-13 2011-07-26 Cv Holdings Llc Vessel, coating, inspection and processing apparatus
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US9076634B2 (en) * 2009-09-10 2015-07-07 Lam Research Corporation Replaceable upper chamber parts of plasma processing apparatus
US9127360B2 (en) * 2009-10-05 2015-09-08 Applied Materials, Inc. Epitaxial chamber with cross flow
KR101092122B1 (ko) * 2010-02-23 2011-12-12 주식회사 디엠에스 에칭 프로파일 제어를 위한 가스 인젝션 시스템
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
CN103930595A (zh) 2011-11-11 2014-07-16 Sio2医药产品公司 用于药物包装的钝化、pH保护性或润滑性涂层、涂布方法以及设备
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US9412579B2 (en) * 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US9249367B2 (en) * 2012-07-06 2016-02-02 Gas Technology Institute Injector having interchangeable injector orifices
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
WO2014071061A1 (en) 2012-11-01 2014-05-08 Sio2 Medical Products, Inc. Coating inspection method
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
WO2014085346A1 (en) 2012-11-30 2014-06-05 Sio2 Medical Products, Inc. Hollow body with inside coating
WO2014134577A1 (en) 2013-03-01 2014-09-04 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
WO2014164928A1 (en) 2013-03-11 2014-10-09 Sio2 Medical Products, Inc. Coated packaging
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
CN107833848B (zh) * 2013-04-30 2021-12-07 应用材料公司 具有空间分布的气体通道的气流控制衬垫
US11066745B2 (en) 2014-03-28 2021-07-20 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
KR102215965B1 (ko) 2014-04-11 2021-02-18 주성엔지니어링(주) 가스 분사 장치 및 이를 포함하는 기판 처리 장치
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
WO2016182648A1 (en) * 2015-05-08 2016-11-17 Applied Materials, Inc. Method for controlling a processing system
KR102417930B1 (ko) * 2015-08-13 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 이를 포함하는 증착 시스템
CA3204930A1 (en) 2015-08-18 2017-02-23 Sio2 Medical Products, Inc. Pharmaceutical and other packaging with low oxygen transmission rate
US10662529B2 (en) * 2016-01-05 2020-05-26 Applied Materials, Inc. Cooled gas feed block with baffle and nozzle for HDP-CVD
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US20180033659A1 (en) * 2016-07-28 2018-02-01 Applied Materials, Inc. Gas purge system and method for outgassing control
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
SG11202000620SA (en) * 2017-09-13 2020-02-27 Kokusai Electric Corp Substrate treatment apparatus, method for manufacturing semiconductor device, and program
US11077410B2 (en) * 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10751765B2 (en) * 2018-08-13 2020-08-25 Applied Materials, Inc. Remote plasma source cleaning nozzle for cleaning a gas distribution plate
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
CN112695301A (zh) * 2020-12-22 2021-04-23 湖南顶立科技有限公司 一种气流旋转均匀的气相沉积装置
DE102022102768A1 (de) * 2022-02-07 2023-08-10 Stephan Wege Symmetrischer Prozessreaktor

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0892083A1 (en) * 1997-07-02 1999-01-20 Applied Materials, Inc. Method and apparatus for seasoning a substrate processing chamber

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0830273B2 (ja) 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
US4949669A (en) 1988-12-20 1990-08-21 Texas Instruments Incorporated Gas flow systems in CCVD reactors
JPH02222134A (ja) 1989-02-23 1990-09-04 Nobuo Mikoshiba 薄膜形成装置
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3122601B2 (ja) 1995-06-15 2001-01-09 東京エレクトロン株式会社 プラズマ成膜方法及びその装置
TW356554B (en) 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
TW343356B (en) 1996-05-13 1998-10-21 Applied Materials Inc Deposition chamber and method for depositing low dielectric films
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
EP0958401B1 (en) 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
JP3287392B2 (ja) 1997-08-22 2002-06-04 日本電気株式会社 半導体装置およびその製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0892083A1 (en) * 1997-07-02 1999-01-20 Applied Materials, Inc. Method and apparatus for seasoning a substrate processing chamber

Also Published As

Publication number Publication date
US6486081B1 (en) 2002-11-26
SG97977A1 (en) 2003-08-20
JP2001291709A (ja) 2001-10-19
JP4801250B2 (ja) 2011-10-26
TW495805B (en) 2002-07-21
KR20010051925A (ko) 2001-06-25
EP1103632A1 (en) 2001-05-30

Similar Documents

Publication Publication Date Title
KR100743792B1 (ko) 증착 챔버 내에서 기판 상에 박막을 증착하는 방법
US6143078A (en) Gas distribution system for a CVD processing chamber
KR100268158B1 (ko) 유도 결합된hdp-cvd반응기
US6077357A (en) Orientless wafer processing on an electrostatic chuck
US6286451B1 (en) Dome: shape and temperature controlled surfaces
US6109206A (en) Remote plasma source for chamber cleaning
US6189483B1 (en) Process kit
US6083344A (en) Multi-zone RF inductively coupled source configuration
US5994662A (en) Unique baffle to deflect remote plasma clean gases
US7510624B2 (en) Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
EP1154040B1 (en) Reduction of plasma edge effect on plasma enhanced CVD processes
US6682603B2 (en) Substrate support with extended radio frequency electrode upper surface
US7354501B2 (en) Upper chamber for high density plasma CVD
US7074298B2 (en) High density plasma CVD chamber
US6364949B1 (en) 300 mm CVD chamber design for metal-organic thin film deposition
US7141138B2 (en) Gas delivery system for semiconductor processing
US20040082251A1 (en) Apparatus for adjustable gas distribution for semiconductor substrate processing
KR100671596B1 (ko) 반도체 웨이퍼 처리 시스템을 세정하기 위한 방법 및장치, 및 상기 시스템을 제어하기 위한 컴퓨터 판독가능한 매체
US20230335377A1 (en) Showerhead assembly with heated showerhead
JP2023530563A (ja) マルチゾーン半導体基板支持体
KR20160110273A (ko) Pe-cvd 장치 및 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130628

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140627

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee