KR100570069B1 - Method for fabrication of semiconductor device - Google Patents

Method for fabrication of semiconductor device Download PDF

Info

Publication number
KR100570069B1
KR100570069B1 KR1020030043159A KR20030043159A KR100570069B1 KR 100570069 B1 KR100570069 B1 KR 100570069B1 KR 1020030043159 A KR1020030043159 A KR 1020030043159A KR 20030043159 A KR20030043159 A KR 20030043159A KR 100570069 B1 KR100570069 B1 KR 100570069B1
Authority
KR
South Korea
Prior art keywords
hard mask
insulating film
film
etching
pattern
Prior art date
Application number
KR1020030043159A
Other languages
Korean (ko)
Other versions
KR20050003032A (en
Inventor
안상태
신동선
송석표
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020030043159A priority Critical patent/KR100570069B1/en
Publication of KR20050003032A publication Critical patent/KR20050003032A/en
Application granted granted Critical
Publication of KR100570069B1 publication Critical patent/KR100570069B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 하드마스크와 절연막 사이의 열악한 계면 특성을 개선하여 세정 공정시 하드마스크가 리프팅되는 현상을 방지할 수 있는 반도체소자 제조 방법을 제공하기 위한 것으로, 이를 위해 본 발명은, 기판 상에 절연막을 형성하는 단계; 상기 절연막의 표면 거칠기를 증가시키기 위해 상기 절연막 상부를 표면처리하는 단계; 상기 표면처리된 절연막 상에 하드마스크용 물질막을 형성하는 단계; 상기 하드마스크용 물질막 상에 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 하드마스크용 물질막을 식각하여 하드마스크를 형성하는 단계; 및 적어도 상기 하드마스크를 식각마스크로 상기 절연막을 식각하여 소정의 패턴을 형성하는 단계를 포함하는 반도체소자 제조 방법을 제공한다.The present invention is to provide a method for manufacturing a semiconductor device that can prevent the phenomenon that the hard mask is lifted during the cleaning process by improving the poor interface characteristics between the hard mask and the insulating film, the present invention provides an insulating film on the substrate Forming; Surface treating the insulating film to increase the surface roughness of the insulating film; Forming a material layer for a hard mask on the surface treated insulating film; Forming a photoresist pattern on the material layer for the hard mask; Etching the hard mask material layer using the photoresist pattern as an etching mask to form a hard mask; And etching the insulating layer using at least the hard mask as an etch mask to form a predetermined pattern.

SAC, 절연막, 하드마스크, 버퍼 절연막, 표면처리, 리프팅.SAC, insulating film, hard mask, buffer insulating film, surface treatment, lifting.

Description

반도체소자 제조 방법{METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE} Semiconductor device manufacturing method {METHOD FOR FABRICATION OF SEMICONDUCTOR DEVICE}             

도 1a 및 도 1b는 종래기술에 따른 SAC 형성 공정을 도시한 단면도.1A and 1B are cross-sectional views illustrating a SAC forming process according to the prior art.

도 2는 도 1b의 SAC 공정 후의 공정 단면을 도시한 SEM 사진.FIG. 2 is a SEM photograph showing a process cross section after the SAC process of FIG. 1B. FIG.

도 3은 세정 공정 후의 공정 단면을 도시한 SEM 사진.3 is a SEM photograph showing a process cross section after the cleaning process.

도 4는 세정 공정에 의해 발생된 하드마스크의 리프팅을 도시한 평면 SEM 사진.4 is a planar SEM photograph showing the lifting of the hard mask generated by the cleaning process.

도 5a 내지 도 5c는 본 발명의 제1실시예에 따른 반도체소자의 제조 공정을 도시한 단면도.5A through 5C are cross-sectional views illustrating a process of manufacturing a semiconductor device according to the first embodiment of the present invention.

도 6은 도 5c를 도시한 평면 SEM 사진.6 is a planar SEM photograph of FIG. 5C.

도 7a 내지 도 7c는 본 발명의 제2실시예에 따른 반도체소자의 제조 공정을 도시한 단면도.7A to 7C are cross-sectional views illustrating a process of manufacturing a semiconductor device in accordance with a second embodiment of the present invention.

도 8a 내지 도 8c는 본 발명의 제3실시예에 따른 반도체소자의 제조 공정을 도시한 단면도.8A to 8C are cross-sectional views illustrating a process of manufacturing a semiconductor device according to the third embodiment of the present invention.

* 도면의 주요부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

50 : 기판 51 : 게이트절연막50 substrate 51 gate insulating film

52 : 제1전도막 53 : 제2전도막52: first conductive film 53: second conductive film

54 : 게이트전극 하드마스크 55 : 스페이서54 gate electrode hard mask 55 spacer

56 : 소스/드레인 영역 57 : 절연막56 source / drain region 57 insulating film

59: 표면처리된 절연막 60' : 하드마스크59: surface treated insulating film 60 ': hard mask

62 : 오픈부62: open section

본 발명은 반도체소자의 제조 방법에 관한 것으로, 특히 자기정렬콘택(Self Align Contact; 이하 SAC이라 함) 등의 식각 공정시 사용되는 하드마스크와 절연막 사이의 열악한 계면 특성으로 인한 패턴의 리프팅 현상을 방지할 수 있는 반도체소자 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and in particular, to prevent lifting of a pattern due to poor interface characteristics between a hard mask and an insulating layer used in an etching process such as a self alignment contact (hereinafter referred to as SAC). It relates to a semiconductor device manufacturing method that can be done.

반도체소자의 고집적화에 따라 노광장비의 DOF 값도 낮아지고 또한, 보다 짧은 파장의 노광원을 이용함에 따라 투과율을 높이기 위해 포토레지스트 패턴의 두께도 감소하고 있다. 이러한 포토레지스트 패턴의 두께가 감소함에 따라 패턴 형성이 완료되기 전에 포토레지스트 패턴이 소진되면서 초기 포토레지스트 패턴의 모양이 변형되는 문제점을 안고 있다.As the integration of semiconductor devices becomes higher, the DOF value of the exposure apparatus is lowered, and the thickness of the photoresist pattern is also reduced in order to increase the transmittance by using an exposure source of shorter wavelength. As the thickness of the photoresist pattern decreases, the photoresist pattern is exhausted before the pattern formation is completed, and thus the shape of the initial photoresist pattern is deformed.

이와 같은 문제점을 해결하기 위해 피식각층 예컨대, SAC 공정시의 절연막과 포토레지스트 패턴 사이에 하드마스크를 채용하게 되었다. 하드마스크로는 텅스텐, 텅스텐 나이트라이드, 실리콘질화막 또는 폴리실리콘 등 다양한 물질이 사용되고 있다.In order to solve such a problem, a hard mask is employed between an insulating layer and a photoresist pattern during an SAC process. As the hard mask, various materials such as tungsten, tungsten nitride, silicon nitride, or polysilicon are used.

따라서, 이와 같이 하드마스크를 채용하는 경우에는 포토레지스트 패턴은 하드마스크(및 반사방지막) 만을 패터닝하기 위한 식각마스크로서 작용하기 때문에 얇은 두께의 포토레지스트 패턴으로도 보다 미세한 패턴의 형성이 가능하게 되었다.Therefore, in the case of employing the hard mask as described above, since the photoresist pattern acts as an etching mask for patterning only the hard mask (and the antireflection film), a finer pattern can be formed even with a thin photoresist pattern.

도 1a 및 도 1b는 종래기술에 따른 SAC 형성 공정을 도시한 단면도이다.1A and 1B are cross-sectional views illustrating a SAC forming process according to the prior art.

도 1를 참조하면, 기판(10) 상에 복수의 게이트전극 패턴이 형성되어 있으며, 게이트전극 패턴은 게이트절연막(11)과 제1 및 제2전도막(12, 13)과 하드마스크(14)가 적층된 구조를 이루고 있다.Referring to FIG. 1, a plurality of gate electrode patterns are formed on the substrate 10, and the gate electrode patterns include the gate insulating layer 11, the first and second conductive layers 12 and 13, and the hard mask 14. Has a laminated structure.

게이트절연막(11)은 주로 산화막 계열을 사용하고, 제1 및 제2전도막(12, 13)은 폴리실리콘, 텅스텐, 텅스텐 실리사이드, 텅스텐 나이트라이드 등의 단독 또는 조합된 구조를 사용한다. The gate insulating film 11 mainly uses an oxide film series, and the first and second conductive films 12 and 13 use a single or combined structure of polysilicon, tungsten, tungsten silicide, tungsten nitride, and the like.

하드마스크(14)는 SAC 식각 등 후속 공정에서 제1 및 제2전도막(12, 13)이 어택받는 것을 방지하고, 또한 제1 및 제2전도막(12, 13)과 후속 연결부 간의 전기적 단락을 방지하는 역할을 한다. 이를 위해서 주로 실리콘산화질화막, 실리콘산화막 또는 실리콘질화막을 하드마스크(14) 물질로 주로 사용한다.The hard mask 14 prevents the first and second conductive films 12 and 13 from being attacked in a subsequent process such as SAC etching, and also an electrical short between the first and second conductive films 12 and 13 and the subsequent connection portion. Serves to prevent. For this purpose, a silicon oxynitride film, a silicon oxide film, or a silicon nitride film is mainly used as the hard mask 14 material.

이러한 게이트전극 패턴이 형성된 프로파일을 따라 버퍼 절연막을 증착한 다음, 전면식각을 실시하여 게이트전극 패턴 측면에 스페이서(15)를 형성한다.A buffer insulating layer is deposited along the profile on which the gate electrode pattern is formed, and then the entire surface is etched to form a spacer 15 on the side of the gate electrode pattern.

스페이서(15)는 이온주입에 의해 게이트전극 패턴 측면의 기판(10)에 LDD 구 조의 소스/드레인을 형성함과 아울러 SAC 공정시 게이트전극 패턴 측면의 어택을 방지하기 위한 것이다.The spacer 15 is formed to form a source / drain of LDD structure on the substrate 10 on the side of the gate electrode pattern by ion implantation and to prevent attack on the side of the gate electrode pattern during the SAC process.

따라서, 질화막의 단독 또는 산화막과 질화막이 적층된 구조 또는 질화막/산화막/질화막 구조 등 다양한 구조로 형성하며, 여기서 사용되는 질화막은 실리콘산화질화막 또는 실리콘질화막을 포함한다.Therefore, the nitride film is formed alone or in various structures such as an oxide film and a nitride film laminated or a nitride film / oxide film / nitride film structure. The nitride film used here includes a silicon oxynitride film or a silicon nitride film.

게이트전극 패턴 측면의 기판(10, 또는 웰(도시하지 않음))에 이온주입과 열확산에 의해 기판(10) 표면으로부터 일정 깊이까지 확장된 소스/드레인 영역(16)을 형성한다.A source / drain region 16 extending from the surface of the substrate 10 to a predetermined depth is formed in the substrate 10 or the well (not shown) on the side of the gate electrode pattern by ion implantation and thermal diffusion.

숏 채널에 의한 핫 캐리어 효과를 방지하기 위해 로우 레벨의 불순물 도핑 및 스페이서(15) 형성 후 다시 하이 레벨의 불순물 도핑을 실시하여 컨벤셔널한 구조로 형성하나, 여기서는 LDD 구조가 아닌 단순한 형태로 도시하였다.In order to prevent the hot carrier effect due to the short channel, a low level impurity doping and a spacer 15 are formed, followed by a high level impurity doping to form a conventional structure. .

이어서, 게이트전극 패턴이 형성된 전체 구조 상부에 층간절연을 위한 절연막(17)을 형성한다.Next, an insulating film 17 for interlayer insulation is formed on the entire structure where the gate electrode pattern is formed.

절연막(17)은 BPSG(Boro Phospho Silicate Glass)막, BSG(Boro Silicate Glass)막, PSG(Phospho Silicate Glass)막, TEOS(Tetra Ethyl Ortho SIlicate)막 또는 HDP(High Density Plasma) 산화막 등 산화막 계열의 물질을 사용한다.The insulating film 17 may be formed of an oxide film series such as a BPSG (Boro Phospho Silicate Glass) film, a BSG (Boro Silicate Glass) film, a PSG (Phospho Silicate Glass) film, a TEOS (Tetra Ethyl Ortho SIlicate) film, or an HDP (High Density Plasma) oxide film. Use substance.

한편, 고집적화에 따라 게이트전극 패턴의 수직 높이가 증가하여 게이트전극 패턴 사이에서의 종횡비가 증가하여 절연막(17) 증착시 갭-필 불량이 초래된다.On the other hand, as the high integration increases the vertical height of the gate electrode pattern, the aspect ratio between the gate electrode patterns increases, resulting in gap-fill defects when the insulating layer 17 is deposited.

이를 방지하기 위해 최근에는 갭-필 특성이 우수한 SOD막 등을 이용하여 도포하고, 막의 치밀화를 위해 열처리 공정을 실시한다.In order to prevent this, recently, an SOD film having excellent gap-fill characteristics is applied, and a heat treatment process is performed to densify the film.

이어서, 절연막(17) 상에 후속 SAC 공정시 포토레지스트의 약한 식각 내성을 확보하기 위해 하드마스크용 물질막(18)을 증착한 다음, 하드마스크용 물질막(18) 상에 SAC 형성용 포토레지스트 패턴(19)을 형성한다.Subsequently, a hard mask material film 18 is deposited on the insulating film 17 in order to secure a weak etching resistance of the photoresist in a subsequent SAC process, and then a photoresist for forming SAC on the material film 18 for hard mask. The pattern 19 is formed.

하드마스크용 물질막(18)은 얇은 포토레지스트 패턴(19)의 두께로 식각 공정을 진행하기 때문에 두께가 낮지만 층간절연용으로 주로 사용되는 산화막 계열의 물질막에 대해서는 식각선택비가 높은 것이 요구되므로 주로 질화막 계열의 물질막을 이용한다.Since the hard mask material film 18 is etched with the thickness of the thin photoresist pattern 19, the thickness of the hard mask material film 18 is low, but a high etching selectivity is required for the oxide film-based material film mainly used for interlayer insulation. The nitride film-based material film is mainly used.

이어서, 포토레지스트 패턴(19)을 식각마스크로 하드마스크용 물질막(19)을 식각하여 패턴 영역을 정의 하는 바, 이 때 하드마스크(18')가 형성된다.Subsequently, the hard mask material layer 19 is etched using the photoresist pattern 19 as an etch mask to define a pattern region, whereby a hard mask 18 'is formed.

계속해서, 포토레지스트 스트립 공정을 실시하여 포토레지스트 패턴(19)을 제거한 다음, 하드마스크(18')를 식각마스크로 절연막(17)을 식각하는 SAC 식각 공정을 실시하여 도 1b에 도시된 바와 같이 소스/드레인 영역(16)을 노출시키는 오픈부(20) 즉, 콘택홀을 형성한다.Subsequently, a photoresist strip process is performed to remove the photoresist pattern 19, and then a SAC etching process is performed to etch the insulating film 17 using the hard mask 18 'as an etch mask, as shown in FIG. 1B. Open portions 20 exposing the source / drain regions 16, that is, contact holes, are formed.

SAC 공정은 주로 불소계 가스에 대해 식각선택비를 갖는 산화막과 질화막을 이용하여 하지층(즉, 절연막(17))을 식각한다.In the SAC process, an underlying layer (ie, insulating film 17) is etched using an oxide film and a nitride film having an etching selectivity mainly with respect to a fluorine-based gas.

또한, 전술한 예에서는 하드마스크(18') 패턴 형성 후 포토레지스트 스트립 공정을 실시하였으나, SAC 식각 공정 후 포토레지스트 스트립 공정을 실시할 수도 있다.In the above-described example, the photoresist strip process is performed after the hard mask 18 'pattern is formed. However, the photoresist strip process may be performed after the SAC etching process.

SAC 식각 공정 및 통상의 식각 공정 후에는 식각잔류물을 제거하고 오픈부(20)의 저면 면적을 충분히 확보함과 동시에 소스/드레인 영역(16)을 이루는 기판(10) 영역에서 형성된 자연 산화막을 제거하기 위해 세정 공정을 실시한다. 세정 공정은 BOE 또는 희석된 불산 용액을 이용하여 실시한다.After the SAC etching process and the normal etching process, the etch residues are removed, the bottom area of the open portion 20 is sufficiently secured, and the natural oxide film formed in the region of the substrate 10 constituting the source / drain region 16 is removed. In order to achieve this, a washing step is performed. The cleaning process is carried out using BOE or diluted hydrofluoric acid solution.

한편, 피식각층인 절연막(17)과 하드마스크(18')는 서로 다른 식각 특성을 가지며, 이를 위해 이들의 구성 원소는 서로 다르다. 따라서, 절연막(17)과 하드마스크(18') 사이의 계면에서의 접착 특성은 양호한 상태를 유지하기가 함들며, 전술한 세정 공정에서 이러한 불량한 계면을 따라 어택이 발생하게 된다.Meanwhile, the insulating layer 17 and the hard mask 18 ′, which are the etched layers, have different etching characteristics, and for this purpose, their constituent elements are different from each other. Therefore, the adhesive property at the interface between the insulating film 17 and the hard mask 18 'often maintains a good state, and attack occurs along this poor interface in the cleaning process described above.

도 2는 도 1b의 SAC 공정 후의 공정 단면을 도시한 SEM 사진이고, 도 3은 세정 공정 후의 공정 단면을 도시한 SEM 사진이며. 도 4는 세정 공정에 의해 발생된 하드마스크의 리프팅을 도시한 평면 SEM 사진이다.FIG. 2 is an SEM photograph showing a process cross section after the SAC process of FIG. 1B, and FIG. 3 is an SEM photograph showing a process cross section after the cleaning process. 4 is a planar SEM photograph showing the lifting of the hard mask generated by the cleaning process.

예컨대, 절연막(17)으로 BPSG막을 사용하고 하드마스크(18')로 실리콘질화막을 사용하였을 경우 SAC 형성 공정까지는 이상이 없지만, 식각 공정에서 발생되는 폴리머성 부산물을 제거하거나, 계면에 발생하는 자연 산화막(Native oxide)을 제거하기 위해 세정 공정은 필수적으로 사용된다.For example, when the BPSG film is used as the insulating film 17 and the silicon nitride film is used as the hard mask 18 ', there is no problem until the SAC formation process, but the natural oxide film generated at the interface is removed or the polymer by-products generated during the etching process are removed. In order to remove native oxide, a cleaning process is essential.

즉, 도 2를 참조하면, SAC 식각 공정 후에는 절연막(17)과 하드마스크(18') 사이의 계면에서의 접착 불량으로 인한 하드마스크(18')의 리프팅(Lifting)은 발생하지 않았음을 확인할 수 있다.That is, referring to FIG. 2, after the SAC etching process, no lifting of the hard mask 18 ′ due to poor adhesion at the interface between the insulating layer 17 and the hard mask 18 ′ has not occurred. You can check it.

한편, 도 3을 참조하면, 절연막(17)으로 사용된 BPSG막과 하드마스크(18')로 사용된 실리콘질화막 사이의 계면 'a'이 세정 공정에 의해 취약해져 있음을 알 수 있다.On the other hand, referring to Figure 3, it can be seen that the interface 'a' between the BPSG film used as the insulating film 17 and the silicon nitride film used as the hard mask 18 'is weak by the cleaning process.

따라서, 두 막 사이의 계면이 분리될 경우 도 4에 도시된 바와 같이 하드카 스크의 리프팅이 발생하게 되어, 결국 반도체소자의 패턴 불량을 초래하게 된다.Accordingly, when the interface between the two films is separated, the lifting of the hard mask occurs as shown in FIG. 4, resulting in a poor pattern of the semiconductor device.

본 발명은 상기한 종래기술의 문제점을 해결하기 위해 제안된 것으로서, 하드마스크와 절연막 사이의 열악한 계면 특성을 개선하여 세정 공정시 하드마스크가 리프팅되는 현상을 방지할 수 있는 반도체소자 제조 방법을 제공하는 것을 그 목적으로 한다.
The present invention has been proposed to solve the above problems of the prior art, and provides a method for manufacturing a semiconductor device that can prevent the phenomenon that the hard mask is lifted during the cleaning process by improving the poor interface characteristics between the hard mask and the insulating film. For that purpose.

상기의 목적을 달성하기 위해 본 발명은, 기판 상에 절연막을 형성하는 단계; 상기 절연막의 표면 거칠기를 증가시키기 위해 상기 절연막 상부를 표면처리하는 단계; 상기 표면처리된 절연막 상에 하드마스크용 물질막을 형성하는 단계; 상기 하드마스크용 물질막 상에 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 하드마스크용 물질막을 식각하여 하드마스크를 형성하는 단계; 및 적어도 상기 하드마스크를 식각마스크로 상기 절연막을 식각하여 소정의 패턴을 형성하는 단계를 포함하는 반도체소자 제조 방법을 제공한다.The present invention to achieve the above object, forming an insulating film on a substrate; Surface treating the insulating film to increase the surface roughness of the insulating film; Forming a material layer for a hard mask on the surface treated insulating film; Forming a photoresist pattern on the material layer for the hard mask; Etching the hard mask material layer using the photoresist pattern as an etching mask to form a hard mask; And etching the insulating layer using at least the hard mask as an etch mask to form a predetermined pattern.

삭제delete

또한, 상기의 목적을 달성하기 위해 본 발명은, 기판 상에 이웃하는 제1 및 제2도전패턴을 형성하는 단계; 상기 제1 및 제2도전패턴을 포함한 전체 구조 상부에 절연막을 형성하는 단계; 상기 절연막을 선택적으로 제거하여 상기 제1 및 제2도전패턴 상부와 평탄화시키는 단계; 상기 평탄화 전체구조 상부에 하드마스크용 물질막을 형성하는 단계; 상기 하드마스크용 물질막 상에 포토레지스트 패턴을 형성하는 단계; 상기 포토레지스트 패턴을 식각마스크로 상기 하드마스크용 절연막을 식각하여 하드마스크를 형성하는 단계; 및 적어도 상기 하드마스크를 식각마스크로 상기 절연막을 식각하여 소정의 패턴을 형성하는 단계를 포함하는 반도체소자 제조 방법을 제공한다.In addition, to achieve the above object, the present invention, forming a neighboring first and second conductive pattern on the substrate; Forming an insulating film on the entire structure including the first and second conductive patterns; Selectively removing the insulating layer to planarize the upper portion of the first and second conductive patterns; Forming a material layer for a hard mask on the planarization entire structure; Forming a photoresist pattern on the material layer for the hard mask; Forming a hard mask by etching the insulating layer for the hard mask using the photoresist pattern as an etching mask; And etching the insulating layer using at least the hard mask as an etch mask to form a predetermined pattern.

본 발명은, 절연막을 피식각층으로 하는 식각 공정에서 패턴 변형을 방지하기 위해 포토레지스트 패턴과 절연막 사이에 형성하는 하드마스크와 절연막 사이의 계면 특성을 향상시키거나 또는 계면을 줄임으로써, 패턴 형성을 위한 식각 공정 후 실시하는 세정 공정에서 하드마스크가 리프팅되는 문제점을 해결할 수 있도록 한다.The present invention provides a method for forming a pattern by improving or reducing an interface property between a hard mask and an insulating film formed between a photoresist pattern and an insulating film in order to prevent pattern deformation in an etching process using the insulating film as an etched layer. The problem that the hard mask is lifted in the cleaning process performed after the etching process may be solved.

이를 위해 다음과 같은 3가지 방법을 사용한다.There are three ways to do this.

1). 피식각층인 절연막을 표면처리하여 거칠기를 증가시킴으로써, 절연막과 하드마스크의 계면 접착 특성을 향상시킨다.One). By increasing the roughness by surface treatment of the insulating film to be etched, the interfacial adhesion between the insulating film and the hard mask is improved.

2). 절연막과 하드마스크 사이에 두 막간의 접착력을 향상시킬 수 있는 버퍼 절연막을 형성한다.2). A buffer insulating film capable of improving the adhesion between the two films is formed between the insulating film and the hard mask.

3). 게이트전극 패턴 등 도전패턴 사이를 노출시키는 SAC 공정의 경우 절연막을 도전패턴의 상부(게이트 하드마스크)와 평탄화시키고, 평탄화된 도전패턴 상단부와 하드마스크가 직접 접촉되도록 하여 절연막과 하드마스크 사이의 접촉 계면을 줄인다.3). In the SAC process exposing the conductive patterns such as the gate electrode pattern, the insulating film is planarized with the upper part of the conductive pattern (gate hard mask), and the upper surface of the planarized conductive pattern is directly contacted with the hard mask so that the contact interface between the insulating film and the hard mask is made. Reduce

이하, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 본 발명의 기술적 사상을 용이하게 실시할 수 있을 정도로 상세히 설명하기 위하여, 본 발명의 가장 바람직한 실시예를 첨부한 도면을 참조하여 상세하게 설명한다.DETAILED DESCRIPTION Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings so that those skilled in the art may easily implement the technical idea of the present invention. do.

도 5a 내지 도 5c는 본 발명의 제1실시예에 따른 반도체소자의 제조 공정을 도시한 단면도로서, 이를 참조하여 본 발명의 반도체소자 제조 공정을 상세히 살펴 본다.5A to 5C are cross-sectional views illustrating a manufacturing process of a semiconductor device according to a first embodiment of the present invention, and the semiconductor device manufacturing process of the present invention will be described in detail with reference to the drawing.

도 5a를 참조하면, 기판(50) 상에 복수의 게이트전극 패턴이 형성되어 있으며, 게이트전극 패턴은 게이트절연막(51)과 제1 및 제2전도막(52, 53)과 하드마스크(54)가 적층된 구조를 이루고 있다.Referring to FIG. 5A, a plurality of gate electrode patterns are formed on the substrate 50, and the gate electrode patterns include the gate insulating layer 51, the first and second conductive layers 52 and 53, and the hard mask 54. Has a laminated structure.

게이트절연막(51)은 주로 산화막 계열을 사용하고, 제1 및 제2전도막(52, 53)은 폴리실리콘, 텅스텐, 텅스텐 실리사이드, 텅스텐 나이트라이드 등의 단독 또는 조합된 구조를 사용한다. The gate insulating film 51 mainly uses an oxide film series, and the first and second conductive films 52 and 53 use a single or combined structure of polysilicon, tungsten, tungsten silicide, tungsten nitride, or the like.

하드마스크(54)는 SAC 식각 등 후속 공정에서 제1 및 제2전도막(52, 53)이 어택받는 것을 방지하고, 또한 제1 및 제2전도막(52, 53)과 후속 연결부 간의 전기적 단락을 방지하는 역할을 한다. 이를 위해서 주로 실리콘산화질화막, 실리콘산화막 또는 실리콘질화막을 하드마스크(54) 물질로 주로 사용한다.The hard mask 54 prevents the first and second conductive films 52 and 53 from being attacked in a subsequent process such as SAC etching, and also electrically shorts between the first and second conductive films 52 and 53 and the subsequent connection portion. Serves to prevent. For this purpose, a silicon oxynitride film, a silicon oxide film, or a silicon nitride film is mainly used as the hard mask 54 material.

이러한 게이트전극 패턴이 형성된 프로파일을 따라 버퍼 절연막을 증착한 다음, 전면식각을 실시하여 게이트전극 패턴 측면에 스페이서(55)를 형성한다.A buffer insulating layer is deposited along the profile in which the gate electrode pattern is formed, and then the entire surface is etched to form a spacer 55 on the side of the gate electrode pattern.

스페이서(55)는 이온주입에 의해 게이트전극 패턴 측면의 기판(50)에 LDD 구조의 소스/드레인을 형성함과 아울러 SAC 공정시 게이트전극 패턴 측면의 어택을 방지하기 위한 것이다.The spacer 55 forms an LDD structure source / drain on the substrate 50 on the side of the gate electrode pattern by ion implantation and prevents attack on the side of the gate electrode pattern during the SAC process.

따라서, 질화막의 단독 또는 산화막과 질화막이 적층된 구조 또는 질화막/산화막/질화막 구조 등 다양한 구조로 형성하며, 여기서 사용되는 질화막은 실리콘산화질화막 또는 실리콘질화막을 포함한다.Therefore, the nitride film is formed alone or in various structures such as an oxide film and a nitride film laminated or a nitride film / oxide film / nitride film structure. The nitride film used here includes a silicon oxynitride film or a silicon nitride film.

게이트전극 패턴 측면의 기판(50, 또는 웰(도시하지 않음))에 이온주입과 열확산에 의해 기판(50) 표면으로부터 일정 깊이까지 확장된 소스/드레인 영역(56)을 형성한다.A source / drain region 56 extended to a predetermined depth from the surface of the substrate 50 is formed in the substrate 50 on the side of the gate electrode pattern or a well (not shown) by ion implantation and thermal diffusion.

숏 채널에 의한 핫 캐리어 효과를 방지하기 위해 로우 레벨의 불순물 도핑 및 스페이서(55) 형성 후 다시 하이 레벨의 불순물 도핑을 실시하여 컨벤셔널한 구조로 형성하나, 여기서는 LDD 구조가 아닌 단순한 형태로 도시하였다.In order to prevent the hot carrier effect due to the short channel, a low-level impurity doping and a spacer 55 are formed, followed by a high-level impurity doping to form a conventional structure. .

이어서, 게이트전극 패턴이 형성된 전체 구조 상부에 층간절연을 위한 절연막(57)을 형성한다.Subsequently, an insulating film 57 for interlayer insulation is formed on the entire structure where the gate electrode pattern is formed.

절연막(57)은 BPSG막, BSG막, PSG막, TEOS막 또는 HDP 산화막 등 산화막 계열의 물질을 사용한다.The insulating film 57 uses an oxide film-based material such as a BPSG film, a BSG film, a PSG film, a TEOS film, or an HDP oxide film.

한편, 고집적화에 따라 게이트전극 패턴의 수직 높이가 증가하여 게이트전극 패턴 사이에서의 종횡비가 증가하여 절연막(57) 증착시 갭-필 불량이 초래된다.On the other hand, as the high integration increases the vertical height of the gate electrode pattern, the aspect ratio between the gate electrode patterns increases, resulting in gap-fill defects when the insulating layer 57 is deposited.

이를 방지하기 위해 최근에는 갭-필 특성이 우수한 SOD막 등을 이용하여 도포하고, 막의 치밀화를 위해 열처리 공정을 실시한다.In order to prevent this, recently, an SOD film having excellent gap-fill characteristics is applied, and a heat treatment process is performed to densify the film.

이어서, 후속 하드마스크용 물질막과 절연막(57)의 접촉 계면에서의 접착력을 향상시키기 위해 절연막(57)의 표면처리(58)를 실시하여 도면부호 '59'와 같이 절연막(57) 표면의 특성을 변화시킨다.Subsequently, in order to improve the adhesion at the contact interface between the material film for hard mask and the insulating film 57, the surface treatment 58 of the insulating film 57 is carried out, so that the characteristics of the surface of the insulating film 57 are indicated as '59'. To change.

표면 처리의 방식으로는 플라즈마를 이용한 건식 방식 또는 습식 용액을 이용한 습식 방식으로 절연막(57) 표면의 막 거칠기를 증가시켜 후속 하드마스크용 물질막과의 결합력을 향상시킨다.As a surface treatment method, the film roughness of the surface of the insulating film 57 is increased by a dry method using a plasma or a wet method using a wet solution to improve the bonding force with the material film for the subsequent hard mask.

플라즈마를 이용하는 경우, N2O, N2, NH3, O2, O3, Ar 또는 He 등을 이용하며, 5초 ∼ 30초 정도의 시간 동안 실시하는 것이 바람직하다.In the case of using a plasma, N 2 O, N 2 , NH 3 , O 2 , O 3 , Ar, or He is used, and it is preferable to carry out for a time of about 5 seconds to 30 seconds.

습식 용액을 이용하는 경우, HF와 NH4F의 비가 7 : 1 ∼ 500 : 1인 BOE, SC(Standard Cleaning)-1(NH4OH, H2O2 및 H2O의 혼합용액), SC-2(HCl, H2O2 및 H2O의 혼합용액) 또는 물에 5 : 1 ∼ 500 : 1로 희석된 HF를 사용한다. When using a wet solution, the ratio of HF and NH 4 F is 7: 1 to 500: 1 BOE, SC (Standard Cleaning) -1 (mixture of NH 4 OH, H 2 O 2 and H 2 O), SC- 2 (mixture of HCl, H 2 O 2 and H 2 O) or HF diluted 5: 1 to 500: 1 in water is used.

이어서, 도 5b에 도시된 바와 같이, 표면처리된 절연막(59) 상에 후속 SAC 공정시 포토레지스트의 약한 식각 내성을 확보하기 위해 하드마스크용 물질막(60) 을 증착한 다음, SAC 형성용 포토레지스트 패턴(61)을 형성한다.Subsequently, as shown in FIG. 5B, a hard mask material layer 60 is deposited on the surface-treated insulating film 59 to secure a weak etching resistance of the photoresist in a subsequent SAC process, and then a photo for forming an SAC. The resist pattern 61 is formed.

반도체소자의 고집적화에 따라 노광장비의 DOF 값도 낮아지고 포토레지스트의 두께도 낮아진다. 포토레지스트의 두께가 낮아지면서 패턴 형성이 완료되기 전에 포토레지스트 패턴이 소진되면서 초기의 패턴 모양이 변형되는 문제점이 발생한다.As the semiconductor device is highly integrated, the DOF of the exposure apparatus is lowered and the thickness of the photoresist is lowered. As the thickness of the photoresist decreases, a problem occurs that the initial pattern shape is deformed as the photoresist pattern is exhausted before the pattern formation is completed.

이와 같은 문제점을 해결하기 위해 포토레지스트 패턴(61)과 피식각층(여기서는 절연막(57)) 사이에 텅스텐, 텅스텐 나이트라이드, 질화막 또는 폴리실리콘 등 다양한 물질을 이용하여 하드마스크를 형성하는 기술이 적용되었다.In order to solve this problem, a technique of forming a hard mask using various materials such as tungsten, tungsten nitride, nitride, or polysilicon is applied between the photoresist pattern 61 and the etched layer (here, the insulating layer 57). .

따라서, 상기한 하드마스크용 물질막(60)을 증착하게 되었는 바, 하드마스크용 물질막(60)은 얇은 포토레지스트 패턴(61)의 두께로 식각 공정을 진행하기 때문에 두께가 낮지만 층간절연용으로 주로 사용되는 산화막 계열의 물질막에 대해서는 식각선택비가 높은 것이 요구되므로 주로 질화막 계열의 물질막을 이용한다.Therefore, since the hard mask material layer 60 is deposited, since the hard mask material layer 60 is etched with the thickness of the thin photoresist pattern 61, the thickness of the hard mask material layer 60 is low, but the thickness of the interlayer insulation layer is increased. Since the etch selectivity is required for the oxide-based material film mainly used, the nitride material film is mainly used.

여기서, 하드마스크용 물질막(60)으로 질화막을 사용하는 경우, 질화막을 플라즈마 화학기상증착(Plasma Enhanced Chemical Vapor Deposition; 이하 PECVD라 함) 방식으로 증착할 때, 전술한 절연막(57)의 플라즈마 처리시 동일 챔버 내에서 진공 파괴없이 즉, 인시튜(In-situ)로 진행할 수 있다.Here, in the case where the nitride film is used as the hard mask material film 60, when the nitride film is deposited by the plasma enhanced chemical vapor deposition (PECVD) method, the above-described plasma treatment of the insulating film 57 is performed. In-situ can be performed without breaking the vacuum in the same chamber.

이어서, 도 5c에 도시된 비와 같이, 포토레지스트 패턴(61)을 식각마스크로 하드마스크용 물질막(60)을 식각하여 패턴 영역을 정의 하는 바, 이 때 하드마스크(60')가 형성된다.Subsequently, as shown in FIG. 5C, the hard mask material layer 60 is etched using the photoresist pattern 61 as an etch mask to define a pattern region, whereby a hard mask 60 ′ is formed. .

계속해서, 포토레지스트 스트립 공정을 실시하여 포토레지스트 패턴(61)을 제거한 다음, 하드마스크(60')를 식각마스크로 표면처리된 절연막(59)과 절연막(57)을 식각하는 SAC 식각 공정을 실시하여 도 5c에 도시된 바와 같이 소스/드레인 영역(56)을 노출시키는 오픈부(62) 즉, 콘택홀을 형성한다.Subsequently, a photoresist strip process is performed to remove the photoresist pattern 61, and then a SAC etching process is performed to etch the insulating film 59 and the insulating film 57 having the hard mask 60 ′ as an etch mask. As shown in FIG. 5C, an open portion 62 that exposes the source / drain region 56, that is, a contact hole, is formed.

SAC 공정은 주로 불소계 가스에 대해 식각선택비를 갖는 산화막과 질화막을 이용하여 하지층(즉, 절연막(57))을 식각한다.In the SAC process, the underlying layer (ie, the insulating film 57) is etched mainly by using an oxide film and a nitride film having an etching selectivity with respect to the fluorine-based gas.

한편, 여기서는 게이트전극 패턴 사이에 플러그를 형성하기 위한 SAC 공정을 그 예로 하였으나, 이외에 절연막이 피식각층이고 그 상부에 하드마스크를 형성하고 절연막을 식각하는 통상의 패턴 형성 공정에 적용이 가능하다.Meanwhile, although the SAC process for forming a plug between the gate electrode patterns is taken as an example, the insulating film is an etched layer, and the present invention may be applied to a general pattern forming process for forming a hard mask on the upper portion and etching the insulating film.

또한, 전술한 예에서는 하드마스크(60') 패턴 형성 후 포토레지스트 스트립 공정을 실시하였으나, SAC 식각 공정 후 포토레지스트 스트립 공정을 실시할 수도 있다.In the above-described example, the photoresist strip process is performed after the hard mask 60 'pattern is formed, but the photoresist strip process may be performed after the SAC etching process.

SAC 식각 공정 및 통상의 식각 공정 후에는 식각잔류물을 제거하고 오픈부(62)의 저면 면적을 충분히 확보함과 동시에 소스/드레인 영역(56)을 이루는 기판(50) 영역에서 형성된 자연 산화막을 제거하기 위해 세정 공정을 실시한다. 세정 공정은 BOE 또는 희석된 불산 용액을 이용하여 실시한다.After the SAC etching process and the normal etching process, the etch residues are removed, the bottom area of the open portion 62 is sufficiently secured, and the natural oxide film formed in the region of the substrate 50 forming the source / drain region 56 is removed. In order to achieve this, a washing step is performed. The cleaning process is carried out using BOE or diluted hydrofluoric acid solution.

상기한 제1실시예에서는, 절연막(57)의 표면처리를 통해 표면 거칠기를 증가시켜 하드마스크(60')와의 접착 특성을 향상시킴으로써, 세정 공정에 따른 하드마스크(60')의 리프팅 현상을 방지할 수 있음을 확인할 수 있다.In the first embodiment described above, the surface roughness is increased through surface treatment of the insulating film 57 to improve the adhesion property with the hard mask 60 ', thereby preventing the lifting of the hard mask 60' according to the cleaning process. You can see that you can.

도 6은 도 5c를 도시한 평면 SEM 사진으로서, 세정 공정에 의해 하드마스크의 리프팅이 발생하지 않고 패턴이 양호하게 형성되었음을 확인할 수 있다.FIG. 6 is a planar SEM photograph of FIG. 5C, and it may be confirmed that the pattern is well formed without lifting of the hard mask by the cleaning process.

도 7a 내지 도 7c는 본 발명의 제2실시예에 따른 반도체소자의 제조 공정을 도시한 단면도로서, 이를 참조하여 본 발명의 반도체소자 제조 공정을 상세히 살펴 보는 바, 제1실시예와 동일한 구성요소에 대해서는 동일부호를 사용하며, 그 구체적인 설명은 생략한다.7A to 7C are cross-sectional views illustrating a manufacturing process of a semiconductor device according to a second embodiment of the present invention. With reference to this, the semiconductor device manufacturing process of the present invention will be described in detail with reference to the same elements as in the first embodiment. The same reference numerals are used for, and a detailed description thereof will be omitted.

도 7a를 참조하면, 기판(50) 상에 복수의 게이트전극 패턴이 형성되어 있으며, 게이트전극 패턴은 게이트절연막(51)과 제1 및 제2전도막(52, 53)과 하드마스크(54)가 적층된 구조를 이루고 있다.Referring to FIG. 7A, a plurality of gate electrode patterns are formed on the substrate 50, and the gate electrode patterns include the gate insulating layer 51, the first and second conductive layers 52 and 53, and the hard mask 54. Has a laminated structure.

이러한 게이트전극 패턴이 형성된 프로파일을 따라 버퍼 절연막을 증착한 다음, 전면식각을 실시하여 게이트전극 패턴 측면에 스페이서(55)를 형성한다.A buffer insulating layer is deposited along the profile in which the gate electrode pattern is formed, and then the entire surface is etched to form a spacer 55 on the side of the gate electrode pattern.

게이트전극 패턴 측면의 기판(50)에 이온주입과 열확산에 의해 기판(50) 표면으로부터 일정 깊이까지 확장된 소스/드레인 영역(56)을 형성한다.A source / drain region 56 extending from the surface of the substrate 50 to a predetermined depth is formed on the substrate 50 on the side of the gate electrode pattern by ion implantation and thermal diffusion.

이어서, 게이트전극 패턴이 형성된 전체 구조 상부에 층간절연을 위한 절연막(57)을 형성하며, 절연막(57)은 BPSG막, BSG막, PSG막, TEOS막 또는 HDP 산화막 등 산화막 계열의 물질을 사용한다.Subsequently, an insulating film 57 for interlayer insulation is formed on the entire structure where the gate electrode pattern is formed, and the insulating film 57 uses an oxide film-based material such as a BPSG film, a BSG film, a PSG film, a TEOS film, or an HDP oxide film. .

이어서, 후속 하드마스크용 물질막과 절연막(57)의 접촉 계면에서의 접착력을 향상시키기 위해 절연막(57) 상에 버퍼 절연막(63)을 형성한다.Subsequently, a buffer insulating film 63 is formed on the insulating film 57 to improve the adhesive force at the contact interface between the material film for hard mask and the insulating film 57.

버퍼 절연막(63)은 절연막(57)과 후속 하드마스크와의 열악한 계면 접착 특성을 확보하기 위해 산화막 계열의 물질막을 사용하며, PECVD 방식을 이용한다.The buffer insulating layer 63 uses an oxide-based material film to secure poor interfacial adhesion characteristics between the insulating film 57 and the subsequent hard mask, and uses a PECVD method.

이 때, SiH4, TEOS, O2 또는 N2O 등의 반응 소스를 이용하여 100W ∼ 1KW의 파워를 이용하는 것이 바람직하다.At this time, it is preferable to use a power of 100 W to 1 KW using a reaction source such as SiH 4 , TEOS, O 2, or N 2 O.

이어서, 도 7b에 도시된 바와 같이, 버퍼 절연막(63) 상에 후속 SAC 공정시 포토레지스트의 약한 식각 내성을 확보하기 위해 하드마스크용 물질막(60)을 증착한 다음, SAC 형성용 포토레지스트 패턴(61)을 형성한다.Subsequently, as shown in FIG. 7B, a hard mask material layer 60 is deposited on the buffer insulating layer 63 in order to secure weak etching resistance of the photoresist in a subsequent SAC process, and then a photoresist pattern for forming SAC. Form 61.

하드마스크용 물질막(60)은 얇은 포토레지스트 패턴(61)의 두께로 식각 공정을 진행하기 때문에 두께가 낮지만 층간절연용으로 주로 사용되는 산화막 계열의 물질막에 대해서는 식각선택비가 높은 것이 요구되므로 주로 질화막 계열의 물질막을 이용한다.Since the hard mask material film 60 is etched with the thickness of the thin photoresist pattern 61, the thickness of the hard mask material layer 60 is low, but a high etching selectivity is required for the oxide film-based material film mainly used for interlayer insulation. The nitride film-based material film is mainly used.

이어서, 포토레지스트 패턴(61)을 식각마스크로 하드마스크용 물질막(60)을 식각하여 패턴 영역을 정의 하는 바, 이 때 하드마스크(60')가 형성된다.Subsequently, the hard mask material layer 60 is etched using the photoresist pattern 61 as an etch mask to define a pattern region, whereby a hard mask 60 'is formed.

계속해서, 포토레지스트 스트립 공정을 실시하여 포토레지스트 패턴(61)을 제거한 다음, 하드마스크(60')를 식각마스크로 버퍼 절연막(63)과 절연막(57)을 식각하는 SAC 식각 공정을 실시하여 도 7c에 도시된 바와 같이 소스/드레인 영역(56)을 노출시키는 오픈부(62) 즉, 콘택홀을 형성한다.Subsequently, the photoresist strip process is performed to remove the photoresist pattern 61, and then the SAC etching process of etching the buffer insulating film 63 and the insulating film 57 using the hard mask 60 'as an etching mask is performed. As shown in FIG. 7C, an open portion 62 that exposes the source / drain region 56 is formed, that is, a contact hole.

SAC 공정은 주로 불소계 가스에 대해 식각선택비를 갖는 산화막과 질화막을 이용하여 하지층(즉, 절연막(57))을 식각한다.In the SAC process, the underlying layer (ie, the insulating film 57) is etched mainly by using an oxide film and a nitride film having an etching selectivity with respect to the fluorine-based gas.

한편, 전술한 예에서는 하드마스크(60') 패턴 형성 후 포토레지스트 스트립 공정을 실시하였으나, SAC 식각 공정 후 포토레지스트 스트립 공정을 실시할 수도 있다.In the above-described example, the photoresist strip process is performed after the hard mask 60 'pattern is formed. However, the photoresist strip process may be performed after the SAC etching process.

SAC 식각 공정 및 통상의 식각 공정 후에는 식각잔류물을 제거하고 오픈부(62)의 저면 면적을 충분히 확보함과 동시에 소스/드레인 영역(56)을 이루는 기판(50) 영역에서 형성된 자연 산화막을 제거하기 위해 세정 공정을 실시한다. 세정 공정은 BOE 또는 희석된 불산 용액을 이용하여 실시한다.After the SAC etching process and the normal etching process, the etch residues are removed, the bottom area of the open portion 62 is sufficiently secured, and the natural oxide film formed in the region of the substrate 50 forming the source / drain region 56 is removed. In order to achieve this, a washing step is performed. The cleaning process is carried out using BOE or diluted hydrofluoric acid solution.

상기한 제2실시예에서는, 절연막(57)과 하드마스크(60') 사이에 버퍼 절연막(63)을 형성하여 계면 접착 특성을 향상시킴으로써, 세정 공정에 따른 하드마스크(60')의 리프팅 현상을 방지할 수 있음을 확인할 수 있다.In the second embodiment described above, the buffer insulating film 63 is formed between the insulating film 57 and the hard mask 60 'to improve the interfacial adhesion characteristics, thereby lifting the hard mask 60' according to the cleaning process. It can be confirmed that it can prevent.

도 8a 내지 도 8c는 본 발명의 제3실시예에 따른 반도체소자의 제조 공정을 도시한 단면도로서, 이를 참조하여 본 발명의 반도체소자 제조 공정을 상세히 살펴 보는 바, 제1 및 제2실시예와 동일한 구성요소에 대해서는 동일부호를 사용하며, 그 구체적인 설명은 생략한다.8A to 8C are cross-sectional views illustrating a manufacturing process of a semiconductor device according to a third embodiment of the present invention. The semiconductor device manufacturing process of the present invention will be described in detail with reference to the first and second embodiments. The same reference numerals are used for the same components, and detailed description thereof will be omitted.

도 8a를 참조하면, 기판(50) 상에 복수의 게이트전극 패턴이 형성되어 있으며, 게이트전극 패턴은 게이트절연막(51)과 제1 및 제2전도막(52, 53)과 하드마스크(54)가 적층된 구조를 이루고 있다.Referring to FIG. 8A, a plurality of gate electrode patterns are formed on the substrate 50, and the gate electrode patterns include the gate insulating layer 51, the first and second conductive layers 52 and 53, and the hard mask 54. Has a laminated structure.

이러한 게이트전극 패턴이 형성된 프로파일을 따라 버퍼 절연막을 증착한 다음, 전면식각을 실시하여 게이트전극 패턴 측면에 스페이서(55)를 형성한다.A buffer insulating layer is deposited along the profile in which the gate electrode pattern is formed, and then the entire surface is etched to form a spacer 55 on the side of the gate electrode pattern.

게이트전극 패턴 측면의 기판(50)에 이온주입과 열확산에 의해 기판(50) 표면으로부터 일정 깊이까지 확장된 소스/드레인 영역(56)을 형성한다.A source / drain region 56 extending from the surface of the substrate 50 to a predetermined depth is formed on the substrate 50 on the side of the gate electrode pattern by ion implantation and thermal diffusion.

이어서, 게이트전극 패턴이 형성된 전체 구조 상부에 층간절연을 위한 절연막(57)을 형성하며, 절연막(57)은 BPSG막, BSG막, PSG막, TEOS막 또는 HDP 산화막 등 산화막 계열의 물질을 사용한다.Subsequently, an insulating film 57 for interlayer insulation is formed on the entire structure where the gate electrode pattern is formed, and the insulating film 57 uses an oxide film-based material such as a BPSG film, a BSG film, a PSG film, a TEOS film, or an HDP oxide film. .

이어서, 절연막(57)을 선택적으로 제거(64)하여 게이트전극 패턴의 하드마스크(54)와 실질적으로 동일한 높이가 되도록 평탄화시킨다.Subsequently, the insulating film 57 is selectively removed 64 so as to be planarized to be substantially the same height as the hard mask 54 of the gate electrode pattern.

평탄화는 CMP 공정을 실시하여 형성하거나, 건식 또는 습식의 전면식각을 이용할 수도 있고, 게이트전극 패턴이 형성되지 않는 영역에서 절연막(57)이 손실되는 것을 방지하기 위해 게이트전극 패턴이 형성되지 않는 영역 만을 마스킹하고 건식 및 습식 식각 공정을 진행할 수도 있다.The planarization may be performed by performing a CMP process, or may use dry or wet front surface etching, and may plan only a region where the gate electrode pattern is not formed in order to prevent loss of the insulating layer 57 in the region where the gate electrode pattern is not formed. Masking and dry and wet etching can also be performed.

이어서, 도 8b에 도시된 바와 같이, 게이트전극 패턴과 절연막(57)이 평탄화된 전체 구조 상부에 후속 SAC 공정시 포토레지스트의 약한 식각 내성을 확보하기 위해 하드마스크용 물질막(60)을 증착한 다음, SAC 형성용 포토레지스트 패턴(61)을 형성한다.Subsequently, as shown in FIG. 8B, the hard mask material layer 60 is deposited on the entire structure of the gate electrode pattern and the insulating layer 57 to secure the weak etching resistance of the photoresist during the subsequent SAC process. Next, a SAC forming photoresist pattern 61 is formed.

하드마스크용 물질막(60)은 얇은 포토레지스트 패턴(61)의 두께로 식각 공정을 진행하기 때문에 두께가 낮지만 층간절연용으로 주로 사용되는 산화막 계열의 물질막에 대해서는 식각선택비가 높은 것이 요구되므로 주로 질화막 계열의 물질막을 이용한다.Since the hard mask material film 60 is etched with the thickness of the thin photoresist pattern 61, the thickness of the hard mask material layer 60 is low, but a high etching selectivity is required for the oxide film-based material film mainly used for interlayer insulation. The nitride film-based material film is mainly used.

이어서, 포토레지스트 패턴(61)을 식각마스크로 하드마스크용 물질막(60)을 식각하여 패턴 영역을 정의 하는 바, 이 때 하드마스크(60')가 형성된다.Subsequently, the hard mask material layer 60 is etched using the photoresist pattern 61 as an etch mask to define a pattern region, whereby a hard mask 60 'is formed.

계속해서, 포토레지스트 스트립 공정을 실시하여 포토레지스트 패턴(61)을 제거한 다음, 하드마스크(60')를 식각마스크로 절연막(57)을 식각하는 SAC 식각 공정을 실시하여 도 8c에 도시된 바와 같이 소스/드레인 영역(56)을 노출시키는 오픈부(62) 즉, 콘택홀을 형성한다.Subsequently, a photoresist strip process is performed to remove the photoresist pattern 61, and then a SAC etching process is performed to etch the insulating film 57 using the hard mask 60 'as an etch mask, as shown in FIG. 8C. Open portions 62 that expose the source / drain regions 56, that is, contact holes, are formed.

SAC 공정은 주로 불소계 가스에 대해 식각선택비를 갖는 산화막과 질화막을 이용하여 하지층(즉, 절연막(57))을 식각한다.In the SAC process, the underlying layer (ie, the insulating film 57) is etched mainly by using an oxide film and a nitride film having an etching selectivity with respect to the fluorine-based gas.

한편, 전술한 예에서는 하드마스크(60') 패턴 형성 후 포토레지스트 스트립 공정을 실시하였으나, SAC 식각 공정 후 포토레지스트 스트립 공정을 실시할 수도 있다.In the above-described example, the photoresist strip process is performed after the hard mask 60 'pattern is formed. However, the photoresist strip process may be performed after the SAC etching process.

SAC 식각 공정 및 통상의 식각 공정 후에는 식각잔류물을 제거하고 오픈부(62)의 저면 면적을 충분히 확보함과 동시에 소스/드레인 영역(56)을 이루는 기판(50) 영역에서 형성된 자연 산화막을 제거하기 위해 세정 공정을 실시한다. 세정 공정은 BOE 또는 희석된 불산 용액을 이용하여 실시한다.After the SAC etching process and the normal etching process, the etch residues are removed, the bottom area of the open portion 62 is sufficiently secured, and the natural oxide film formed in the region of the substrate 50 forming the source / drain region 56 is removed. In order to achieve this, a washing step is performed. The cleaning process is carried out using BOE or diluted hydrofluoric acid solution.

상기한 제3실시예에서는, 하드마스크(60')를 게이트전극 패턴 상부의 하드마스크(54)와 평탄화시켜 절연막(57)과 하드마스크(60') 사이의 접촉 면적을 최소로 함으로써, 세정 공정에 따른 하드마스크(60')의 리프팅 현상을 방지할 수 있음을 확인할 수 있다.In the third embodiment, the hard mask 60 'is planarized with the hard mask 54 on the gate electrode pattern to minimize the contact area between the insulating film 57 and the hard mask 60', thereby cleaning the process. It can be seen that the lifting phenomenon of the hard mask 60 'can be prevented.

전술한 바와 같이 이루어지는 본 발명은, 절연막을 피식각층으로 하는 식각 공정에서 패턴 변형을 방지하기 위해 포토레지스트 패턴과 절연막 사이에 형성하는 하드마스크와 절연막 사이의 계면 특성을 향상시키거나 또는 계면을 줄임으로써, 패턴 형성을 위한 식각 공정 후 실시하는 세정 공정에서 하드마스크가 리프팅되는 문제점을 해결할 수 있음을 실시예를 통해 알아 보았다.According to the present invention as described above, in order to prevent pattern deformation in an etching process using an insulating film as an etched layer, an interface property between a hard mask and an insulating film formed between the photoresist pattern and the insulating film is improved or the interface is reduced. In the cleaning process performed after the etching process for forming the pattern, the problem that the hard mask is lifted has been found through the examples.

본 발명의 기술 사상은 상기 바람직한 실시예에 따라 구체적으로 기술되었으나, 상기한 실시예는 그 설명을 위한 것이며 그 제한을 위한 것이 아님을 주의하여야 한다. 또한, 본 발명의 기술 분야의 통상의 전문가라면 본 발명의 기술 사상의 범위 내에서 다양한 실시예가 가능함을 이해할 수 있을 것이다.Although the technical idea of the present invention has been described in detail according to the above preferred embodiment, it should be noted that the above-described embodiment is for the purpose of description and not of limitation. In addition, those skilled in the art will understand that various embodiments are possible within the scope of the technical idea of the present invention.

예컨대, 전술한 본 발명의 실시예에서는 게이트전극 패턴 형성 공정을 그 일예로 하였으나, 이외에도 실시예에 제시한 구조(하드마스크와 도전막이 적층된 구조)를 갖는 다양한 도전패턴에 적용이 가능하다.For example, in the above-described embodiment of the present invention, the gate electrode pattern forming process is taken as an example. However, the present invention may be applied to various conductive patterns having the structure (a structure in which a hard mask and a conductive film are stacked).

상술한 바와 같은 본 발명은, 하드마스크의 리프팅을 억제하여 패턴 변형을 방지할 수 있어, 궁극적으로 반도체소자의 수율을 향상시킬 수 있는 탁월한 효과를 기대할 수 있다.The present invention as described above can prevent the deformation of the pattern by inhibiting the lifting of the hard mask, it can be expected an excellent effect that can ultimately improve the yield of the semiconductor device.

Claims (11)

기판 상에 절연막을 형성하는 단계;Forming an insulating film on the substrate; 상기 절연막의 표면 거칠기를 증가시키기 위해 상기 절연막 상부를 표면처리하는 단계;Surface treating the insulating film to increase the surface roughness of the insulating film; 상기 표면처리된 절연막 상에 하드마스크용 물질막을 형성하는 단계;Forming a material layer for a hard mask on the surface treated insulating film; 상기 하드마스크용 물질막 상에 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern on the material layer for the hard mask; 상기 포토레지스트 패턴을 식각마스크로 상기 하드마스크용 물질막을 식각하여 하드마스크를 형성하는 단계; 및Etching the hard mask material layer using the photoresist pattern as an etching mask to form a hard mask; And 적어도 상기 하드마스크를 식각마스크로 상기 절연막을 식각하여 소정의 패턴을 형성하는 단계Etching the insulating layer using at least the hard mask as an etch mask to form a predetermined pattern 를 포함하는 반도체소자 제조 방법.Semiconductor device manufacturing method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 절연막을 표면처리하는 단계에서,In the step of surface treatment of the insulating film, N2O, N2, NH3, O2, O3, Ar 또는 He 중 적어도 어느 하나의 가스를 포함한 플라즈마를 이용하여 상기 절연막 표면의 거칠기를 증가시키는 것을 특징으로 하는 반도체소자 제조 방법.A method of manufacturing a semiconductor device, comprising increasing the roughness of the surface of the insulating film by using a plasma containing at least one of N 2 O, N 2 , NH 3 , O 2 , O 3 , Ar, or He. 제 1 항에 있어서,The method of claim 1, 상기 절연막을 표면처리하는 단계에서,In the step of surface treatment of the insulating film, HF와 NH4F의 비가 7 : 1 내지 500 : 1인 BOE, SC-1(NH4OH, H2O2 및 H2O의 혼합용액), SC-2(HCl, H2O2 및 H2O의 혼합용액) 또는 물에 5 : 1 ∼ 500 : 1로 희석된 HF 중 어느 하나의 습식 용액을 이용하여 상기 절연막 표면의 거칠기를 증가시키는 것을 특징으로 하는 반도체소자 제조 방법.BOE, SC-1 (mixture of NH 4 OH, H 2 O 2 and H 2 O), SC-2 (HCl, H 2 O 2 and H) with a ratio of HF to NH 4 F 7: 1 to 500: 1 Method for manufacturing a semiconductor device characterized in that to increase the roughness of the surface of the insulating film using a wet solution of any one of a mixed solution of 2 O) or HF diluted 5: 1 to 500: 1 in water. 삭제delete 삭제delete 삭제delete 기판 상에 이웃하는 제1 및 제2도전패턴을 형성하는 단계;Forming neighboring first and second conductive patterns on the substrate; 상기 제1 및 제2도전패턴을 포함한 전체 구조 상부에 절연막을 형성하는 단계;Forming an insulating film on the entire structure including the first and second conductive patterns; 상기 절연막을 선택적으로 제거하여 상기 제1 및 제2도전패턴 상부와 평탄화시키는 단계;Selectively removing the insulating layer to planarize the upper portion of the first and second conductive patterns; 상기 평탄화 전체구조 상부에 하드마스크용 물질막을 형성하는 단계;Forming a material layer for a hard mask on the planarization entire structure; 상기 하드마스크용 물질막 상에 포토레지스트 패턴을 형성하는 단계;Forming a photoresist pattern on the material layer for the hard mask; 상기 포토레지스트 패턴을 식각마스크로 상기 하드마스크용 물질막을 식각하여 하드마스크를 형성하는 단계; 및Etching the hard mask material layer using the photoresist pattern as an etching mask to form a hard mask; And 적어도 상기 하드마스크를 식각마스크로 상기 절연막을 식각하여 소정의 패턴을 형성하는 단계Etching the insulating layer using at least the hard mask as an etch mask to form a predetermined pattern 를 포함하는 반도체소자 제조 방법.Semiconductor device manufacturing method comprising a. 제 7 항에 있어서,The method of claim 7, wherein 상기 평탄화시키는 단계에서, 화학기계적연마 또는 전면식각을 공정을 이용하는 것을 특징으로 하는 반도체소자 제조 방법.In the planarizing step, a method of manufacturing a semiconductor device, characterized in that using the chemical mechanical polishing or surface etching process. 제 7 항에 있어서,The method of claim 7, wherein 상기 도전패턴은, 게이트전극 패턴을 포함하는 것을 특징으로 하는 반도체소자 제조 방법.The conductive pattern includes a gate electrode pattern. 제 1 항 또는 제 7 항에 있어서,The method according to claim 1 or 7, 상기 절연막은 산화막 계열의 물질막을 포함하는 것을 특징으로 하는 반도체소자 제조 방법.The insulating film is a semiconductor device manufacturing method comprising an oxide film-based material film. 제 1 항 또는 제 7 항에 있어서,The method according to claim 1 or 7, 상기 하드마스크용 물질막은 텅스텐, 텅스텐 나이트라이드, 질화막 또는 폴리실리콘 중 어느 하나를 포함하는 것을 특징으로 하는 반도체소자 제조 방법.The hard mask material layer may include any one of tungsten, tungsten nitride, nitride, and polysilicon.
KR1020030043159A 2003-06-30 2003-06-30 Method for fabrication of semiconductor device KR100570069B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030043159A KR100570069B1 (en) 2003-06-30 2003-06-30 Method for fabrication of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030043159A KR100570069B1 (en) 2003-06-30 2003-06-30 Method for fabrication of semiconductor device

Publications (2)

Publication Number Publication Date
KR20050003032A KR20050003032A (en) 2005-01-10
KR100570069B1 true KR100570069B1 (en) 2006-04-10

Family

ID=37218277

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030043159A KR100570069B1 (en) 2003-06-30 2003-06-30 Method for fabrication of semiconductor device

Country Status (1)

Country Link
KR (1) KR100570069B1 (en)

Also Published As

Publication number Publication date
KR20050003032A (en) 2005-01-10

Similar Documents

Publication Publication Date Title
US20040058522A1 (en) Integrated circuit devices formed through selective etching of an insulation layer to increase the self-aligned contact area adjacent a semiconductor region
KR100341480B1 (en) Method for self-aligned shallow trench isolation
KR100400308B1 (en) A method for forming a borderless contact of a semiconductor device
KR100791683B1 (en) Planar mos transistor and method for manufacturing thereof
KR100264773B1 (en) Method of manufacturing a semiconductor device having self aligned contact hole
KR20100008229A (en) Method for fabricating semiconductor device with recess gate
KR100377833B1 (en) Semiconductor device with borderless contact structure and method of manufacturing the same
KR100570069B1 (en) Method for fabrication of semiconductor device
KR20050063266A (en) Method for forming shallow trench isolation in semiconductor device processing
JP4401156B2 (en) Manufacturing method of semiconductor device
KR100554835B1 (en) Method of manufacturing a flash device
US7612433B2 (en) Semiconductor device having self-aligned contact
KR100763102B1 (en) Method for fabrication of semiconductor device
KR100753121B1 (en) Method of fabricating transistor using trench gate
KR100733685B1 (en) Method of manufacturing a trench in semiconductor device
KR100307968B1 (en) Method of forming interlevel dielectric layers of semiconductor device provided with plug-poly
KR101073123B1 (en) Method for fabrication of semiconductor device
KR100643484B1 (en) method for manufacturing semiconductor devices
KR100589498B1 (en) Method of manufacturing semiconductor device
KR100481917B1 (en) Method for manufacturing a semiconductor device
KR20050002075A (en) Method for fabrication of semiconductor device
KR101161796B1 (en) Method for manufacturing semiconductor device
KR100575616B1 (en) Method for forming borderless contact hole in a semiconductor device
KR101046717B1 (en) Method for forming self-aligned contact of semiconductor device
KR100505897B1 (en) Method for manufacturing a semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110325

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee