KR100517034B1 - 플라즈마 처리장치 및 플라즈마 처리방법 - Google Patents

플라즈마 처리장치 및 플라즈마 처리방법 Download PDF

Info

Publication number
KR100517034B1
KR100517034B1 KR10-2003-0003285A KR20030003285A KR100517034B1 KR 100517034 B1 KR100517034 B1 KR 100517034B1 KR 20030003285 A KR20030003285 A KR 20030003285A KR 100517034 B1 KR100517034 B1 KR 100517034B1
Authority
KR
South Korea
Prior art keywords
high frequency
plasma
frequency power
electrode
plasma processing
Prior art date
Application number
KR10-2003-0003285A
Other languages
English (en)
Other versions
KR20030065329A (ko
Inventor
나까노아끼라
다다히로 오미
Original Assignee
알프스 덴키 가부시키가이샤
다다히로 오미
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2002022321A external-priority patent/JP4030766B2/ja
Priority claimed from JP2002022323A external-priority patent/JP3923323B2/ja
Application filed by 알프스 덴키 가부시키가이샤, 다다히로 오미 filed Critical 알프스 덴키 가부시키가이샤
Publication of KR20030065329A publication Critical patent/KR20030065329A/ko
Application granted granted Critical
Publication of KR100517034B1 publication Critical patent/KR100517034B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(과제) 플라즈마 방전시에 전극쌍의 틈의 방전전류를 계측하는 전류검출수단을 가지며, 여기전력 제어를 하여, 균질한 플라즈마 처리가 가능한 플라즈마 처리장치의 제공을 목적으로 한다.
(해결수단) 고주파 전원과 플라즈마 여기전극 사이에 삽입된 임피던스 정합회로 (2A) 를 수용한 섀시의 측벽의 슬릿에 형성되는 자계를 검출하는 자계 프로브가 축대칭으로 배치되고, 이 전류검출수단에 의하여 검출한 전류값이 소정의 값이 되도록 고주파 전력 전원 또는 정합회로에 피드백하는 피드백 회로를 구비하고 있는 플라즈마 처리장치로 한다.

Description

플라즈마 처리장치 및 플라즈마 처리방법{PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD}
본 발명은 플라즈마 처리장치 및 플라즈마 처리방법과 관련되며, 특히 플라즈마 방전시에 전극쌍의 틈을 흐르는 방전전류를 계측할 수 있는 전류검출수단을 구비한 플라즈마 처리장치, 및 상기 전류검출수단을 구비하는 동시에 워크에 대한 플라즈마 처리의 균일성도 확인할 수 있어, 플라즈마 공간에서 소비되는 실효적인 전력을 더욱 안정시킬 수 있는 고성능의 플라즈마 처리장치 및 이를 이용한 플라즈마 처리방법에 관한 것이다.
CVD (chemical vapor deposition), 스퍼터링, 건식 에칭, 애싱 등의 플라즈마 처리를 행하기 위하여 종래부터 사용되고 있는 플라즈마 처리장치의 일례를 도 7 에 나타낸다. 도 7 에 나타내는 플라즈마 처리장치는 플라즈마를 형성하기 위한 플라즈마 여기전극 (4) 과 플라즈마 처리되는 워크 (W) 를 탑재하여 상기 플라즈마 여기전극 (4) 에 대향하는 서셉터 전극 (8) 으로 이루어지는 전극쌍을 가지며, 플라즈마 여기전극 (4) 은 고주파 전력 배전체 (3) 및 정합회로 (2A) 를 통하여 고주파 전원 (1) 의 급전측에 접속되어 있다. 정합회로 (2A) 는 고주파 전원 (1) 과 플라즈마 여기전극 (4) 사이의 임피던스를 정합시키기 위하여 설치되어 있다. 이 정합회로 (2A) 는 도전체로 이루어지는 섀시 (120) 내에 수용되어 있다. 또한 고주파 전력 배전체 (3) 및 플라즈마 여기전극 (4) 은 도전체로 이루어지는 하우징 (21) 에 의하여 덮여 있다.
고주파 전원 (1) 으로부터 출력된 고주파 전력은 순서대로 정합회로 (2A) 및 고주파 전력 배전체 (3) 를 통과하여 플라즈마 여기전극 (4) 에 공급된다. 이 플라즈마 여기전극 (캐소드 전극 : 4) 의 아래쪽에는 다수의 구멍 (7···) 이 형성된 샤워 플레이트 (5) 가 고리 형상 볼록부 (4a) 에 접하여 설치되어 있다. 이들 플라즈마 여기전극 (4) 과 샤워 플레이트 (5) 사이에 형성된 공간 (6) 에는 가스 도입관 (17) 이 접속되어 있고, 도전체로 이루어지는 가스 도입관 (17) 의 도중에는 절연체 (17a) 가 삽입되어 플라즈마 여기전극 (4) 측과 가스공급원측이 절연되도록 되어 있다. 가스 도입관 (17) 으로부터 도입된 가스는 샤워 플레이트 (5) 의 구멍 (7···) 을 통하여 챔버벽 (10) 에 의하여 형성된 챔버 (60) 내로 공급된다. 챔버벽 (10) 의 윗변과 플라즈마 여기전극 (4) 의 주위변은 절연체 (9) 를 통하여 기밀히 접합되어 있다.
한편, 챔버 (60) 내에는 웨이퍼 등의 워크 (W) 를 탑재하는 동시에 방전전위의 커먼측이 되는 서셉터 전극 (8) 이 설치되고, 이 서셉터 전극 (8) 은 샤프트 (13) 에 의해 지지되어 있다. 샤프트 (13) 의 하단부는 도전체로 이루어지는 벨로스 (11) 를 통하여 챔버 바닥부 (10A) 에 기밀히 접속되어 있다. 챔버 (60) 는 도시하지 않은 배기계에 의하여 배기되도록 되어 있다.
서셉터 전극 (8) 은 샤프트 (13) 와 함께 상기 벨로스 (11) 에 의하여 상하이동 가능하게 되고, 챔버 (60) 내의 진공을 유지한 상태에서 플라즈마 여기전극 (4) 과 서셉터 전극 (8) 의 간격을 조정할 수 있도록 되어 있다. 샤프트 (13) 의 하단부는 커먼측 단자로서 접지되고, 고주파 전원 (1) 의 커먼측도 접지되어 있다. 또한, 챔버벽 (10) 과 샤프트 (13) 는 직류적으로 동일 전위로 되어 있다.
정합회로 (2A) 는 고주파전원 (1) 과 고주파 전력 배전체 (3) 사이에 설치되며, 챔버 (60) 내의 플라즈마 상태의 변화에 대응하여, 고주파 전원 (1) 과 플라즈마 여기전극 (4) 사이의 임피던스 정합을 얻도록 조정하기 위하여, 그 대부분은 복수의 수동소자를 구비한 구성으로 되어 있다. 구체적으로는 도 8 의 예에서는, 진공 베리어블 콘덴서(variable condenser)로 이루어지는 로드 콘덴서 (22) 와, 튜닝 코일 (23) 과, 에어 베리어블 콘덴서로 이루어지는 튜닝 콘덴서 (24) 의 3 종의 수동소자로 구성되어 있다. 여기서, 도 8 의 예에서는 1 개의 튜닝 코일 (23) 이 로드 콘덴서 (22) 와 튜닝 콘덴서 (24) 사이에 접속되어 있다.
상기와 같은 플라즈마 처리장치를 사용하여 에칭처리나 성막처리를 하는 경우에 있어서, 처리의 균일성을 유지하는 것이 중요하다. 그러기 위해서는 발생하는 플라즈마를 안정시킬 필요가 있다. 플라즈마를 안정시키는 수단으로는, 접지상태를 적극적으로 제어하고, 전기특성에 의존하는 이온량을 제어하여 처리특성을 향상시킬 수 있도록, 접지라인을 모니터하여 플라즈마 처리하는 방법이 개시되어 있다. 도 9 에 접지라인을 모니터하여 플라즈마 처리를 하는 방법을 예시한다.
도 9 의 플라즈마 처리장치는 에칭장치 본체 (101) 와, 이 에칭장치 본체 (101) 에 있어서의 진공배기나 에칭가스의 공급상태, 나아가서는 플라즈마 형성을 위한 고주파 전력 등을 제어하는 프로세스 제어부 (102) 로 구성되어 있다. 에칭장치 본체 (101) 는 마이크로파를 통과하기 위하여 유전체의 방전관으로 진공실링된 처리실 (103) 과, 이 처리실 (103) 의 하부에 설치된 시료대 (105) 로 이루어지며, 시료대 (105) 에는 시료로서의 반도체 웨이퍼 (104) 가 전기적으로 절연된 상태로 탑재되어 있다.
또한, 처리실 (103) 로부터 반도체 웨이퍼 (104) 에 걸쳐서는, 솔레노이드 코일과 영구자석 (모두 도시 생략) 에 의하여 미러 자장이 인가되고, 이 상태에 있어서 처리실 (103) 은 고진공으로 배기되며, 계속해서 프로세스 가스가 소정의 가스압력으로 도입된다. 또한, 마그네트론에서 발생한 마이크로파는 도파관 (도시 생략) 을 통과하여 처리실 (103) 내로 도입되고, 플라즈마 여기전극 (캐소드 전극, 도시 생략) 에 인가된다. 이로써 마이크로파 방전이 발생하여 플라즈마 상태가 초래된다. 이 마이크로파 방전에는 자장 중에서의 전자의 사이크로트론 운동과 마이크로파의 공명현상이 이용되고 있다.
또한, 에칭장치 본체 (101) 에 있어서 피접지 대상물로서의 처리실 (103) 을 가변저항기 (전류제어수단 : 111), 전류계 (측정수단 : 112) 를 통하여 어스에 적극적으로 떨어뜨리도록 되어 있다. 이로써, 전류계 (112) 로부터는 처리실 (103) 에 있어서의 접지라인의 측정값의 출력이 있고, 이 출력이 컴퓨터 (113) 에 접속되어 있다. 이 컴퓨터 (113) 는 전류계 (112) 에 의하여 채취된 측정값을 토대로 가변저항기 (111) 의 저항값을 원하는 값으로 제어하는 동작을 하도록 프로그래밍되어 있다.
이 경우에, 접지라인의 전류값에 의존하는 플라즈마 중의 이온은 접지된 처리실 (103) 의 벽면이나 처리실 (103) 내의 부품 표면에서 소멸되어 접지라인에 전류가 흐르고, 따라서 접지라인에 흐르는 전류를 제어함으로써, 이온 소멸량, 즉 플라즈마 중의 이온량을 제어할 수 있는 것으로 여겨진다.
일반적으로 상기 플라즈마 처리장치에 있어서는, 플라즈마 여기전극 (4) 과 서셉터 전극 (8) 의 틈에 인가되는 고주파 전압이 충분하지 않으면, 방전개시전압을 상회할 수 없어 방전을 개시하지 않는 경우가 있다. 그래서 적어도 방전개시시에는 방전전극간의 고주파 전압을 감시하여, 방전개시전압을 상회하는 고주파 전압이 얻어지도록 조정할 필요가 있었다. 종래, 이 조정은 고주파 전원 (1) 에 내장된 도시하지 않은 방향성 결합기로 반사파를 검지하고, 이 반사파가 제로가 되도록 조정함으로써 행해졌다. 그러나 이 검지방법으로는 반사파가 제로가 되어도 방전을 개시하지 않는 경우가 있었다. 또한, 종래의 감시방법으로는 전극면에 있어서의 방전전류밀도의 편향을 검지할 수 없으므로, 워크에 대한 플라즈마 처리의 균일성을 확인할 수 없었다.
본 발명은 상기 과제를 해결하기 위하여 이루어진 것으로, 따라서 그 목적은 플라즈마 방전시에 전극쌍의 틈을 흐르는 방전전류를 계측할 수 있는 전류검출수단을 구비한 플라즈마 처리장치, 및 상기 전류검출수단을 구비하는 동시에 워크에 대한 플라즈마 처리의 균일성도 감시할 수 있는 플라즈마 처리장치를 제공하는 데 있다.
또한 도 8 의 경우와 마찬가지로, 플라즈마 여기전극에 인가되는 고주파 전력은 고주파 전원의 출력이 고주파 전원으로 출구에서 소정의 값이 되도록 제어되어 있다. 또한, 플라즈마 여기전류의 측정에는 접지라인측의 전류의 일부를 바이패스 회로로 안내하고 있으므로, 전체 전류의 일부, 예를 들면 2∼3% 를 사용하여 플라즈마 여기전류를 측정하고 있는데 불과하여, 측정오차가 큰 난점이 있다.
또한, 상기 방법에서는 전류측정을 위한 저항기를 사용하여 바이패스 회로를 형성하고 있으므로, 이 바이패스 회로의 임피던스에 의한 전류 로스가 발생하여, 플라즈마 여기에 사용된 전력을 정확하게 파악할 수 없는 난점이 있다.
또한, 종래의 방법에서는 고주파 전원의 출력이 전원출력부에서 소정의 값이 되도록 조정되고 있으므로, 고주파 전류를 흐르게 하였을 때의 도체의 온도상승 등에 기인하여 정합회로의 전력손실이 변동하여, 플라즈마 공간에서 실제로 소비되는 실효적인 전력이 변동하는 결점을 갖고 있었다. 예를 들면, 도체의 온도가 상승하면 고주파 전력회로의 임피던스가 상승하여, 플라즈마 공간에서 소비되는 실효적인 전력이 감소하는 결과가 된다. 또한, 복수의 플라즈마실을 늘어 놓은 장치에서는 복수의 플라즈마 공간에서 소비되는 실효적인 전력에 차이가 발생하는 난점이 있었다.
본 발명은 상기 문제점을 감안하여 이루어진 것으로, 플라즈마 여기전극 (캐소드 전극) 에 인가되는 고주파 전력을 직접 정확히 파악하고, 설정된 소정 값의 전력으로 제어하여, 안정된 균질한 플라즈마 처리가 가능한 플라즈마 처리장치를 제공하고자 하는 것이다.
과제를 해결하기 위한 수단
상기 과제를 해결하기 위하여, 본 발명은 플라즈마를 형성하기 위한 플라즈마 여기전극과 플라즈마 처리되는 워크를 사이에 두고 상기 플라즈마 여기전극에 대향하는 서셉터 전극으로 이루어지는 전극쌍을 수용한 플라즈마 처리실과, 고주파 전원으로부터 상기 플라즈마 여기전극에 고주파 전력을 공급하는 급전로에 삽입되어 상기 고주파 전원과 상기 플라즈마 처리실의 임피던스를 정합시키기 위한 임피던스 정합회로를 수용한 섀시를 가지며, 상기 섀시가 상기 서셉터 전극으로부터 상기 고주파 전원으로 귀환하는 귀전로의 일부를 형성하여 이루어지는 플라즈마 처리장치로서, 상기 섀시에, 상기 서셉터 전극으로부터 상기 고주파 전원으로 귀환하는 고주파 전류를 검출하기 위한 전류검출수단이 설치된 플라즈마 처리장치를 제공한다.
본 발명은 전극쌍의 틈, 즉 플라즈마 공간을 흐르는 전류가 최대가 되었을 때 최대의 고주파 방전전압이 얻어짐을 지견함으로써 달성된 것이다. 그리고 플라즈마 공간을 흐르는 고주파 전류를 외부에서 계측하려면, 임피던스 정합회로를 수용한 섀시에 전류검출수단을 설치하고, 서셉터 전극으로부터 고주파 전원으로 귀환하는 고주파 전류를 검지하는 것이 특히 효과적임을 알게 되었다. 따라서, 이 전류검출수단에 의하여 최대의 전류값이 얻어지도록 플라즈마 처리장치의 상태를 설정하면 플라즈마 공간에 최대의 고주파 방전전압이 얻어져, 확실한 플라즈마 방전개시를 실현할 수 있게 된다.
상기 섀시에는 2 이상의 전류검출수단이 설치되고, 이들 전류검출수단은 섀시의 중심축의 주위에 축대칭으로 배치되어 있는 것이 바람직하다.
섀시의 중심축의 주위에 축대칭으로 2 이상의 전류검출수단이 설치되어 있으면, 섀시의 주위를 흐르는 고주파 전류의 편류의 유무를 검지할 수 있다. 섀시의 주위에 편류가 검지되었을 때는 전극쌍의 틈을 흐르는 방전전류에 편류가 발생되어 있을 가능성이 있으므로, 그 편류가 해소되도록 플라즈마 처리장치의 상태를 조정함으로써, 방전전류의 편향을 방지할 수 있어, 워크에 대한 플라즈마 처리의 효과를 더욱 균일하게 할 수 있다.
여기서,「축대칭」이란 일반적으로 정의되는 바와 같이 2 점이 중심축에 직교하는 직선상에서 중심축으로부터 등거리에 있는 상태를 말할 뿐만 아니라, 복수의 점이 중심축에 직교하는 평면상에서 중심축으로부터 등거리에 있는 동시에 상호 등간격으로 배위한 상태도 포함하는 것이다.
상기 전류검출수단은 섀시에 형성되고 고주파 전원으로 귀환하는 고주파 전류의 유로를 따라 연장되는 슬릿과, 이 슬릿에 형성되는 자계를 검출하는 자계 프로브로 이루어지는 것이 바람직하다.
섀시에 고주파 전류의 유로를 따라 슬릿이 형성되어 있으면, 고주파 전류에 의하여 이 슬릿에 자계가 발생한다. 이 자계의 밀도는 고주파 전류량에 대응하고 있으므로, 자계 프로브에 의하여 검출되는 자계의 크기를 감시하면 플라즈마 공간을 흐르는 고주파 전류의 크기를 외부에서 계측할 수 있다. 자계 프로브는 슬릿의 안쪽 에지의 한쪽 변부에 근접하여 설치하는 것이 바람직하다. 고주파 전류는 주로 섀시의 내면을 흐르며 또한 자계는 슬릿의 변둘레부에 가까울수록 밀도가 높아져 검지감도가 상승하기 때문이다.
상기 슬릿의 폭은 고주파 전류의 파장을 λ로 할 때 λ/100 이하인 것이 바람직하다.
슬릿 폭이 λ/100 를 초과하면 형성된 자계에 의한 불필요한 복사가 증대하여, 주위에 전자(電磁)적인 악영향을 미치는 경우가 있어 바람직하지 않다. 슬릿 폭의 하한은 자계 프로브를 이 슬릿에 삽입할 수 있으면 특별히 제한되지 않는다. 이 관점에서 슬릿 폭은 대략 λ/10000 가 되도록 설정하는 것이 더욱 바람직하다.
상기 섀시는 그 중심축에 수직인 단면이 정다각형 또는 원형으로 성형되어 있는 것이 바람직하다. 또한, 상기 플라즈마 처리실이나 서셉터 전극은 축대칭 형상으로 성형되고, 또한 그 대칭축이 상기 섀시의 중심축과 일치하도록 배치되어 있는 것이 바람직하다.
일반적으로, 플라즈마 처리에 있어서의 처리 편차는 장치의 구성이나 워크의 탑재상태, 플라즈마 생성가스의 편재 등에 의하여 일어난다. 따라서 처리 편차를 극소화하려면, 적어도 장치의 구성에 관하여 고주파 전류의 편류를 최대한 억제하는 배려가 요구되며, 그것이 본 발명의 목적에도 들어맞고 있다. 섀시의 단면이 정다각형 또는 원형으로 성형되어 있으면, 섀시의 측벽을 흐르는 고주파 전류의 밀도를 측벽의 전체 주위에 걸쳐 균일하게 배분할 수 있고, 이로써 전극쌍의 틈을 흐르는 방전전류의 편류를 억제할 수 있다. 또한, 플라즈마 처리실이나 서셉터 전극도 축대칭 형상으로 성형되고, 또한 그 대칭축이 상기 섀시의 중심축과 일치하도록 배치되어 있으면, 전극쌍 사이를 흐르는 전류의 편류가 한층 더 효과적으로 억제된다.
본 발명의 플라즈마 처리장치는 플라즈마를 여기하기 위한 전극을 갖는 플라즈마 처리실과, 상기 전극에 고주파 전력을 공급하기 위한 고주파 전원과, 입력단자와 출력단자를 가지며, 상기 입력단자에 고주파 전력 급전체를 통하여 상기 고주파 전원이 접속되고, 상기 출력단자에 고주파 전력 배전체를 통하여 상기 전극이 접속되며, 이들 입출력단자 사이에 접지전위 부분이 접속되는 동시에, 상기 플라즈마 처리실과 상기 고주파 전원의 임피던스 정합을 얻기 위한 정합회로를 구비하는 플라즈마 처리장치로서, 상기 전력 배전체를 흐르는 전류를 모니터하기 위한 전류검출수단과, 상기 전류검출수단에 의하여 검출한 전류값이 소정의 값이 되도록 상기 고주파 전원의 출력을 제어하는 제어수단과, 플라즈마를 여기하기 위한 전극에 인가하는 전력을 조정하기 위하여 상기 제어수단에 의하여 얻어진 제어신호를 고주파 전력 전원 또는 정합회로에 피드백하는 피드백 회로를 구비하고 있는 플라즈마 처리장치로 하였다.
이러한 플라즈마 처리장치로 함으로써, 플라즈마 여기전극에 인가되는 고주파 전력을 직접 정확히 파악하여, 소정의 전력으로 제어하여 안정된 균질한 플라즈마 처리가 가능하게 된다.
본 발명의 플라즈마 처리장치에서는, 상기 전류검출수단으로서 전류 프로브를 이용할 수 있다.
간단한 장치로 정확하게 고주파 전력을 파악할 수 있기 때문이다.
또한, 본 발명의 플라즈마 처리방법은 상기 장치를 사용하여 플라즈마 처리하는 방법으로서, 플라즈마를 여기하기 위한 전극에 인가하는 전력을 소정의 값으로 유지하도록 제어하면서 플라즈마 처리하는 것으로 하였다.
구체적으로는, 플라즈마를 여기하기 위한 전극에 인가하는 고주파 전력의 배전체를 흐르는 전류를 전류검출수단에 의하여 모니터하고, 이 전류검출수단에 의하여 검출한 전류가 소정의 값이 되도록 플라즈마를 여기하기 위한 전극에 인가하는 고주파 전력을 제어하는 방법을 채용하였다.
이러한 플라즈마 처리방법에 의하면, 에칭처리나 성막처리, 스퍼터처리 등을 하는 경우에 있어서, 처리의 균일성을 유지할 수 있게 된다.
발명의 실시형태
다음으로 본 발명의 실시형태를 구체예에 의하여 설명하는데, 이들 구체예는 본 발명을 전혀 제한하는 것이 아니다. 또한, 첨부의 도면은 본 발명의 사상을 설명하기 위한 것으로, 본 발명의 설명에 불필요한 요소는 생략하고, 또한 도시한 각 요소의 형상ㆍ치수비ㆍ수 등도 실제의 것과 반드시 일치하는 것은 아니다.
(실시형태 1)
도 1 은 본 실시형태의 플라즈마 처리장치의 구성을 나타내는 단면도이다. 이 플라즈마 처리장치는 CVD (chemical vapor deposition), 스퍼터링, 건식에칭, 애싱 등의 플라즈마 처리가 가능한 1 주파수 여기 타입의 것으로, 플라즈마를 형성하기 위한 플라즈마 여기전극 (4) 과 플라즈마 처리되는 워크 (W) 를 탑재하여 상기 플라즈마 여기전극 (4) 에 대향하는 서셉터 전극 (8) 으로 이루어지는 평행평판형 전극쌍 (14) 을 가지며, 플라즈마 여기전극 (4) 은 고주파 전력 배전체 (3) 및 정합회로 (2A) 를 통하여 고주파 전원 (1) 의 급전측에 접속되어 있다.
정합회로 (2A) 는 고주파 전원 (1) 과 플라즈마 여기전극 (4) 사이의 임피던스를 정합시키기 위하여 설치되고, 이 정합회로 (2A) 는 도전체로 이루어지는 섀시 (2) 내에 수용되어 있다. 이 섀시 (2) 에는, 추후 설명하는 슬릿 (35···) 이 형성되어 있다. 또한, 플라즈마 여기전극 (4) 의 상면과 고주파 전력 배전체 (3) 는 도전체로 이루어지는 하우징 (21) 에 의하여 덮여 있다. 이 하우징 (21) 은 섀시 (2) 와 연결되어 있으므로, 섀시 (2) 와 함께 일체의 섀시로 간주할 수 있다.
이 플라즈마 처리장치에는, 플라즈마 처리실이 되는 챔버 (60) 의 상부 위치에 고주파 전원 (1) 에 접속된 플라즈마 여기전극 (4) 및 샤워 플레이트 (5) 가 설치되고, 챔버 (60) 의 하부에는 샤워 플레이트 (5) 에 대향하여 워크 (W) 를 탑재하는 서셉터 전극 (8) 이 설치되어 있다. 플라즈마 여기전극 (4) 은 고주파 전력 배전체 (3), 정합회로 (2A) 및 동축 케이블 (1A) 의 심선을 통하여 고주파 전원 (1) 에 접속되어 있다. 하우징 (21) 과 섀시 (2) 는 서로 전기적으로 접속되고, 섀시 (2) 는 동축 케이블 (1A) 의 실드선 (외도전체) 에 접속되어 있다.
고주파 전력 배전체 (3) 로는, 예를 들면 폭 50mm∼100mm, 두께 0.5mm, 길이 100∼300mm 의 형상을 갖는 구리판의 표면에 은도금을 한 것이 사용되고 있으며, 이 고주파 전력 배전체 (3) 는 후술하는 정합회로 (2A) 의 튜닝 콘덴서 (24) 의 출력단자 및 플라즈마 여기전극 (4) 에 각각 나사 고정 등의 결합수단에 의하여 착탈이 가능하게 장착되어 있다.
플라즈마 여기전극 (4) 의 아래쪽에는 고리 형상 볼록부 (4a) 가 설치되고, 이 고리 형상 볼록부 (4a) 에 접하여 플라즈마 여기전극 (4) 아래쪽에는 다수의 구멍 (7···) 이 형성된 샤워 플레이트 (5) 가 설치되어 있다. 플라즈마 여기전극 (4) 과 샤워 플레이트 (5) 사이에는 공간 (6) 이 형성되어 있다. 이 공간 (6) 에는 하우징 (21) 의 측벽을 관통하는 동시에 플라즈마 여기전극 (4) 을 관통하여 가스 도입관 (17) 이 접속되어 있다.
가스 도입관 (17) 은 도전체로 이루어지는데, 이 가스 도입관 (17) 의 도중에는 절연체 (17a) 가 하우징 (21) 안쪽에 삽입개재되어 플라즈마 여기전극 (4) 과 가스 공급원이 전기적으로 절연되어 있다. 가스 도입관 (17) 으로부터 도입된 가스는 샤워 플레이트 (5) 의 다수의 구멍 (7···) 으로부터 챔버벽 (10) 에 의하여 형성된 챔버 (60) 내로 공급된다. 챔버벽 (10) 과 플라즈마 여기전극 (4) 은 고리 형상 절연체 (9) 에 의해 서로 절연되어 있다. 챔버 (60) 에는 도시하지 않은 배기계가 접속되어 있다.
챔버 (60) 내에는 워크 (W) 를 탑재하는 판 형상의 서셉터 전극 (8) 이 설치되어 있다. 서셉터 전극 (8) 의 하부 중앙에는 샤프트 (13) 가 접속되고, 이 샤프트 (13) 는 챔버 바닥부 (10A) 를 관통하여 아래쪽으로 연장되고, 샤프트 (13) 의 하단부와 챔버 바닥부 (10A) 의 중심부가 도전성 벨로스 (11) 에 의하여 밀폐 접속되어 있다. 서셉터 전극 (8) 및 샤프트 (13) 는 벨로스 (11) 에 의하여 상하이동 가능하게 되어 있으며, 플라즈마 여기전극 (4), 서셉터 전극 (8) 간의 거리를 조정할 수 있는 동시에 챔버 바닥부 (10A) 와 전기적으로 접속되어 있다. 이로 인하여, 서셉터 전극 (8), 샤프트 (13), 벨로스 (11), 챔버 바닥부 (10A), 챔버벽 (10) 은 직류적으로 동일 전위가 되어 있다. 또한, 챔버벽 (10) 은 하우징 (21), 섀시 (2) 모두 전기적으로 접속되어 있으므로, 챔버벽 (10), 하우징 (21), 섀시 (2) 는 모두 직류적으로 동일 전위가 되어 있다.
도 1 에 나타낸 본 실시형태의 플라즈마 처리장치에 있어서, 상기 섀시 (2), 하우징 (21), 챔버벽 (10), 벨로스 (11), 샤프트 (13) 및 서셉터 전극 (8) 은 공통의 축선 X-X 에 관하여 각각이 축대칭이 되는 형상으로 성형되고 또한 배치되어 있다.
정합회로 (2A) 는 챔버 (60) 내의 플라즈마 상태의 변화 등에 대응하여 임피던스를 조정하기 위하여 설치되어 있다. 정합회로 (2A) 는 도 1 에 나타내는 바와 같이 고주파 전원 (1) 과 고주파 전력 배전체 (3) 사이에 설치되며, 인덕턴스 코일 (23) 과, 에어 베리어블 콘덴서로 이루어지는 튜닝 콘덴서 (24) 와, 진공 베리어블 콘덴서로 이루어지는 로드 콘덴서 (22) 로 구성되어 있다. 이들 중, 인덕턴스 코일 (23) 과 튜닝 콘덴서 (24) 는 정합회로 (2A) 의 입력단자측에서 출력단자측으로 직렬로 접속되고, 인덕턴스 코일 (23) 의 입력분기점과 섀시 (2 : 커먼 전위 부분) 사이에 로드 콘덴서 (22) 가 설치되어 있다. 인덕턴스 코일 (23) 과 튜닝 콘덴서 (24) 는 도전체를 통하지 않고 직접 접속되어 있다. 튜닝 콘덴서 (24) 는 정합회로 (2A) 의 출력단말이 되고, 그 출력단자 (PR) 는 고주파 전력 배전체 (3) 를 통하여 플라즈마 여기전극 (4) 에 접속되어 있다.
도 2 는 본 실시형태에 있어서의 섀시 (2) 의 구성을 나타내는 사시도이다. 이 섀시 (2) 는 알루미늄 합금으로 이루어지고, 천판 (2T) 이 정방형의 상자형을 이루며, 이 천판 (2T) 의 중심을 통과하는 중심축이 도 1 에 나타낸 플라즈마 처리장치의 축선 X-X 과 일치하고 있다. 섀시 (2) 의 4 면의 측벽 (38···) 에는 각각 면중앙에 축선 X-X 와 평행한 방향으로 연장되는 슬릿 (35) 이 형성되어 있다. 각 슬릿 (35) 은 각 측벽 (38) 의 중앙에 형성되고, 대향하는 슬릿은 각각 축선 X-X 의 주위에 축대칭이 되도록 배치되어 있다. 즉, 4 개의 슬릿 (35···) 은 각각 축선 X-X 로부터 등거리 또한 상호 등간격으로 배치되어 있다.
각 슬릿 (35) 의 길이는 예를 들면 3cm 이다. 또한, 각 슬릿 (35) 의 폭은 이 플라즈마 처리장치에 공급되는 고주파 전력의 파장을 λ로 할 때 λ/1000 이 되도록 설계되어 있다. 예를 들면, 고주파 전력의 주파수가 40.68 ㎒ 인 경우, 파장 λ은 7.37m 이므로, 슬릿 (35) 의 폭은 7.37mm 가 된다.
각 슬릿 (35) 에는 각각 루프 형상으로 성형된 자계 프로브 (36) 가 삽입되어 있다. 이 자계 프로브 (36) 는 플라즈마 처리장치의 가동 중에 섀시 (2) 의 안쪽 표면을 흐르는 고주파 전류 (HC) 가 슬릿 (35) 의 에지에서 발생하는 자계의 방향과 직교하도록 배향되고, 또한 자계의 영향을 강하게 받도록 가능한 한 에지에 접근한 위치에 배치된다. 자계 프로브 (36···) 는 각각 도시하지 않은 데이터 처리ㆍ표시장치 (모니터 장치) 에 연결되어 있다. 그리고 슬릿 (35) 과 자계 프로브 (36) 의 조합이 본 실시형태에 있어서의 전류검출수단 (37) 을 구성하고 있다.
도 1 에 있어서 고주파 전원 (1) 의 출력측 (고압측) 과 정합회로 (2A) 는 동축 케이블 (1A) 의 심선에 의하여 접속되어 있다. 동축 케이블 (1A) 의 실드선은 한쪽이 섀시 (2) 에 접속되고 다른쪽은 고주파 전원 (1) 의 커먼측 단자에 접속되어 있다. 이 고주파 전원 (1) 의 커먼측 단자는 접지되어 있기 때문에, 서셉터 전극 (8), 샤프트 (13), 벨로스 (11), 챔버벽 (10), 하우징 (21), 섀시 (2) 및 동축 케이블 (1A) 의 실드선으로 이루어지는 귀전로는 모두 직류적으로는 접지전위가 되어 있다. 또한 로드 콘덴서 (22) 의 일단도 직류적으로 접지된 상태가 된다.
다음으로 본 실시형태의 플라즈마 처리장치를 사용하여, 워크 (W) 에 플라즈마 처리를 하는 일실시형태에 대하여 설명한다. 도 1 에 있어서, 먼저 워크 (W) 를 서섭터 전극 (8) 위에 탑재한다. 이 때, 워크 (W) 의 면중심을 플라즈마 처리장치의 축선 X-X 와 일치시키도록 배치하는 것이 바람직하다. 다음에 챔버 (60) 내를 소정의 진공도까지 진공화시키는 동시에, 가스 도입관 (17) 으로부터 플라즈마 생성가스를 도입하고, 또한 고주파 전원 (1) 으로부터 고주파 전력을 공급한다. 이 때의 가동조건, 예를 들면 진공도, 플라즈마 생성가스의 종류와 처리실내 압력, 고주파 전력의 주파수, 전력 등은 워크 (W) 에 실시하는 플라즈마 처리의 목적이나 장치의 효율 등에 따라 변화하는데, 이들은 종래의 플라즈마 처리장치에 있어서의 가동조건과 동일하므로, 여기서는 상세한 설명을 생략한다. 고주파 전원 (1) 으로부터 공급된 고주파 전력 (고압측) 은 동축 케이블 (1A) 의 심선을 통과하여 정합회로 (2A) 에 입력되어 출력단자 (PR) 로부터 출력되고, 고주파 전력 배전체 (3) 를 통과하여 플라즈마 여기전극 (4) 에 공급된다. 이 때 플라즈마 여기전극 (4) 은 접지측에 있는 서셉터 전극 (8) 과 대향하고 있어 챔버 (60) 내에 진공방전이 발생한다. 가스 도입관 (17) 으로부터 챔버 (60) 내로 도입된 가스는 이 진공방전에 여기되어 플라즈마를 발생시키고, 샤워 플레이트 (5) 에 형성된 다수의 구멍 (7···) 을 통과하여 샤워 형상으로 워크 (W) 에 사돌(射突)하여, 워크 (W) 의 표면에 소정의 플라즈마 처리를 행한다.
이 때, 플라즈마 여기전극 (4) 과 서셉터 전극 (8) 사이에는 방전전압에 대응한 전위차가 발생하고 있다. 한편, 서셉터 전극 (8) 으로부터 고주파 전원 (1) 의 커먼측으로 귀환하는 귀전로로 되어 있는 샤프트 (13), 벨로스 (11), 챔버 바닥부 (10A), 챔버벽 (10), 하우징 (21) 및 섀시 (2) 는 각각 교류적인 전기저항 즉 임피던스를 갖고 있으므로, 서셉터 전극 (8) 은 직류적으로는 접지전위에 있더라도, 교류적으로는 상기 임피던스를 흐르는 전류에 따른 전위가 되어 있다. 그래서 서셉터 전극 (8) 으로부터 고주파 전원 (1) 의 커먼측을 향하여 고주파 전류가 흐른다. 이 고주파 전류는 챔버벽 (10), 하우징 (21), 섀시 (2) 등 도전부의 내면 전체로 퍼져 흐른다.
도 2 에 나타내는 바와 같이, 섀시 (2) 에 형성된 슬릿 (35···) 은 고주파 전류 (HC) 가 흐르는 방향을 따라 형성되어 있으므로, 이 슬릿의 에지에는 고주파 전류에 의하여 자계가 발생한다. 이 자계를 자계 프로브 (36) 에 의하여 검지하면, 이 자계강도는 전극쌍의 틈을 흐르는 전류값에 대응한다. 그리고 전극쌍에 있어서의 전류값은 전극쌍의 방전전압에 대응하고 있으므로, 전류검출수단 (37) 에 있어서의 자계강도를 감시함으로써, 예를 들면 방전개시시에 적절한 방전전압이얻어지고 있는지 아닌지를 검지할 수 있다.
섀시 (2) 에 형성된 4 조의 전류검출수단 (37···) 은 축선 X-X 로부터 등거리 등간격, 즉 축대칭으로 배치되어 있으므로, 도 3 에 나타내는 바와 같이 섀시 (2) 의 특정 측벽 (38a) 에 설치된 전류검출수단 (37a) 에 의하여 검지되는 자계강도가 다른 면의 값과 다르면, 도 3 의 점선 화살표 Ib 로 나타내는 바와 같이, 전극쌍 (14) 의 틈을 흐르는 방전전류에 편류가 발생되어 있을 것이 예상되며, 따라서 워크 (W) 상의 플라즈마 처리에 편차가 발생되어 있을 가능성이 있다. 즉, 4 조의 전류검출수단 (37···) 을 비교하면서 감시함으로써 워크 (W) 상의 플라즈마 처리의 균일성을 외부에서 감시할 수 있다.
여기서, 섀시 (2) 와 하우징 (21) 은 모두 축선 X-X 에 관하여 축대칭 형상이 되고 또한 전기적으로 연속하여 일체로 성형되어 있으므로, 4 조의 전류검출수단 (37···) 은 섀시 (2) 의 벽면 대신에 하우징 (21) 의 벽면에 형성되어 있어도 실질적으로 동일한 효과가 얻어진다. 따라서 본 발명에 있어서의 섀시란, 본 실시형태에 있어서의 하우징도 포함하는 것이다.
(실시형태 2)
본 실시형태의 플라즈마 처리장치는 섀시 (2) 의 형상이 다른 것 이외에는 실시형태 1 의 것과 동일하다. 따라서, 여기서는 실시형태 2 에 있어서의 섀시의 형상에 대해서만 상세히 설명한다.
도 4 는 본 실시형태의 플라즈마 처리장치에 있어서의 섀시의 사시도이다. 본 실시형태에 있어서 섀시 (2) 는 원통 형상으로 성형되어 있다. 그리고 이 섀시 (2) 의 주위벽 (38) 에는 축선 X-X 로부터 축대칭으로 8 조의 전류검출수단 (37···) 이 설치되어 있다. 각각의 전류검출수단 (37) 은 섀시 (2) 의 축선 X-X 로부터 상호 등거리 등간격이 되도록 섀시의 주위벽에 형성되어 각각이 축선 X-X 와 평행한 방향으로 연장되는 동일 형태의 슬릿 (35) 과, 이 슬릿 (35) 에 삽입된 루프 형상의 자계 프로브 (36) 로 이루어져 있다. 이 자계 프로브 (36) 는 플라즈마 처리장치의 가동 중에 섀시 (2) 의 안쪽 표면을 흐르는 고주파 전류 (HC) 가 슬릿 (35) 의 에지에서 발생하는 자계의 방향에 직교하도록 배향되고, 또한 자계의 영향을 강하게 받도록 가능한 한 에지에 근접한 위치에 설치되어 있다.
본 실시형태에서는 섀시 (2) 에 축선 X-X 로부터 등거리 등간격으로 8 조의 전류검출수단 (37···) 이 설치되어 있으므로, 섀시 (2) 의 안쪽 표면을 흐르는 고주파 전류 (HC) 의 편류를 실시형태 1 의 경우보다 더욱 정밀하게 검지할 수 있고, 따라서 전극쌍 (14) 의 틈을 흐르는 방전전류의 편류를 더욱 정밀하게 감시할 수 있다.
본 실시형태에 있어서 섀시의 형상은 원통 형상인데, 섀시 (2) 의 형상은 그 중심축이 전극쌍 (14) 의 축선 X-X 와 일치하는 임의의 정다각형 기둥 형상 또는 회전체이어도 된다. 이들 형상의 섀시에 있어서 복수의 전류검출수단 (37···) 이 축선 X-X 에 관하여 축대칭으로 설치되어 있으면, 전극쌍 (14) 에 있어서의 고주파 전압을 계측할 수 있는 동시에 전극쌍 (14) 에 있어서의 편류의 상황을 외부에서 감시할 수 있다.
이상 설명한 각 실시형태의 플라즈마 처리장치에 있어서, 섀시 (2), 하우징 (21), 챔버벽 (10) 등의 기재는 통상 알루미늄 또는 스테인레스 강 등인데, 이들의 안쪽 표면에는 추가로 고주파 전류에 대한 저항이 낮은 저저항 도전로가 설치되어 있어도 된다. 저저항 도전로가 설치되어 있으면, 플라즈마 발생공간에 공급되는 고주파 전력의 로스를 더욱 저감시킬 수 있다. 이 저저항 도전로는 금, 은, 구리 또는 적어도 이들을 포함하는 합금으로 이루어지는 것이 바람직하다. 이 저저항 도전로는 상기 각 부재의 안쪽 표면 전체를 덮도록 형성되어 있어도 되며, 또는 이들의 표면을 통과하여 서셉터 전극 (8) 으로부터 고주파 전원 (1) 에 이르는 1 개 또는 복수의 선 형상 또는 띠 형상의 저저항 도전로가 설치되어 있어도 된다. 복수의 저저항 도전로가 설치되는 경우에는, 이들이 축선 X-X 에 관하여 축대칭이 되도록 병렬 배치되는 것이 바람직하다. 어쨌든, 저저항 도전로가 설치된 경우에는 전류검출수단 (37) 은 이 저저항 도전로상에 설치될 필요가 있다.
상기 본 실시형태의 플라즈마 처리장치에 있어서, 섀시 (2), 하우징 (21), 챔버벽 (1O), 챔버 바닥부 (1OA), 벨로스 (11) 등의 표면은 필요에 따라 절연체로 이루어지는 절연피막에 의하여 덮여 있어도 된다. 절연피막으로는, 폴리이미드, PFA (테트라플루오로에틸렌-퍼플루오로알킬비닐에테르 공중합체), PTFE (폴리테트라플루오로에틸렌), ETFE (테트라플루오로에틸렌-에틸렌 공중합체) 등이 사용된다. 폴리이미드, PFA (테트라플루오로에틸렌-퍼플루오로알킬비닐에테르 공중합체), PTFE (폴리테트라플루오로에틸렌) 은 내열성이 우수하고, ETFE (테트라플루오로에틸렌-에틸렌 공중합체) 는 내마모성이 우수하므로, 적절히 구분하여 사용하거나 또는 다층피막을 형성하는 것이 바람직하다.
상기 본 실시형태의 플라즈마 처리장치에 있어서는, 13.56 ㎒ 정도 이상의 주파수의 전력, 구체적으로는 예를 들면 13.56 ㎒, 27.12 ㎒, 40.68 ㎒ 등의 주파수의 고주파 전력을 투입하여, 전극쌍 (14) 사이에서 플라즈마를 생성하고, 이 플라즈마에 의해, 서셉터 전극 (8) 에 탑재한 워크 (W) 에 CVD, 건식 에칭, 애싱 등의 플라즈마 처리를 할 수 있다.
플라즈마 처리가 예를 들면, RlE (reactive ion etching) 등인 경우, 워크 (W) 는 서셉터 전극 (8) 상에 탑재하지 않고, 플라즈마 여기전극 (4) 측에 장착하도록 해도 된다. 또한 전극쌍의 구성도, 평행평판형의 전극쌍으로 바꾸고, I CP (inductively coupled plasma) 유도 결합 플라즈마 형성형이나 RLSA (radial line s1ot antenna) 형 등이어도 된다.
상기 구성의 플라즈마 처리장치는, 예를 들면 워크 (W) 에 따른 성막조건, 어닐조건, 열처리조건 등, 여러 가지 처리 조건이나 처리 시퀀스를 오퍼레이터가 설정하는 것 외에는, 각 부의 동작이 도시하지 않은 제어부에 의하여 제어되고 있어, 자동운전하는 구성으로 되어 있다. 따라서 이 플라즈마 처리장치를 사용할 때에는, 처리전의 워크 (W) 를 도시하지 않은 로더 카세트에 세트하고, 오퍼레이터가 스타트 스위치를 조작하면 반송로봇이 워크 (W) 를 로더 카세트로부터 챔버 (60) 내로 반송하고, 챔버 (60) 내에서 일련의 처리가 순서대로 자동적으로 행해진 후, 다시 반송로봇이 처리 완료된 워크 (W) 를 언로더 카세트에 수용하도록 되어 있다.
(제 3 실시형태)
도 5 는 본 발명의 플라즈마 처리장치의 제 3 실시형태의 개략구성을 나타내는 단면도이다.
본 발명의 플라즈마 처리장치의 주요구성은 도 8 에 나타낸 종래의 플라즈마 처리장치와 동일하다. 따라서 동일한 기능의 부품에는 도 8 과 동일한 번호를 부여하고 있다. 본 발명의 플라즈마 처리장치가 도 8 에 나타내는 종래의 플라즈마 처리장치와 다른 점은 배전체에 전류검출수단을 장착하여 고주파 전류를 검출하고, 이를 이용하여 플라즈마 여기전극에 인가되는 고주파 전력을 소정의 값이 되도록 제어하도록 한 점이다. 따라서, 이 제어회로를 중심으로 설명한다.
도 5 에 나타내는 바와 같이 본 발명의 플라즈마 처리장치에서는, 플라즈마를 여기하기 위한 플라즈마 여기전극 (4) 에 고주파 전류를 인가하기 위한 배전체 (3) 에 클램프 미터 (12) 를 장착하고, 배전체 (3) 를 흐르는 전류의 크기를 검지하고, 검지한 전류를 제어회로 (15) 에 입력한다.
제어회로 (15) 에서는 검지한 전류와 미리 입력해 둔 설정값의 차이를 연산하고, 차이가 제로 (0) 가 될 때까지 고주파 전원 (1) 의 출력을 증감시키는 신호를 발생시켜, 이것을 고주파 전원 (1) 또는 정합회로 (2A) 에 피드백한다. 한 방법은 고주파 전원 (1) 에 피드백된 신호에 기초하여, 고주파 전원 (1) 의 출력을 증감시킨다. 또 한 방법은 정합회로 (2A) 에 피드백된 신호에 기초하여 정합회로 (2A) 의 로드 콘덴서 (22) 또는 튜닝 콘덴서 (24) 의 용량을 변화시켜, 플라즈마 여기전극 (4) 에 인가하는 고주파 전력을 조정한다. 이와 같이 전류검지와 제어신호의 피드백을 반복하여, 검지전류와 미리 설정한 전류값의 차이가 제로 (0) 가 되도록 제어한다.
제어회로 (15) 의 구성의 일예를 도 6 에 나타낸다. 도 6 에 나타내는 예에서는, 배전체 (3) 에 코일 (12a) 로 이루어지는 클램프 미터 (12) 가 장착되어 있다. 클램프 미터 (12) 는 미리 장착한 고정식이어도 되며, 또는 코일 (12a) 을 개폐식으로 하여 필요시점에 장착하는 가동식이어도 된다.
코일 (12a) 에 감는 수가 다른 코일 (12b) 을 장착하고, 코일 (12a) 의 전류를 변환시켜 꺼내 브릿지 회로 (18) 에서 검지한다. 브릿지 회로 (18) 의 전류계 (19) 가 제로 (0) 가 되도록 제어한다. 전류계 (19) 의 지시에 따라 제어신호를 발하는 제어회로를 설치해 두고, 제어신호를 고주파 전원 또는 정합회로에 피드백한다.
다음으로, 본 발명의 플라즈마 처리장치를 사용하여 플라즈마 처리를 하는 방법에 대하여 설명한다.
본 발명의 플라즈마 처리방법은 플라즈마를 여기하기 위한 전극에 인가하는 전력을 소정의 값으로 유지하도록 제어하면서 처리하는 방법을 채용하였다. 구체적으로는, 플라즈마를 여기하기 위한 전극에 인가하는 고주파 전력의 배전체를 흐르는 전류를 전류검출수단에 의하여 모니터하고, 이 전류검출수단에 의하여 검출한 전류가 소정의 값이 되도록 하여 플라즈마 처리한다.
본 발명의 플라즈마 처리방법에서는, 플라즈마 여기전극에 인가되는 고주파 전력을 직접 검지하여 설정값이 되도록 제어하므로, 예를 들면 고주파 전류를 흐르게 하였을 때의 도체의 온도상승 등에 기인하여 정합회로의 전력손실이 변동하고, 플라즈마 공간에서 실제로 소비되는 실효적인 전력이 변동해도 하나하나 추종한 보상이 가능해진다. 또한, 복수의 플라즈마실을 늘어 놓은 장치라도, 복수의 플라즈마 처리공간에서 소비되는 실효적인 전력을 균일하게 유지할 수 있게 된다.
이러한 플라즈마 처리방법에 의하면, 에지처리나 성막처리, 스퍼터처리 등을 하는 경우에 있어서, 처리의 균일성을 유지할 수 있게 된다.
본 발명의 플라즈마 처리장치는 임피던스 정합회로를 수용한 섀시에 서셉터 전극으로부터 고주파 전원으로 귀환하는 고주파 전류를 검출하기 위한 전류검출수단이 설치되어 있으므로, 플라즈마 공간을 흐르는 고주파 전류를 외부에서 계측할 수 있고, 플라즈마 방전의 개시에 필요한 방전전압이 얻어지고 있는지 등의 장치내부의 운전상태를 외부에서 정확하게 판단할 수 있게 된다.
섀시에 2 이상의 상기 전류검출수단이 설치되고, 이들 전류검출수단이 상기 섀시의 중심축에 관한여 축대칭으로 배치되어 있으면, 전극쌍 사이를 흐르는 방전류의 편류를 검지할 수 있어, 워크에 대한 플라즈마 처리의 균일성을 감시할 수 있다.
또한, 본 발명은 플라즈마 여기전극 (캐소드 전극) 에 인가되는 고주파 전력을 직접 정확하게 파악하여 안정된 전력제어를 함으로써, 안정적이고 균질한 플라즈마 처리가 가능한 플라즈마 처리장치로 한 것이다.
본 발명에 의하면, 플라즈마 공간에서 소비되는 실효적인 전력을 일정하게 유지할 수 있으므로, 에칭처리나 성막처리, 스퍼터처리 등의 처리를 하는 경우에 있어서 균일하고 안정된 처리를 할 수 있게 된다.
도 1 은 본 발명과 관련된 플라즈마 처리장치의 일실시형태의 구성을 나타내는 단면도.
도 2 는 상기 실시형태에 있어서의 섀시의 구성을 나타내는 사시도.
도 3 은 일반적인 플라즈마 처리장치에 있어서의 고주파 전류의 흐름을 나타내는 개념도.
도 4 는 본 발명과 관련된 플라즈마 처리장치의 다른 일실시형태에 사용된 섀시의 사시도.
도 5 는 본 발명의 플라즈마 처리장치의 개략구성을 나타내는 단면도.
도 6 은 도 5 의 플라즈마 처리장치에 있어서의 제어방법의 개요를 설명하는 도면.
도 7 은 종래의 플라즈마 처리장치의 일례를 나타내는 단면도.
도 8 은 종래의 플라즈마 처리장치의 일례의 개략구성을 나타내는 단면도.
도 9 는 종래의 플라즈마 처리장치의 다른 예의 개략구성을 나타내는 단면도.
(도면의 주요부분에 대한 부호의 설명)
1 : 고주파 전원 2 : 섀시
2A : 정합회로 3 : 고주파 전력 배전체
4 : 플라즈마 여기전극 5 : 샤워 플레이트
8 : 서셉터 전극 10 : 챔버벽
11 : 벨로스 13 : 샤프트
14 : 전극쌍 17 : 가스 도입관
21 : 하우징 35 : 슬릿
36 : 자계 프로브 37 : 전류검출수단
38 : (섀시의) 측벽 60 : 챔버
W : 워크 12 : 클램프 미터
15 : 제어회로 16 : 기판
18 : 브릿지 회로 19 : 전류계
22 : 로드 콘덴서 23 : 튜닝 코일
24 : 튜닝 콘덴서 75, 76 : 플라즈마 챔버
101 : 에칭장치 본체 102 : 프로세스 제어부
103 : 처리실 104 : 반도체 웨이퍼
111 : 가변저항기 112 : 전류계

Claims (11)

  1. 플라즈마를 형성하기 위한 플라즈마 여기전극과 플라즈마 처리되는 워크를 사이에 두고 상기 플라즈마 여기전극에 대향하는 서셉터 전극으로 이루어지는 전극쌍을 수용한 플라즈마 처리실과, 고주파 전원으로부터 상기 플라즈마 여기전극에 고주파 전력을 공급하는 급전로에 삽입되어 상기 고주파 전원과 상기 플라즈마 처리실의 임피던스를 정합시키기 위한 임피던스 정합회로를 수용한 섀시를 가지며, 상기 섀시가 상기 서셉터 전극으로부터 상기 고주파 전원으로 귀환하는 귀전로의 일부를 형성하여 이루어지는 플라즈마 처리장치로서,
    상기 섀시에, 상기 서셉터 전극으로부터 상기 고주파 전원으로 귀환하는 고주파 전류를 검출하기 위한 전류검출수단이 2개 이상 설치되고, 이들 전류검출수단이 상기 섀시의 중심축의 주위에 축대칭으로 배치된 것을 특징으로 하는 플라즈마 처리장치.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 전류검출수단은 상기 섀시에 형성되고 상기 고주파 전원으로 귀환하는 고주파 전류의 유로를 따라 연장되는 슬릿과, 이 슬릿에 형성되는 자계를 검출하는 자계 프로브로 이루어지는 것을 특징으로 하는 플라즈마 처리장치.
  4. 제 3 항에 있어서,
    상기 슬릿의 폭은 상기 고주파 전류의 파장을 λ로 할 때 λ/100 이하인 것을 특징으로 하는 플라즈마 처리장치.
  5. 제 1 항에 있어서,
    상기 섀시는 그 중심축에 수직인 단면이 정다각형 또는 원형으로 성형된 것을 특징으로 하는 플라즈마 처리장치.
  6. 제 1 항에 있어서,
    상기 플라즈마 처리실이 축대칭 형상으로 성형되고, 또한 그 대칭축이 상기 섀시의 중심축과 일치하도록 배치된 것을 특징으로 하는 플라즈마 처리장치.
  7. 제 1 항에 있어서,
    상기 서셉터 전극이 축대칭 형상으로 성형되고, 또한 그 대칭축이 상기 섀시의 중심축과 일치하도록 배치된 것을 특징으로 하는 플라즈마 처리장치.
  8. 플라즈마를 여기하기 위한 전극을 갖는 플라즈마 처리실과, 상기 전극에 고주파 전력을 공급하기 위한 고주파 전원과, 입력단자와 출력단자를 가지며, 상기 입력단자에 고주파 전력 급전체를 통하여 상기 고주파 전원이 접속되고, 상기 출력단자에 고주파 전력 배전체를 통하여 상기 전극이 접속되며, 이들 입출력단자 사이에 접지전위 부분이 접속되는 동시에, 상기 플라즈마 처리실과 상기 고주파 전원의 임피던스 정합을 얻기 위한 정합회로를 구비하는 플라즈마 처리장치로서,
    상기 전력 배전체를 흐르는 전류를 모니터하기 위한 전류검출수단과, 상기 전류검출수단에 의하여 검출한 전류값에 기초하여, 상기 검출한 전류값이 소정의 값으로 되도록 상기 고주파 전원의 출력 또는 상기 정합회로를 제어하는 제어수단과, 플라즈마를 여기하기 위한 전극에 인가하는 전력을 조정하기 위하여 상기 제어수단에 의하여 얻어진 제어신호를 고주파 전력 전원 또는 정합회로에 피드백하는 피드백 회로를 구비하고 있는 것을 특징으로 하는 플라즈마 처리장치.
  9. 제 8 항에 있어서,
    상기 전류검출수단이 전류 프로브인 것을 특징으로 하는 플라즈마 처리장치.
  10. 삭제
  11. 플라즈마 처리장치를 사용하여 플라즈마 처리하는 방법으로서,
    플라즈마를 여기하기 위한 전극에 인가하는 전력 배전체를 흐르는 전류를 전류검출수단에 의하여 모니터하고, 상기 검출수단에 의하여 검출된 전류값에 기초하여 상기 검출된 전류값이 소정값으로 되도록 플라즈마를 여기하기 위한 전극에 인가하는 전력을 제어하는 것을 특징으로 하는 플라즈마 처리방법.
KR10-2003-0003285A 2002-01-30 2003-01-17 플라즈마 처리장치 및 플라즈마 처리방법 KR100517034B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2002022321A JP4030766B2 (ja) 2002-01-30 2002-01-30 プラズマ処理装置
JPJP-P-2002-00022323 2002-01-30
JP2002022323A JP3923323B2 (ja) 2002-01-30 2002-01-30 プラズマ処理装置及びプラズマ処理方法
JPJP-P-2002-00022321 2002-01-30

Publications (2)

Publication Number Publication Date
KR20030065329A KR20030065329A (ko) 2003-08-06
KR100517034B1 true KR100517034B1 (ko) 2005-09-26

Family

ID=27615725

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0003285A KR100517034B1 (ko) 2002-01-30 2003-01-17 플라즈마 처리장치 및 플라즈마 처리방법

Country Status (3)

Country Link
US (1) US6792889B2 (ko)
KR (1) KR100517034B1 (ko)
TW (1) TWI239794B (ko)

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5138131B2 (ja) * 2001-03-28 2013-02-06 忠弘 大見 マイクロ波プラズマプロセス装置及びプラズマプロセス制御方法
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7190119B2 (en) * 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
JP4344886B2 (ja) * 2004-09-06 2009-10-14 東京エレクトロン株式会社 プラズマ処理装置
JP2007073395A (ja) * 2005-09-08 2007-03-22 Tokyo Electron Ltd マグネトロンの制御方法、マグネトロンの寿命判定方法、マイクロ波発生装置、マグネトロンの寿命判定装置、処理装置及び記憶媒体
CN100377624C (zh) * 2005-12-07 2008-03-26 北京北方微电子基地设备工艺研究中心有限责任公司 一种刻蚀设备的射频起辉控制方法
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US8262844B2 (en) * 2007-03-08 2012-09-11 Tokyo Electron Limited Plasma processing apparatus, plasma processing method and storage medium
US8073646B2 (en) * 2007-03-30 2011-12-06 Tokyo Electron Limited Plasma processing apparatus, radio frequency generator and correction method therefor
JP4350766B2 (ja) * 2007-03-30 2009-10-21 東京エレクトロン株式会社 プラズマ処理装置,高周波電源の校正方法,高周波電源
WO2009076568A2 (en) * 2007-12-13 2009-06-18 Lam Research Corporation Plasma unconfinement sensor and methods thereof
US7970562B2 (en) * 2008-05-07 2011-06-28 Advanced Energy Industries, Inc. System, method, and apparatus for monitoring power
JP5353265B2 (ja) * 2009-01-26 2013-11-27 パナソニック株式会社 プラズマ処理装置
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SI23626A (sl) 2011-01-19 2012-07-31 Institut@@quot@JoĹľef@Stefan@quot Metoda za dinamično nadzorovanje gostote nevtralnih atomov v plazemski vakuumski komori in napravaza obdelavo trdih materialov s to metodo
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101196309B1 (ko) * 2011-05-19 2012-11-06 한국과학기술원 플라즈마 발생 장치
KR101241049B1 (ko) 2011-08-01 2013-03-15 주식회사 플라즈마트 플라즈마 발생 장치 및 플라즈마 발생 방법
KR101246191B1 (ko) 2011-10-13 2013-03-21 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
KR101332337B1 (ko) 2012-06-29 2013-11-22 태원전기산업 (주) 초고주파 발광 램프 장치
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
KR20140059422A (ko) * 2012-11-08 2014-05-16 엘아이지에이디피 주식회사 유도 결합 플라즈마 처리 장치 및 그 제어방법
KR20140066483A (ko) * 2012-11-23 2014-06-02 엘아이지에이디피 주식회사 유도 결합 플라즈마 처리 장치 및 그 제어방법
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
CN104377106B (zh) * 2013-08-16 2016-12-28 中微半导体设备(上海)有限公司 等离子体反应腔室阻抗自动匹配方法
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
KR101869949B1 (ko) * 2013-09-03 2018-06-21 주식회사 원익아이피에스 복합막 증착방법 및 기판 처리 장치
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP2016170940A (ja) * 2015-03-12 2016-09-23 東京エレクトロン株式会社 マイクロ波自動整合器及びプラズマ処理装置
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106601579B (zh) * 2015-10-19 2019-02-19 北京北方华创微电子装备有限公司 上电极机构及半导体加工设备
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR101826883B1 (ko) * 2016-11-03 2018-02-08 인투코어테크놀로지 주식회사 유도 코일 구조체 및 유도 결합 플라즈마 발생 장치
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10649006B2 (en) * 2017-10-06 2020-05-12 Lam Research Corporation Cathode RF asymmetry detection probe for semiconductor RF plasma processing equipment
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210153349A (ko) 2020-06-10 2021-12-17 삼성전자주식회사 매거진 지지 장치 및 이를 포함하는 반도체 제조 장비

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4970435A (en) * 1987-12-09 1990-11-13 Tel Sagami Limited Plasma processing apparatus
KR100290748B1 (ko) * 1993-01-29 2001-06-01 히가시 데쓰로 플라즈마 처리장치
JP2592217B2 (ja) * 1993-11-11 1997-03-19 株式会社フロンテック 高周波マグネトロンプラズマ装置
JP2000031072A (ja) * 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置

Also Published As

Publication number Publication date
US6792889B2 (en) 2004-09-21
KR20030065329A (ko) 2003-08-06
TWI239794B (en) 2005-09-11
US20030141821A1 (en) 2003-07-31
TW200302683A (en) 2003-08-01

Similar Documents

Publication Publication Date Title
KR100517034B1 (ko) 플라즈마 처리장치 및 플라즈마 처리방법
US8193097B2 (en) Plasma processing apparatus and impedance adjustment method
CN109104807B (zh) 等离子体处理装置
JP4451392B2 (ja) プラズマ発生装置
KR101387067B1 (ko) 드라이 에칭 장치 및 드라이 에칭 방법
KR101094124B1 (ko) 균일한 프로세스 레이트를 발생시키는 안테나
US20040011466A1 (en) Plasma processing apparatus
KR100572176B1 (ko) 플라즈마 처리장치
US20040011465A1 (en) Plasma Processing apparatus
US7632375B2 (en) Electrically enhancing the confinement of plasma
JP4046207B2 (ja) プラズマ処理装置
WO2018101065A1 (ja) プラズマ処理装置
KR102368750B1 (ko) 마이크로파 자동 정합기 및 플라즈마 처리 장치
JP2015162266A (ja) プラズマ処理装置
KR101893811B1 (ko) 플라스마 처리 장치
JPH11135438A (ja) 半導体プラズマ処理装置
US20160091534A1 (en) Current sensor
US20080236489A1 (en) Plasma Processing Apparatus
JP4030766B2 (ja) プラズマ処理装置
JP3923323B2 (ja) プラズマ処理装置及びプラズマ処理方法
KR102207755B1 (ko) 플라스마 처리 장치
US6528949B2 (en) Apparatus for elimination of plasma lighting inside a gas line in a strong RF field
TW201940014A (zh) 電漿處理裝置
KR20180001801A (ko) 캐비티 구조를 갖는 플라즈마 반응기

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110915

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee