KR100501647B1 - Method of forming an isolation layer in a semiconductor device - Google Patents

Method of forming an isolation layer in a semiconductor device Download PDF

Info

Publication number
KR100501647B1
KR100501647B1 KR10-2003-0048828A KR20030048828A KR100501647B1 KR 100501647 B1 KR100501647 B1 KR 100501647B1 KR 20030048828 A KR20030048828 A KR 20030048828A KR 100501647 B1 KR100501647 B1 KR 100501647B1
Authority
KR
South Korea
Prior art keywords
layer
forming
device isolation
insulating material
material layer
Prior art date
Application number
KR10-2003-0048828A
Other languages
Korean (ko)
Other versions
KR20050009495A (en
Inventor
이원권
Original Assignee
매그나칩 반도체 유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매그나칩 반도체 유한회사 filed Critical 매그나칩 반도체 유한회사
Priority to KR10-2003-0048828A priority Critical patent/KR100501647B1/en
Publication of KR20050009495A publication Critical patent/KR20050009495A/en
Application granted granted Critical
Publication of KR100501647B1 publication Critical patent/KR100501647B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Element Separation (AREA)

Abstract

본 발명은 반도체 소자의 소자 분리막 형성 방법에 관한 것으로, 트렌치를 매립하기 위하여 전체 상부에 형성된 절연 물질층 상에 실리콘층을 형성하고 소자 분리 영역을 제외한 활성 영역의 실리콘층을 산화시켜 소자 분리 영역의 식각 선택비를 조절한 후, 습식 식각 방식을 이용한 평탄화 식각(Planarization Etch) 공정으로 패드 질화막 상부의 산화막 및 절연 물질층을 제거한 상태에서 화학적 기계적 연마 공정을 실시함으로써, 소자 분리막의 높이가 낮아지는 것을 방지하면서 소자 분리 영역과 활성 영역 사이에 형성되는 홈을 제거하여 화학적 기계적 연마 공정의 마진을 확보하고 공정의 신뢰성 및 소자의 전기적 특성을 향상시킬 수 있다.The present invention relates to a method for forming a device isolation layer of a semiconductor device, and to form a silicon layer on the insulating material layer formed on the entire upper portion to fill the trench, and to oxidize the silicon layer of the active region excluding the device isolation region of the device isolation region After adjusting the etch selectivity, the chemical mechanical polishing process is performed by removing the oxide layer and the insulating material layer on the upper surface of the pad nitride layer by a planarization etching process using a wet etching method to reduce the height of the device isolation layer. By preventing the removal of the groove formed between the device isolation region and the active region to secure the margin of the chemical mechanical polishing process, it is possible to improve the reliability of the process and the electrical characteristics of the device.

Description

반도체 소자의 소자 분리막 형성 방법{Method of forming an isolation layer in a semiconductor device} Method of forming an isolation layer in a semiconductor device

본 발명은 반도체 소자의 소자 분리막 형성 방법에 관한 것으로, 특히 트렌치를 절연 물질로 매립하고 활성 영역 상부의 절연 물질을 제거하는 과정에서 평탄화 특성을 향상시킬 수 있는 반도체 소자의 소자 분리막 형성 방법에 관한 것이다.The present invention relates to a method of forming a device isolation layer of a semiconductor device, and more particularly, to a method of forming a device isolation layer of a semiconductor device capable of improving planarization characteristics in a process of filling a trench with an insulating material and removing an insulating material over an active region. .

소자의 집적도가 높아짐에 따라, 소자 분리막 형성 시 버즈 빅(Bird's beak)이 발생되어 활성 영역이 좁아지는 LOCOS 공정의 문제점을 해결하기 위하여, STI(Shallow Trench Isolation) 공정으로 소자 분리막을 형성하고 있다. STI 공정을 이용하여 소자 분리막을 형성하는 방법을 구체적으로 설명하면 다음과 같다.As the integration of devices increases, in order to solve the problem of the LOCOS process in which a bird's beak is generated when the device isolation layer is formed and the active region is narrowed, the device isolation layer is formed by a shallow trench isolation (STI) process. A method of forming the device isolation layer using the STI process will be described in detail below.

도 1a 내지 도 1d는 종래 기술에 따른 반도체 소자의 소자 분리막 형성 방법을 설명하기 위한 소자의 단면도들이다.1A to 1D are cross-sectional views of devices for describing a method of forming a device isolation layer of a semiconductor device according to the prior art.

도 1a를 참조하면, 반도체 기판(101) 상에 산화막(도시되지 않음) 및 질화막(도시되지 않음)을 순차적으로 형성한 후, 소자 분리 마스크를 이용한 식각 공정으로 질화막 및 산화막을 순차적으로 패터닝하여 패드 질화막 패턴(103) 및 패드 산화막 패턴(102)을 형성한다. 이로 인해, 반도체 기판(101)의 소자 분리 영역이 노출된다. Referring to FIG. 1A, an oxide film (not shown) and a nitride film (not shown) are sequentially formed on a semiconductor substrate 101, and then the nitride film and the oxide film are sequentially patterned by an etching process using an element isolation mask. The nitride film pattern 103 and the pad oxide film pattern 102 are formed. As a result, the device isolation region of the semiconductor substrate 101 is exposed.

이어서, 트렌치 식각 공정으로 반도체 기판(101)의 소자 분리 영역을 소정 깊이까지 식각하여 트렌치(104)를 형성한다. 이후, 트렌치(104)가 완전히 매립되도록 전체 상부에 절연 물질층(105)을 형성한다. Next, the trench 104 may be formed by etching the device isolation region of the semiconductor substrate 101 to a predetermined depth by a trench etching process. A layer of insulating material 105 is then formed over the entirety so that the trench 104 is completely buried.

도 1b를 참조하면, 활성 영역인 패드 질화막 패턴(103) 상부의 절연 물질층을 제거하기 위하여 화학적 기계적 연마 공정을 실시해야 하는데, 절연 물질층(105)이 트렌치(104)로 매립되면서 소자 분리 영역과 활성 영역에는 단차가 발생된다. 이로 인해, 활성 영역에 잔류하는 절연 물질층(105)의 두께를 연마 목표 두께로 설정하여 화학적 기계적 연마 공정을 실시하면, 소자 분리 영역에 형성된 절연 물질층(트렌치에 형성된 절연 물질층)의 높이가 상대적으로 낮아져, 최종적으로 소자 분리막의 높이가 낮아지게 된다. Referring to FIG. 1B, a chemical mechanical polishing process should be performed to remove the insulating material layer on the pad nitride film pattern 103, which is an active region, and the device isolation region is formed by filling the trench 104 with the insulating material layer 105. And a step is generated in the active region. For this reason, when the chemical mechanical polishing process is performed by setting the thickness of the insulating material layer 105 remaining in the active region to the polishing target thickness, the height of the insulating material layer (insulating material layer formed in the trench) formed in the element isolation region is increased. As a result, the height of the isolation layer is lowered.

따라서, 이러한 문제점을 해결하기 위하여, 활성 영역인 패드 질화막 패턴(103) 상부의 절연 물질층(105)을 소정의 두께만큼 먼저 제거하여 소자 분리 영역과의 단차를 완화시킨다. 이러한 공정을 평탄화 식각(Planarization Etch) 공정이라 한다. Therefore, in order to solve this problem, the insulating material layer 105 on the pad nitride film pattern 103 which is the active region is first removed by a predetermined thickness to alleviate the step with the device isolation region. This process is called a planarization etch process.

이러한 평탄화 공정을 위해, 소자 분리 영역(트렌치) 상에 식각 마스크(106)를 형성한다. 이때, 식각 마스크(106)는 포토레지스트 패턴으로 형성할 수 있으며, 트렌치(104)의 폭과 같거나 가장자리의 일부가 활성 영역과 중첩되도록 형성할 수 있다. 한편, 소자 분리 영역과 활성 영역의 경계에는 트렌치(104)에 의해 발생된 단차에 의해 절연 물질층(106)의 표면이 경사진다. 이로 인해, 절연 물질층(106)의 경사면과 식각 마스크(106) 사이에 홈(Trench; 107)이 발생된다. For this planarization process, an etch mask 106 is formed on the device isolation region (trench). In this case, the etching mask 106 may be formed as a photoresist pattern, and may be formed to have the same width as the trench 104 or a part of the edge overlapping the active region. On the other hand, at the boundary between the device isolation region and the active region, the surface of the insulating material layer 106 is inclined by the step generated by the trench 104. As a result, a trench 107 is formed between the inclined surface of the insulating material layer 106 and the etching mask 106.

도 1c를 참조하면, 후속 공정에서 실시할 화학적 기계적 연마 공정의 마진을 고려하여, 평탄화 식각 공정으로 활성 영역인 패드 질화막 패턴(103) 상부의 절연 물질층(105)을 소정의 두께만큼 제거한다. 이때, 절연 물질층(105)이 평탄한 부분과 경사진 부분이 균일하게 식각되기 때문에, 절연 물질층(106)의 경사면과 식각 마스크(106) 사이에 홈(107)이 그대로 잔류된다. Referring to FIG. 1C, in consideration of a margin of a chemical mechanical polishing process to be performed in a subsequent process, the insulating material layer 105 on the pad nitride layer pattern 103, which is an active region, is removed by a predetermined thickness in a planarization etching process. At this time, since the flat portion and the inclined portion of the insulating material layer 105 are etched uniformly, the groove 107 remains between the inclined surface of the insulating material layer 106 and the etching mask 106.

이는, 도 2에 도시된 단면 사진에서도 확인할 수 있다.This can be confirmed in the cross-sectional photograph shown in FIG.

도 1d를 참조하면, 식각 마스크(도 1c의 106)를 제거한다. Referring to FIG. 1D, the etching mask (106 of FIG. 1C) is removed.

상기에서, 평탄화 식각 공정 시 절연 물질층(105)을 과도하게 식각하면, 홈(107)의 형태가 유지되면서 균일하게 식각되기 때문에, 평탄화 공정이나 그 이후의 공정에서 홈(106)이 형성된 영역 하부의 반도체 기판(101)에 손상이 발생될 수 있다. In the above, when the insulating material layer 105 is excessively etched during the planarization etching process, the groove 107 is uniformly etched while the shape of the grooves 107 is maintained. The semiconductor substrate 101 may be damaged.

또한, 평탄화 식각 공정의 경우, 패드 질화막 패턴(103) 상에 절연 물질층(105)을 소정의 두께만큼 잔류시켜야 하므로, 평탄화 식각 공정의 진행 시간을 정확하게 조절해야 한다. 하지만, 절연 물질층(105)의 공정 조건에 따른 변화(예를 들면, 두께의 변화)에 의해 평탄화 식각 공정의 진행 시간을 조절하기가 쉽지 않다. 이로 인해, 평탄화 식각 공정을 정확하게 제어하기가 어렵고, 시간 조절을 잘못하면 반도체 기판(101)에 손상이 발생될 수 있어 공정의 신뢰성 및 소자의 전기적 특성이 저하될 수 있다.In addition, in the planarization etching process, since the insulating material layer 105 must remain on the pad nitride layer pattern 103 by a predetermined thickness, the progress time of the planarization etching process must be accurately controlled. However, it is not easy to control the progress time of the planarization etching process by a change (for example, a change in thickness) of the insulating material layer 105 depending on the process conditions. As a result, it is difficult to accurately control the planarization etching process, and if the time adjustment is incorrect, damage to the semiconductor substrate 101 may occur, thereby reducing the reliability of the process and the electrical characteristics of the device.

이에 대하여, 본 발명이 제시하는 반도체 소자의 소자 분리막 형성 방법은 트렌치를 매립하기 위하여 전체 상부에 형성된 절연 물질층 상에 실리콘층을 형성하고 소자 분리 영역을 제외한 활성 영역의 실리콘층을 산화시켜 소자 분리 영역의 식각 선택비를 조절한 후, 습식 식각 방식을 이용한 평탄화 식각(Planarization Etch) 공정으로 패드 질화막 상부의 산화막 및 절연 물질층을 제거한 상태에서 화학적 기계적 연마 공정을 실시함으로써, 소자 분리막의 높이가 낮아지는 것을 방지하면서 소자 분리 영역과 활성 영역 사이에 형성되는 홈을 제거하여 화학적 기계적 연마 공정의 마진을 확보하고 공정의 신뢰성 및 소자의 전기적 특성을 향상시킬 수 있다. On the other hand, in the method of forming an isolation layer of a semiconductor device according to the present invention, a silicon layer is formed on an insulating material layer formed over the entire surface to fill a trench, and the device isolation is oxidized by oxidizing the silicon layer in the active region except for the isolation region. After adjusting the etch selectivity of the region, a chemical mechanical polishing process is performed by removing the oxide layer and the insulating material layer on the upper surface of the pad nitride layer by a planarization etching process using a wet etching method, thereby reducing the height of the device isolation layer. By preventing the loss of the groove formed between the device isolation region and the active region can be removed to secure a margin of the chemical mechanical polishing process and improve the reliability of the process and the electrical characteristics of the device.

본 발명의 실시예에 따른 반도체 소자의 소자 분리막 형성 방법은 소자 분리 영역이 정의된 패드 산화막 패턴 및 패드 질화막 패턴을 반도체 기판 상에 적층 구조로 형성하는 단계와, 반도체 기판의 소자 분리 영역에 트렌치를 형성하는 단계와, 트렌치가 매립되도록 전체 상부에 절연 물질층을 형성하는 단계와, 소자 분리 영역과 활성 영역의 식각 선택비가 달라지도록 소자 분리 영역에 실리콘층을 형성하는 단계와, 식각 선택비의 차이를 이용한 평탄화 식각 공정으로 패드 질화막 상부의 절연 물질층을 제거하는 단계, 및 화학적 기계적 연마 공정으로 전체 상부를 평탄화하는 단계를 포함한다.The method of forming a device isolation layer of a semiconductor device according to an embodiment of the present invention includes forming a pad oxide layer pattern and a pad nitride layer pattern having a device isolation region in a stacked structure on a semiconductor substrate, and forming a trench in the device isolation region of the semiconductor substrate. Forming an insulating material layer over the entire portion to fill the trench; forming a silicon layer on the device isolation region so that the etching selectivity of the device isolation region and the active region is different; Removing the insulating material layer on the upper surface of the pad nitride layer by a planarization etching process using the planarization etching process, and planarizing the entire upper part by a chemical mechanical polishing process.

상기에서, 실리콘층은 저압 화학기상 증착법으로 비정질실리콘을 증착하여 형성할 수 있으며, 저압 화학기상 증착 공정은 400℃ 내지 600℃의 온도에서 실시할 수 있다. 그리고, 실리콘층은 200Å 내지 1000Å의 두께로 형성할 수 있다. In the above, the silicon layer may be formed by depositing amorphous silicon by a low pressure chemical vapor deposition method, the low pressure chemical vapor deposition process may be carried out at a temperature of 400 ℃ to 600 ℃. The silicon layer can be formed to a thickness of 200 kPa to 1000 kPa.

한편, 소지 분리 영역에 실리콘층을 형성하는 단계는, 절연 물질층 상부에 실리콘층을 형성하는 단계와, 소자 분리 영역에 이온주입 마스크를 형성하는 단계와, 활성 영역의 실리콘층을 산화시켜 산화막을 형성하는 단계, 및 이온주입 마스크를 제거하는 단계를 포함한다. On the other hand, forming the silicon layer in the substrate isolation region, forming a silicon layer on the insulating material layer, forming an ion implantation mask in the device isolation region, and oxidizing the silicon layer of the active region to form an oxide film Forming and removing the ion implantation mask.

여기서, 산화막을 형성하는 단계는 실리콘층에 산소를 주입하는 O2 이온 주입 공정으로 진행될 수 있다. O2 이온 주입 공정은 60KeV 내지 130KeV의 이온주입 에너지로 1E12atoms/cm2 내지 1E16atoms/cm2의 O2를 주입할 수 있으며, O2를 수직으로 주입하거나 30도 이내의 경사각으로 주입할 수 있다.Here, the forming of the oxide film may be performed by an O 2 ion implantation process of injecting oxygen into the silicon layer. O 2 ion implantation step can be injected into the tilt angle of the 1E12atoms / cm 2 to 1E16atoms / cm can be injected 2 in O 2, within the vertical injection into or 30 the O 2 with an ion implantation energy of 60KeV to 130KeV.

평탄화 식각 공정은 소자 분리 영역에 형성된 이온 주입층에 의해 높은 선택비를 유지하면서 습식 식각 방식으로 활성 영역의 절연 물질층만을 선택적으로 제거할 수 있다.The planarization etching process may selectively remove only the insulating material layer of the active region by a wet etching method while maintaining a high selectivity by the ion implantation layer formed in the device isolation region.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 설명하기로 한다. 그러나, 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 본 발명의 범위가 다음에 상술하는 실시예에 한정되는 것은 아니다. 단지 본 실시예는 본 발명의 개시가 완전하도록 하며 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명의 범위는 본원의 특허 청구 범위에 의해서 이해되어야 한다. Hereinafter, with reference to the accompanying drawings will be described a preferred embodiment of the present invention. However, the present invention is not limited to the embodiments disclosed below, but may be implemented in various forms, and the scope of the present invention is not limited to the embodiments described below. Only this embodiment is provided to complete the disclosure of the present invention and to fully inform those skilled in the art, the scope of the present invention should be understood by the claims of the present application.

한편, 어떤 막이 다른 막 또는 반도체 기판의 '상'에 있다라고 기재되는 경우에 상기 어떤 막은 상기 다른 막 또는 반도체 기판에 직접 접촉하여 존재할 수 있고, 또는 그 사이에 제3의 막이 개재되어질 수도 있다. 또한 도면에서 각 층의 두께나 크기는 설명의 편의 및 명확성을 위하여 과장되었다. 도면 상에서 동일 부호는 동일한 요소를 지칭한다.On the other hand, when a film is described as being "on" another film or semiconductor substrate, the film may exist in direct contact with the other film or semiconductor substrate, or a third film may be interposed therebetween. In the drawings, the thickness or size of each layer is exaggerated for clarity and convenience of explanation. Like numbers refer to like elements on the drawings.

도 3a 내지 도 3h는 본 발명의 실시예에 따른 반도체 소자의 소자 분리막 형성 방법을 설명하기 위한 소자의 단면도들이다.3A to 3H are cross-sectional views of devices for describing a method of forming a device isolation film of a semiconductor device according to an embodiment of the present invention.

도 3a를 참조하면, 반도체 기판(301) 상에 산화막(도시되지 않음) 및 질화막(도시되지 않음)을 순차적으로 형성한 후, 소자 분리 마스크를 이용한 식각 공정으로 질화막 및 산화막을 순차적으로 패터닝하여 패드 질화막 패턴(303) 및 패드 산화막 패턴(302)을 형성한다. 이로 인해, 반도체 기판(301)의 소자 분리 영역이 노출된다. 이때, 패드 산화막 패턴(302)은 100Å 내지 200Å의 두께로 형성할 수 있다. 한편, 패드 질화막 패턴(303)은 후속 연마 공정 시 제거될 두께를 고려하여, 공정 마진을 확보할 수 있는 두께로 형성하는 것이 바람직하며, 1000Å 내지 1800Å의 두께로 형성할 수 있다.Referring to FIG. 3A, after an oxide film (not shown) and a nitride film (not shown) are sequentially formed on a semiconductor substrate 301, the nitride film and the oxide film are sequentially patterned by an etching process using an element isolation mask. The nitride film pattern 303 and the pad oxide film pattern 302 are formed. As a result, the device isolation region of the semiconductor substrate 301 is exposed. In this case, the pad oxide film pattern 302 may be formed to have a thickness of 100 kPa to 200 kPa. On the other hand, the pad nitride film pattern 303 is preferably formed to a thickness that can secure a process margin in consideration of the thickness to be removed during the subsequent polishing process, it can be formed to a thickness of 1000 ~ 1800Å.

이어서, 트렌치 식각 공정으로 반도체 기판(301)의 소자 분리 영역을 소정 깊이까지 식각하여 트렌치(304)를 형성한다. 트렌치는 3000Å 내지 4000Å의 깊이로 형성할 수 있다. 이후, 트렌치(304)가 완전히 매립되도록 전체 상부에 절연 물질층(305)을 형성한다. 한편, 패드 질화막 패턴(303) 상부의 절연 물질층(305)은 후속 공정에서 실시되는 평탄화 식각 공정 시 완전히 제거되므로, 종래보다 낮은 두께로 형성할 수 있으며 4000Å 내지 6000Å의 두께로 형성할 수 있다.Next, the trench 304 is formed by etching the device isolation region of the semiconductor substrate 301 to a predetermined depth by a trench etching process. The trench may be formed to a depth of 3000 kPa to 4000 kPa. Thereafter, an insulating material layer 305 is formed over the entirety so that the trench 304 is completely buried. Meanwhile, since the insulating material layer 305 on the pad nitride film pattern 303 is completely removed during the planarization etching process performed in a subsequent process, the insulating material layer 305 may be formed to a thickness lower than that of the conventional art and may be formed to a thickness of 4000 to 6000 Å.

도 3b를 참조하면, 절연 물질층(305) 상에 실리콘층(306)을 형성한다. 실리콘층(306)은 저압 화학기상 증착법(Low Pressure Chemical Vapor Deposition)으로 비정질실리콘을 증착하여 형성할 수 있다. 한편, 실리콘층(306)을 형성하기 위한 저압 화학기상 증착 공정은 400℃ 내지 600℃의 온도에서 실시할 수 있으며, 실리콘층(306)을 200Å 내지 1000Å의 두께로 형성할 수 있다. Referring to FIG. 3B, a silicon layer 306 is formed on the insulating material layer 305. The silicon layer 306 may be formed by depositing amorphous silicon by a low pressure chemical vapor deposition (Low Pressure Chemical Vapor Deposition). Meanwhile, the low pressure chemical vapor deposition process for forming the silicon layer 306 may be performed at a temperature of 400 ° C. to 600 ° C., and the silicon layer 306 may be formed to a thickness of 200 μm to 1000 μm.

여기서, 실리콘층(306)은 소자 분리 영역의 식각 선택비를 조절하기 위하여 형성된다. 좀 더 구체적으로 설명하면 다음과 같다. Here, the silicon layer 306 is formed to adjust the etching selectivity of the device isolation region. More specifically, it is as follows.

활성 영역인 패드 질화막 패턴(303) 상부의 절연 물질층을 제거하기 위하여 화학적 기계적 연마 공정을 실시해야 하는데, 절연 물질층(305)이 트렌치(304)로 매립되면서 소자 분리 영역과 활성 영역에는 단차가 발생된다. 이로 인해, 활성 영역에 잔류하는 절연 물질층(305)의 두께를 연마 목표 두께로 설정하여 화학적 기계적 연마 공정을 실시하면, 소자 분리 영역에 형성된 절연 물질층(트렌치에 형성된 절연 물질층)의 높이가 상대적으로 낮아져, 최종적으로 소자 분리막의 높이가 낮아지게 된다. In order to remove the insulating material layer on the pad nitride layer pattern 303, which is an active region, a chemical mechanical polishing process should be performed. As the insulating material layer 305 is filled with the trench 304, there is a step between the device isolation region and the active region. Is generated. Therefore, when the chemical mechanical polishing process is performed by setting the thickness of the insulating material layer 305 remaining in the active region to the polishing target thickness, the height of the insulating material layer (insulating material layer formed in the trench) formed in the element isolation region is increased. As a result, the height of the isolation layer is lowered.

따라서, 이러한 문제점을 해결하기 위하여, 화학적 기계적 연마 공정을 실시하기 전에, 소자 분리 영역을 제외한 활성 영역에 형성된 절연 물질층을 먼저 제거하여 소자 분리 영역과의 단차를 완화시킨다. 이러한 공정을 평탄화 식각(Planarization Etch) 공정이라 한다. Therefore, in order to solve this problem, before performing the chemical mechanical polishing process, the insulating material layer formed in the active region except the device isolation region is first removed to alleviate the step with the device isolation region. This process is called a planarization etch process.

이러한 평탄화 식각 공정 시 활성 영역 상부의 절연 물질층만이 제거되도록 하기 위하여 소자 분리 영역과 활성 영역의 식각 선택비 차이를 발생시켜야 하는데, 실리콘층(306)은 이러한 식각 선택비의 차이를 발생시키기 위하여 형성된다. In this planarization etching process, in order to remove only the insulating material layer over the active region, a difference in etching selectivity between the device isolation region and the active region must be generated, and the silicon layer 306 is formed to generate such a difference in etching selectivity. do.

도 3c를 참조하면, 소자 분리 영역(트렌치) 상에 이온주입 마스크(307)를 형성한다. 이때, 이온주입 마스크(307)는 포토레지스트 패턴으로 형성할 수 있으며, 트렌치(304)의 폭과 같거나 가장자리의 일부가 활성 영역과 중첩되도록 형성할 수 있다. 예를 들면, 활성 영역과 0.5um 이하로 중첩되도록 이온주입 마스크(307)를 형성하는 것이 바람직하다.Referring to FIG. 3C, an ion implantation mask 307 is formed on the device isolation region (trench). In this case, the ion implantation mask 307 may be formed as a photoresist pattern, and may be formed to have the same width as the trench 304 or a part of the edge overlapping the active region. For example, it is preferable to form the ion implantation mask 307 so as to overlap the active region to 0.5 um or less.

도 3d를 참조하면, 활성 영역인 패드 질화막 패턴(303) 상의 실리콘층을 산화시켜 절연 물질층(305)과 식각 선택비가 거의 비슷한 산화막(308)으로 형성한다. 이로써, 소자 분리 영역에는 실리콘층(306)이 그대로 잔류되고 활성 영역에는 실리콘층이 산화막(308)으로 형성되어, 소자 분리 영역과 활성 영역의 식각 선택비가 달라진다.Referring to FIG. 3D, the silicon layer on the pad nitride layer pattern 303 which is an active region is oxidized to form an oxide layer 308 having an etching selectivity substantially similar to that of the insulating material layer 305. As a result, the silicon layer 306 remains in the device isolation region and the silicon layer 308 is formed in the active region, thereby changing the etching selectivity of the device isolation region and the active region.

활성 영역의 실리콘층은 산화막으로 형성하는 방법은 여러 가지가 있으며, 그 중에서 O2 이온 주입 공정을 실시하여 실리콘층을 산화막으로 형성할 수도 있다. 이때, O2 이온 주입 공정은 60KeV 내지 130KeV의 이온주입 에너지로 1E12atoms/cm2 내지 1E16atoms/cm2의 O2를 주입하는 방식으로 실시될 수 있으며, O2를 수직으로 주입하거나 30도 이내의 경사각으로 주입할 수 있다.The silicon layer in the active region may be formed of an oxide film in various ways. Among them, the silicon layer may be formed of an oxide film by performing an O 2 ion implantation process. In this case, O 2 ion implantation process 60KeV to 1E12atoms the ion implantation energy of 130KeV / cm 2 to 1E16atoms / cm 2 of O may be performed in a manner of injecting 2, O 2 to the inclination angle of the injection, or less than 30 degrees in the vertical Can be injected by

도 3e를 참조하면, 이온주입 마스크(도 3d의 307)를 제거한다. Referring to FIG. 3E, the ion implantation mask 307 of FIG. 3D is removed.

도 3f를 참조하면, 후속 공정에서 실시할 화학적 기계적 연마 공정의 마진을 고려하여, 평탄화 식각 공정으로 활성 영역인 패드 질화막 패턴(303) 상부의 산화막 및 절연 물질층을 완전히 제거한다. 이때, 소자 분리 영역에서는, 절연 물질층(305)의 표면에 형성된 실리콘층(306)의 식각 선택비 차이로 인하여, 트렌치(304)에 매립된 절연 물질층(305)이 식각되지 않고 그대로 잔류된다.Referring to FIG. 3F, in consideration of a margin of a chemical mechanical polishing process to be performed in a subsequent process, a planar etching process completely removes an oxide layer and an insulating material layer on the pad nitride layer pattern 303 which are active regions. In this case, in the device isolation region, due to the difference in the etching selectivity of the silicon layer 306 formed on the surface of the insulating material layer 305, the insulating material layer 305 embedded in the trench 304 remains unetched. .

한편, 평탄화 식각 공정은, 공정 시간을 조절하여 절연 물질층(305)을 일부 잔류시키던 종래와는 달리, 습식 식각 방식으로 평탄화 식각 공정을 진행하여 패드 질화막 패턴(303) 상부의 절연 물질층을 완전히 제거한다. 따라서, 절연 물질층(305)을 형성하는 과정에서 발생되는 공정 변화를 고려하지 않고 평탄화 식각 공정을 진행할 수 있기 때문에, 보다 용이하게 평탄화 식각 공정을 실시할 수 있으며 공정의 신뢰성도 향상시킬 수 있다. On the other hand, the planarization etching process is different from the conventional method in which the insulating material layer 305 is partially left by adjusting the process time, and the planarization etching process is performed by a wet etching method to completely remove the insulating material layer on the pad nitride layer pattern 303. Remove Therefore, the planar etching process may be performed without considering the process change generated in the process of forming the insulating material layer 305, so that the planarization etching process may be performed more easily and the reliability of the process may be improved.

평탄화 식각 공정을 좀 더 구체적으로 예를 들어 설명하면, 500mTorr 내지 300mTorr의 압력에서 800W 내지 1500W의 파워를 인가하고, CHF3/CF4/Ar 가스를 이용하여 실시할 수 있다. 이때, CHF3의 공급 유량은 20sccm 내지 60sccm으로 설정하고, CF4의 공급 유량은 30sccm 내지 90sccm으로 설정하고, Ar의 공급 유량은 1000sccm 내지 1500sccm으로 설정할 수 있다.For example, the planarization etching process may be described in more detail by applying a power of 800 W to 1500 W at a pressure of 500 mTorr to 300 mTorr, and using CHF 3 / CF 4 / Ar gas. At this time, the supply flow rate of CHF 3 may be set to 20 sccm to 60 sccm, the supply flow rate of CF 4 may be set to 30 sccm to 90 sccm, and the supply flow rate of Ar may be set to 1000 sccm to 1500 sccm.

도 3g를 참조하면, 화학적 기계적 연마 공정을 실시하여, 절연 물질층(305)의 표면에 형성된 실리콘층(도 3e의 306)과, 패드 질화막 패턴(303)보다 높게 돌출된 절연 물질층(305)을 제거하여 전체 상부 표면을 평탄화한다. Referring to FIG. 3G, a chemical mechanical polishing process is performed to form a silicon layer (306 of FIG. 3E) formed on the surface of the insulating material layer 305 and the insulating material layer 305 protruding higher than the pad nitride film pattern 303. Remove the to flatten the entire top surface.

도 3h를 참조하면, 패드 질화막 패턴(도 3d의 303) 및 패드 산화막 패턴(302)을 제거한다. 이로써, 절연 물질층(305)으로 이루어진 소자 분리막이 형성된다. Referring to FIG. 3H, the pad nitride film pattern 303 of FIG. 3D and the pad oxide film pattern 302 are removed. As a result, an isolation layer formed of the insulating material layer 305 is formed.

상술한 바와 같이, 본 발명은 트렌치를 매립하기 위하여 전체 상부에 형성된 절연 물질층 상에 실리콘층을 형성하고 소자 분리 영역을 제외한 활성 영역의 실리콘층을 산화시켜 소자 분리 영역의 식각 선택비를 조절한 후, 습식 식각 방식을 이용한 평탄화 식각(Planarization Etch) 공정으로 패드 질화막 상부의 산화막 및 절연 물질층을 제거한 상태에서 화학적 기계적 연마 공정을 실시함으로써, 소자 분리막의 높이가 낮아지는 것을 방지하면서 소자 분리 영역과 활성 영역 사이에 형성되는 홈을 제거하여 화학적 기계적 연마 공정의 마진을 확보하고 공정의 신뢰성 및 소자의 전기적 특성을 향상시킬 수 있다.As described above, in order to fill the trench, the present invention forms a silicon layer on an insulating material layer formed on the entire upper portion, and oxidizes the silicon layer of the active region excluding the device isolation region to control the etching selectivity of the device isolation region. Then, by performing a chemical mechanical polishing process in a state in which the oxide film and the insulating material layer on the pad nitride film is removed by a planarization etching process using a wet etching method, the device isolation region and the device isolation layer are prevented from being lowered. By removing the grooves formed between the active regions, it is possible to secure a margin of the chemical mechanical polishing process and improve the reliability of the process and the electrical characteristics of the device.

도 1a 내지 도 1d는 종래 기술에 따른 반도체 소자의 소자 분리막 형성 방법을 설명하기 위한 소자의 단면도들이다.1A to 1D are cross-sectional views of devices for describing a method of forming a device isolation layer of a semiconductor device according to the prior art.

도 2는 단차에 의해 소자 분리막을 형성하기 위한 절연 물질층에 홈이 발생된 상태를 보여주는 단면 셈 사진이다.FIG. 2 is a cross-sectional view illustrating a state in which grooves are formed in an insulating material layer for forming an isolation layer due to a step.

도 3a 내지 도 3h는 본 발명의 실시예에 따른 반도체 소자의 소자 분리막 형성 방법을 설명하기 위한 소자의 단면도들이다.3A to 3H are cross-sectional views of devices for describing a method of forming a device isolation film of a semiconductor device according to an embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

101, 301 : 반도체 기판 102, 302 : 패드 산화막 패턴101, 301: semiconductor substrate 102, 302: pad oxide film pattern

103, 303 : 패드 질화막 패턴 104, 304 : 트렌치103, 303: pad nitride film pattern 104, 304: trench

105, 305 : 절연 물질층 106 : 식각 마스크105, 305: insulating material layer 106: etching mask

107 : 홈 306 : 실리콘층107: groove 306: silicon layer

307 : 이온주입 마스크 308 : 산화막307: ion implantation mask 308: oxide film

Claims (5)

반도체 기판 상에 패드막을 형성하고, 상기 패드막 및 반도체 기판의 소정 깊이를 패터닝하여, 상기 반도체 기판에 소자분리영역인 트렌치를 형성하면서 동시에 활성영역이 정의되는 단계; Forming a pad film on the semiconductor substrate, patterning a predetermined depth of the pad film and the semiconductor substrate to form a trench as an isolation region in the semiconductor substrate, and defining an active region at the same time; 상기 트렌치가 매립되도록 전체 상부에 절연 물질층을 형성하는 단계;Forming an insulating material layer over the entire trench to fill the trench; 상기 절연 물질층 상부에 실리콘층을 형성하는 단계;Forming a silicon layer over the insulating material layer; 상기 소자 분리 영역에 이온주입 마스크를 형성하는 단계;Forming an ion implantation mask in the device isolation region; 상기 활성 영역의 상기 실리콘층을 산화시켜 산화막을 형성하여 상기 실리콘층을 상기 소자 분리 영역에만 잔류시키는 단계;Oxidizing the silicon layer in the active region to form an oxide film so that the silicon layer remains only in the device isolation region; 상기 이온주입 마스크를 제거하는 단계;Removing the ion implantation mask; 상기 활성영역상에 형성된 산화막과 상기 소자분리영역상에 형성된 실리콘층의 식각선택비의 차이를 이용한 평탄화 식각공정으로 상기 패드막 상부의 상기 절연 물질층을 제거하는 단계; 및Removing the insulating material layer on the pad layer by a planarization etching process using a difference between an etch selectivity of an oxide film formed on the active region and a silicon layer formed on the device isolation region; And 화학적 기계적 연마 공정으로 전체 상부를 평탄화하는 단계를 포함하는 반도체 소자의 소자 분리막 형성 방법.A method of forming a device separator of a semiconductor device comprising the step of planarizing the entire upper portion by a chemical mechanical polishing process. 제 1 항에 있어서,The method of claim 1, 상기 실리콘층은 저압 화학기상 증착법으로 비정질실리콘을 증착하여 형성하는 반도체 소자의 소자 분리막 형성 방법.Wherein the silicon layer is formed by depositing amorphous silicon by a low pressure chemical vapor deposition method. 제 1 항에 있어서, The method of claim 1, 상기 산화막을 형성하는 단계는 상기 실리콘층에 산소를 주입하는 O2 이온 주입 공정으로 진행되는 반도체 소자의 소자 분리막 형성 방법.The forming of the oxide film is a method of forming a device isolation layer of a semiconductor device which is performed in the O 2 ion implantation process of injecting oxygen into the silicon layer. 제 3 항에 있어서, The method of claim 3, wherein 상기 O2 이온 주입 공정은 60KeV 내지 130KeV의 이온주입 에너지로 1E12atoms/cm2 내지 1E16atoms/cm2의 O2를 주입하는 반도체 소자의 소자 분리막 형성 방법.The O 2 ion implantation process 60KeV to 1E12atoms / cm 2 to the device isolation film forming method of the semiconductor device of injecting O 2 of 1E16atoms / cm 2 with an ion implantation energy of 130KeV. 제 4 항에 있어서, The method of claim 4, wherein 상기 O2 이온 주입 공정은 상기 O2를 수직으로 주입하거나 30도 이내의 경사각으로 주입하는 반도체 소자의 소자 분리막 형성 방법.The method of forming an isolation layer of a semiconductor device in which the O 2 ion implantation process implants the O 2 vertically or at an inclination angle within 30 degrees.
KR10-2003-0048828A 2003-07-16 2003-07-16 Method of forming an isolation layer in a semiconductor device KR100501647B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2003-0048828A KR100501647B1 (en) 2003-07-16 2003-07-16 Method of forming an isolation layer in a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2003-0048828A KR100501647B1 (en) 2003-07-16 2003-07-16 Method of forming an isolation layer in a semiconductor device

Publications (2)

Publication Number Publication Date
KR20050009495A KR20050009495A (en) 2005-01-25
KR100501647B1 true KR100501647B1 (en) 2005-07-18

Family

ID=37222220

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0048828A KR100501647B1 (en) 2003-07-16 2003-07-16 Method of forming an isolation layer in a semiconductor device

Country Status (1)

Country Link
KR (1) KR100501647B1 (en)

Also Published As

Publication number Publication date
KR20050009495A (en) 2005-01-25

Similar Documents

Publication Publication Date Title
JP4813055B2 (en) Method for manufacturing flash memory device
JP4497860B2 (en) Method for forming element isolation film of semiconductor element
KR100341480B1 (en) Method for self-aligned shallow trench isolation
KR20020042251A (en) Fabrication method of isolation structure for semiconductor device
KR100501647B1 (en) Method of forming an isolation layer in a semiconductor device
KR100708530B1 (en) Method for preventing divot pattern during the shallow trench isolation process
KR100894791B1 (en) Method of forming a isolation layer in a semiconductor device
KR20060038620A (en) Method for fabricating semiconductor device
KR101016352B1 (en) Method of forming an isolation layer in a semiconductor device
KR100979233B1 (en) Method for forming element isolation layer of semiconductor device
KR20050009496A (en) Method of forming an isolation layer in a semiconductor device
KR20050009490A (en) Method of forming an isolation layer in a semiconductor device
KR100454849B1 (en) Fabrication method of semiconductor
KR100486111B1 (en) Method for forming the Isolation Layer of Semiconductor Device
KR20080060318A (en) Method for forming isolation layer in semiconductor device
KR100507380B1 (en) Method of forming an isolation layer in a semiconductor device
KR20050009486A (en) Method of forming an isolation layer in a semiconductor device
KR100473736B1 (en) Method of forming a isolation layer in a semiconductor device
KR100613347B1 (en) Method for forming shallow trench isolation with corner rounding
KR100528448B1 (en) Shallow trench isolation method of semiconductor device
KR100672768B1 (en) Method for forming isolation in semiconductor device
KR100567027B1 (en) Method for minimizing hump in shallow trench isolation
KR100623876B1 (en) Method for forming the shallow trench isolation
KR100444608B1 (en) Method of forming a isolation layer in a semiconductor device
KR100480896B1 (en) Method for manufacturing STI of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130620

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140618

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150617

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160620

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20170626

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20180618

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20190619

Year of fee payment: 15