KR100483513B1 - 하이브리드 위상 쉬프트 마스크 - Google Patents

하이브리드 위상 쉬프트 마스크 Download PDF

Info

Publication number
KR100483513B1
KR100483513B1 KR10-2001-0023106A KR20010023106A KR100483513B1 KR 100483513 B1 KR100483513 B1 KR 100483513B1 KR 20010023106 A KR20010023106 A KR 20010023106A KR 100483513 B1 KR100483513 B1 KR 100483513B1
Authority
KR
South Korea
Prior art keywords
shape
mask
pattern
substrate
phase shift
Prior art date
Application number
KR10-2001-0023106A
Other languages
English (en)
Other versions
KR20010106197A (ko
Inventor
첸장풍
컬드웰라저
레이디그토마스
웜플러쿠르트이.
Original Assignee
에이에스엠엘 마스크툴즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 마스크툴즈 비.브이. filed Critical 에이에스엠엘 마스크툴즈 비.브이.
Publication of KR20010106197A publication Critical patent/KR20010106197A/ko
Application granted granted Critical
Publication of KR100483513B1 publication Critical patent/KR100483513B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/28Phase shift masks [PSM]; PSM blanks; Preparation thereof with three or more diverse phases on the same PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/707Chucks, e.g. chucking or un-chucking operations or structural details

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

광학 노광도구를 사용하여 집적회로에 대응하는 리소그래피 패턴을 마스크로부터 반도체 기판에 광학적으로 전달하기 위한 하이브리드 마스크를 형성하는 방법. 상기 방법은 저 투과 위상 쉬프트 마스크(패턴)와 비위상쉬프팅 마스크(패턴) 중 하나를 이용하여 마스크상에 적어도 하나의 비임계적인 형상을 형성하는 단계와, 고 투과 위상 쉬프트 마스크(패턴)를 이용하여 마스크상에 적어도 하나의 임계적인 형상을 형성하는 단계를 포함한다.

Description

하이브리드 위상 쉬프트 마스크{HYBRID PHASE-SHIFT MASK}
본 발명은 리소그래피용 포토마스크("마스크")의 설계에 관한 것으로 특히, 단일 노광으로 위상 쉬프트되거나 위상 쉬프트되지 않은 형상(feature)의 두 가지 모두의 형태를 제공하는 하이브리드 마스크의 사용에 관한 것이다.
또한 본 발명은, 예를 들어
- 방사 투영 빔을 공급하는 방사 시스템;
- 마스크를 고정시키는 마스크 테이블;
- 기판을 고정시키는 기판 테이블; 및
- 마스크상의 적어도 일부의 패턴을 기판의 목표영역으로 투영시키는 투영 시스템을 포함하여 이루어진 리소그래피 장치에서의 그러한 마스크를 사용함에 관한 것이다.
예컨대, 리소그래피 투영장치는 집적회로(IC)의 제조에 사용될 수 있다. 이 경우에, 마스크는 집적회로의 개별층에 대응되는 회로패턴을 담고 있을 것이며, 이 패턴은 방사선감지재료(레지스트)층으로 도포되어진 기판(실리콘 웨이퍼)상의 목표영역(1이상의 다이로 구성)에 결상될 수 있을 것이다. 일반적으로 한 장의 웨이퍼에는 목표영역들이 인접해 있는 전체적인 네트워크가 형성되며, 이들 목표영역은 투영 시스템을 거쳐 한번에 하나씩 연속적으로 조사된다. 한 형태의 리소그래피 투영장치에서는 한 번에 목표영역상에 전체 마스크 패턴을 노광함으로써 각 목표영역이 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper)라고 한다. 한편, 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)로 불리워지는 대체장치에서는 투영 빔 하에서 소정의 기준방향("스캐닝" 방향)으로 레티클 패턴을 점진적으로 스캐닝하는 동시에, 상기 스캐닝 방향과 같은 방향 또는 반대 방향으로 웨이퍼 테이블을 동기화시켜 스캐닝함으로써 각 목표영역이 조사된다. 일반적으로 투영 시스템은 배율인자(magnification factor:M)(일반적으로 <1)를 가지므로 웨이퍼 테이블이 스캐닝되는 속도(V)는 레티클 테이블이 스캐닝되는 속도의 인자 M배가 된다. 여기에 서술된 리소그래피장치와 관련된 보다 상세한 정보는 본 명세서에서 참조하고 있는 US 6,046,792호에서 찾을 수 있다.
리소그래피 투영장치를 사용하는 제조공정에서, 마스크 패턴은 방사선감지재료(레지스트)층이 최소한의 부분에라도 도포된 기판상에 결상된다. 이 결상단계에 앞서, 기판은 전처리(priming), 레지스트 도포 및 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광후에는, 후노광 베이크(PEB), 현상, 하드 베이크 및 결상된 형상의 측정/검사와 같은 또 다른 절차를 거칠 것이다. 이러한 일련의 절차는, 예를 들어 IC 디바이스의 개별 층을 패터닝하는 기초로서 사용된다. 그런 다음 이렇게 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 연마 등과 같은 개별층을 마무리하기 위한 다양한 모든 공정을 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 공정 또는 그 변형 공정이 반복되어져야만 할 것이다. 종국에는, 디바이스의 배열이 기판(웨이퍼)상에 존재하게 될 것이다. 이들 디바이스는 다이싱 또는 소잉 등의 기술에 의해 서로 분리된 후에, 각각의 디바이스가 운반 장치에 탑재되고 핀에 접속될 수 있다. 이와 같은 공정에 관한 추가 정보는 예를 들어, "Microchip Fabrication: A Practical Guide to Semiconductor Processing (3판, Peter van Zant 저, McGraw Hill 출판사, 1997, ISBN 0-07-067250-4)" 으로부터 얻을 수 있으며, 본 명세서에서도 참조된다.
설명을 간단히 하기 위해, 상기 투영 시스템은 이후에 "렌즈"라고 언급될 것이다. 하지만 이 용어는 예를 들어, 굴절 광학, 반사 광학 및 카타디옵트릭 시스템을 포함한 다양한 형태의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 또한 상기 방사 시스템은 방사 투영 빔의 지향, 성형 또는 제어하기 위한 임의의 설계 형태에 따라 동작하는 구성요소를 포함할 수 있고, 이후의 설명에서는 이들 구성요소에 대하여도 집합적으로 또는 개별적으로 "렌즈"라고 언급할 것이다. 또한, 상기 리소그래피장치는 두 개이상의 기판 테이블(및/또는 두 개이상의 마스크 테이블)을 구비하는 형태가 될 수도 있다. 이러한 "다중 스테이지" 장치에서는, 하나이상의 다른 테이블이 노광에 사용되고 있는 동안에 하나이상의 테이블에서는 그 예비단계가 수행되거나 그와 병행하여 부가적인 테이블이 사용될 수도 있다. 예를 들어, US 5,969,441호 및 WO 98/40791호에는 트윈 스테이지 리소그래피장치가 개시되어 있으며 본 명세서에서 참조된다.
본 명세서에서는 리소그래피장치 및 마스크의 사용에 대하여 집적회로의 제조에만 특정하여 언급하였으나, 이러한 장치와 마스크는 다른 여러 응용례를 가지고 있음은 명백히 이해될 것이다. 예를 들어, 상기 장치는 집적 광학 시스템, 자기영역 메모리용 유도 및 검출 패턴, 액정표시패널, 박막자기헤드 등의 제조에도 이용될 수 있다. 당업자라면, 전술한 기타 응용분야들을 고려할 때, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 용어가 "마스크", "기판" 및 "목표 영역" 등과 같은 좀 더 일반적인 용어로 각각 대체될 수 있음이 이해될 것이다.
본 명세서에서, "방사" 및 "빔"은 자외선(UV) 방사(예를 들어 365㎚, 248㎚, 193㎚, 157㎚ 또는 126㎚의 파장을 갖는) 및 EUV(극자외선 방사, 예컨대 5-20nm의 파장을 가진)를 포함한 모든 형태의 전자기 방사를 내포하는 것으로 사용되는 용어이다.
미국특허 제 5,340,700호(본 명세서에서 인용됨)는 이미지 분해에 의해 형성된 부해상도(sub-resolution) 형상을 프린팅하는 방법을 서술하고 있다. 보다 상세하게는, 상기 방법은 형상 에지가 서로로부터 충분히 멀리 떨어져 분리되게 하여 형상 에지의 에어리얼 이미지가 서로에 대하여 "비상관(non-correlated)"되도록 부해상도 형상을 더 큰 형상으로 제1분해하는 것을 개시하고 있다. 즉, 상기 에지는 광학적으로 "격리"되어 있다. 소정의 다중 노광 단계에서 그러한 분해된 마스크를 노광함으로써, 약 반 파장의 콘택트홀 형상이 우수하게 형성될 수 있음이 알려졌다. US 5,340,700호의 방법은 라인 형상을 프린팅하기 위하여 음성반응(negative-acting) 포토레지스트를 이용하는데, 이는 음성반응 포토레지스트는 본래 해상도가 더 떨어지기 때문에 다중 노광 이미지 분해방법이 콘택트홀 형상을 프린팅하는 데에는 가장 적합하다. 이 방법이 비록 매우 높은 인쇄 해상도 잠재력을 갖는다고 하지만, US 5,340,700호에 개시된 방법이 아직까지는 산업현장에서 널리 채용되고 있지 않은 바, 주된 이유는 이미지 분해의 상대적인 복잡성 때문이다. 더우기, 다중 노광 마스킹 단계를 이용한 어떠한 방법도 리소그래피 노광장치의 스루풋에는 부정적인 영향을 준다.
최근에는, 산업계에서 부노광파장(sub-exposure-wavelength) 제조를 위한 발전적인 대안으로서 위상 쉬프트 마스크("PSM")가 점차 채택되고 있다. 초기의 설계(Levenson 등, 1982) 이후로 해마다 많은 형태의 PSM이 개발되어 왔다. 그것들 중에서 두 개의 기본적인 형태의 PSM이 가장 많이 연구되고 있으며, 그것은 다름아닌 도 1a 및 도 1b에 도시된 교번 PSM("altPSM")과 도 2에 도시된 감쇠 PSM("attPSM)이다.
이미지 형성의 관점에서, 대략 1:1의 라인:공간 형상 비에 대하여 altPSM은 0차 회절 차수를 소거하고 두 개의 빔 즉, +/- 1차 회절 차수를 갖는 이미지 패턴을 형성한다. 이 형태의 PSM은 "강한" PSM이라고도 불린다. "약한" PSM은 이미지 형성을 위한 0차 회절 차수 성분의 존재를 의미한다. PSM이 강해질수록 0차 회절 차수 성분은 작아지며, 그 반대도 성립한다. 이론적으로 altPSM은 원래의 공간주파수의 두 배인 주파수에서 이미지 패턴을 형성할 수 있다. 따라서, 패턴 해상도는 두배로 선명해질 수 있다. altPSM은 최상의 해상도 개선 잠재력을 제공하기 때문에 "강한" PSM으로 불린다. altPSM에 대한 최고로 가능한 해상도 잠재력을 구현하기 위해서는, 상대적으로 간섭성의 조명을 사용하는 것이 일반적이다. 하지만, 이것은 이미 매우 강해진 광근접효과(OPE)를 강화시킨다. 이러한 강한 OPE는 임계치수(CD) 변화를 제어하기 힘든 주된 이유 때문에 넓은 범위의 형상 피치를 위하여는 altPSM의 사용을 기본적으로 제한한다.
도 1a 및 도 1b에 도시된 바와 같이, altPSM은 클리어 필드 또는 다크 필드 마스크중 하나가 될 수 있다. 두 도면 도 1a와 도 1b에 도시된 바와 같이, 형상(10)은 요소(14, 12)에 의해 형성된 0-π위상 쉬프팅 쌍의 사이에 배치된다. 도면에서 S는 마스크 기판(캐리어 플레이트)(예를 들어, 석영 또는 CaF2로 만들어진)을 나타내며, C는 크롬 영역을 나타낸다. 현재, 마스크 설계를 위한 클리어 필드 altPSM을 구현하는 데에는 풀어야할 과제가 있는데, 하나는 위상 충돌을 일으키지 않고 0-π위상 쌍을 부여하기는 거의 불가능하다는 것이며, 때로는 많은 설계 보완이 이루어져야만 하거나 해상도 증대의 효과가 실질적으로 감소되어야만 한다. 더우기, 의도하지 않은 영역에서 일어나는 위상 천이(phase transition)(π에서 0까지 또는 그 반대로)에 대하여 바람직하지 않은 레지스트 패턴이 형성될 것이다. 전술한 내용을 보정하기 위해서는, 고도로 복잡화된 위상천이가 이용되거나 이들 바람직하지 않은 레지스트 패턴을 제거하기 위한 부가적인 노광 마스크가 이용되어야 한다(예로써, 본 명세서에서 인용하고 있는 미국특허 US 5,573,890호 및 US 5,858,580호를 참조).
근래에는 다크 필드 구현에 상당히 주의를 기울이고 있다. 이것은 부분적으로 위상 부여 쟁점을 다루기가 더 쉽고 원치않는 레지스트 패턴이 제 2노광마스크에 의해 효과적으로 "트리밍(trimming)"될 수 있다는 사실에 기인한다. 라인/공간 패턴을 위해 다크 필드 altPSM을 이용하려면 두 개의 노광마스크가 필요한데, 하나는 비위상쉬프트 크롬 마스크이고 또 다른 노광마스크는 다크 필드 altPSM이다. 통상적인 수단으로서 altPSM은 크롬 마스크 노광이 이루어진 후에 게이트 전극 ㅎ형상의 폭을 "트리밍"하는 데에 사용될 뿐이다. 바이너리 크롬 마스크는 클리어 필드형이기 때문에, 이러한 노광마스크는 altPSM 노광동안에 의도하지 않은 위상천이에 의해 형성된 원치않는 레지스트 패턴을 제거하는 역할도 한다. 전술한 내용의 예가 최종 레지스트 패턴을 나타내는 도 3a 및 도 3b에 도시되어 있다. 특히, 도 3a와 도 3b는 다크 필드 altPSM의 광근접 효과를 나타내며, 에어리얼 이미지 강도(I)는 요소(20, 22)로 표시된 0-π윈도우 쌍의 크기와 근접성에 의한 영향을 받을 수 있다.
다크 필드 altPSM으로 위상 부여의 복잡성을 크게 감소시킬 수 있다 하더라도, 너무 강한 광근접효과(OPE)의 쟁점은 아직도 게이트 형상에 대한 임계치수의 제어를 엄격히 제한할 수 있다. 0-π윈도우 쌍의 길이와 폭이 서브미크론 치수인 경우에는, 그들이 강한 OPE에 영향을 받기 쉽다. 도 3a 및 도 3b에 도시된 바와 같이, 이웃하는 0-π쌍의 근접성 및 0-π-0 또는 π-0-π윈도우도 강한 OPE를 유발한다. OPE의 또 다른 형태는 코너 라운딩 효과이다. 상대적으로 간섭성의 조명을 사용하기 때문에, 전기장은 코너부에서 더 강해진다. 0-π윈도우 쌍의 길이가 충분히 크지 않다면, 인쇄된 윈도우 패턴은 타원형(oval shape)이 된다. 따라서, 그 때에는 도 5a 내지 도 5d에 도시된 바와 같이 "트리밍"된 게이트 형상이 휘어질 것이다. 적극적인 광근접 보정(OPC)이 적용될 수도 있지만, 부가된 마스크 설계 복잡성과 더 강한 잔류 OPE가 최소 형상 피치에 기본적인 제한을 가한다. 또한, 상술한 바와 같이, 두 번의 노광이 필요하므로 리소그래피 노광장치의 스루풋을 감소시켜 불리하다.
attPSM은 관습상 "약한" PSM으로 쓰여져 왔다. KrF 노광 파장 이용법에 대하여 상업적으로 이용할 수 있는 감쇠 마스크 블랭크는 화학선 파장(actinic wavelength)의 5% 내지 8% 범위의 투과성(transmission)을 갖고 있다. attPSM은 이미지 패턴을 형성하기 위한 0차 회절 차수를 허용하여 해상도 강화 잠재력은 altPSM 처럼 우수하지 못하다. 반면에, 그것은 OPE의 정도가 낮다. 또한, 마스크 설계는 altPSM에 비하여 덜 복잡해지는데, 5% 내지 8% attPSM의 설계는 그것이 라인/공간 스타일 마스크 패턴을 만들려고 하는 경우에 바이너리 크롬 마스크과 다르지 않기 때문이다. 더 나은 해상도를 얻으려면, 더 큰 % 투과의 사용이 필요한 지를 알고 있어야 하는데, 그렇게 하면 0차 회절 차수의 크기가 더 감소되기 때문이다. 따라서, attPSM을 위한 최적의 가능한 해상도 강화는 도 4에 도시된 바와 같은 무크롬 위상 쉬프트 마스크("CLM")과 같이 화학선 파장 감쇠를 갖고 있지 않은 것이다.
본 발명자는 CLM이 더 나은 CD 제어를 위하여 비간섭성 조명(σ>0.6)과 연계하여 사용될 수 있다는 것을 유럽특허출원 EP 0 980 542호(본 명세서에서도 인용됨)에 개시한 바 있다. 개선된 오프-엑시스 조명(advanced off-axis illumination) ("OAI")을 사용하면, 패터닝 구현이 훨씬 더 향상될 수 있다. OAI를 가진 하프톤 CLM은 노광 파장의 4분의 1 크기로 형상 폭을 인쇄할 수 있음이 알려져 있다. 하지만, 그렇게 높은 % 투과에 대한 예상되는 불리함은 노광 동안의 화학선 파장의 누출이다. 이것은 두 개의 위상 에지가 상쇄 간섭이 형성될 수 없도록 충분히 멀리 떨어져 분리되는 경우에 발생한다. 그러면 레지스트가 노광되면서 바람직하지 않은 패턴을 유발할 것이다. 이것을 방지하기 위해서, "와이드-오픈" 영역이 전체 패턴 영역에 대하여 연속적인 다크 간섭을 확보하도록 불투명한 크롬이나 주기적 위상 에지 패턴(하프토닝 패턴)에 의해 블랭킹 되어야 한다. 복잡한 근접 효과의 처리를 피하기 위해서 요즘에는 두 가지 방법 모두가 매우 큰 형상 패턴에 한해 적용되어 왔다.
따라서, 고 해상도 "임계" 형상의 인쇄를 허용하면서 동시에 저해상도 "비임계" 형상의 인쇄할 수 있게함으로써 광근접 보정 기술에 대한 전반적인 요건을 줄이고 "임계" 형상에 대하여 향상된 CD 제어를 제공하는 포토마스크에 대한 필요성은 여전히 남아있다.
상술한 필요성을 충족시키기 위해서, 본 발명의 목적은 묘화될 소정 디바이스(예를 들어, 집적회로)의 임계 형상만이 강하게 위상 쉬프트된 마스크 패턴에 의해 형성되고 "비임계" 형상은 약한 위상 쉬프트 또는 비위상쉬프트 크롬 마스크 패턴에 의해 형성되는 "하이브리드" 마스크를 제공하는 것이다.
보다 상세하게는, 본 발명은 높은 %(예컨대, >10%) 투과 attPSM(또는 CLM)으로 집적회로의 게이트 전극과 같이 고해상도의 미세한 마스크 패턴을 설계하면서 한편으로는 국부 배선 및 콘택트 랜딩 패드와 같은 더 거친 형상은 비위상쉬프팅 크롬 또는 표준(예컨대, 5-8%) 저 투과로 설계하는 "하이브리드" 마스크에 관한 것이다. 중요한 점은 미세한 마스크 형상과 더 거친 형상이 모두 본 발명의 하이브리드 마스크의 단일 노광에 의해 동시에 형성된다는 것이다.
본 발명은 또한 하이브리드 마스크를 형성하는 방법에 관한 것이다. 이 방법은 저 투과 위상 쉬프트 마스크(패턴) 또는 비위상쉬프팅 마스크(패턴) 중의 하나를 이용하여 마스크상에 적어도 하나의 비임계 형상을 형성하는 단계 및 고 투과 위상 쉬프트 마스크(패턴)을 이용하여 마스크상에 적어도 하나의 임계 형상을 형성하는 단계를 포함한다.
이하에서 상세히 서술되겠지만, 본 발명은 종래 기술을 능가하는 상당한 잇점을 가져온다. 가장 중요한 것은 본 발명의 하이브리드 마스크가 고해상 "임계" 형상의 인쇄를 허용하면서 동시에 저해상 "비임계" 형상의 인쇄도 허락하여 그에 따라 광근접보정 기술을 위한 전반적인 요건을 줄이고 "임계" 형상에 대한 향상된 CD 제어를 제공한다는 점이다.
덧붙여, 본 발명의 하이브리드 마스크는 소정 형상을 형성하는 데 단지 한 번의 노광만을 요하므로, 이중 노광 및 정렬을 수행해야 할 필요가 없어서 제조공정의 전반적인 스루풋이 증가되는 이점이 있다.
다음에 이어지는 본 발명의 예시적인 실시예의 상세한 설명을 통해 당업자에게는 본 발명의 부가적인 장점이 명확해질 것이다.
본 발명 그 차체와 함께 또 다른 목적과 장점들은 후술되는 내용과 첨부한 개략적인 도면을 참고로 하면 더욱 이해될 수 있다.
본 발명의 "하이브리드" 마스크의 후술되는 설명은 마스크를 형성하는 방법 뿐만 아니라 마스크 그 자체 모두에 관한 것이다. 주의할 것은, 본 발명의 이해를 돕고자 아래에서는 하이브리드 마스크가 최신 기술의 상보형 MOS(CMOS) 소자에 포함된 "임계" 게이트 형상을 형성하는 데 어떻게 이용될 수 있는지를 상세히 서술한다. 하지만, 본 발명이 CMOS 소자에만 한정되어 사용되는 것은 아니라는 점을 유의하여야 한다. 실제로 본 발명은 박막 헤드, 자기영역 메모리, 마이크로-기계 디바이스 등과 같은 그 밖의 디바이스를 형성하는 데 뿐만 아니라 다른 유형의 무수한 반도체 설계와 그러한 반도체를 형성하는 공정에서도 이용될 수 있다.
집적회로(IC)를 제조할 때, 가장 임계적인 마스킹층은 게이트 전극 마스크이다. 특히 최신 CMOS 소자급으로 제조하는 경우에, 게이트 전극의 폭은 속도 성능을 결정한다. 고성능 IC를 얻기 위해서는 더 작고 더 균일한 게이트 형상이 필수적이다. 사실 상술한 내용은 계속해서 IC를 축소하려는 핵심 목적 중의 하나이다.
도 6a를 참조하면, 종래의 게이트 마스크에는 주종을 이루는 세 개의 설계 형상, 즉 게이트 전극(32), 접속용 랜딩 패드(34) 및 국부 배선 트레이스(36)가 포함되어 있다. 디바이스를 축소할 때, 설계는 세 가지 종류 모두가 동시에 비례하여 축소되어야 한다는 원칙을 세운다. 하지만, 접속 랜딩 패드(34)와 국부 배선 트레이스(36)를 위한 치수 설계는 게이트 형상보다 훨씬 수월하다. 이것은 사용되는 노광장치에 의한 정렬 정밀도의 한계와 접속 및 활성 영역(38) 마스킹층과 같은 대응하는 마스킹층에 대한 축적 관계를 유지해야 하는 필요성 때문이다.
게이트 형상에 대하여 가장 임계적인 치수는 게이트 전극(32)의 형성과 직접 관계되는 부분이다. 통상 이러한 임계적인 부분이 활성영역과 논리 AND 연산을 사용하는 게이트 마스크를 오버레이함으로써 확인될 수 있다. 이것은 최근의 컴퓨터를 이용한 레이아웃 설계(CAD) 도구로서 쉽게 행해진다. 도 6a는 게이트와 활성영역 마스크 레이아웃이 오버레이된 논리회로 설계의 예시를 나타낸다. 따라서 임계적인 게이트 형상이 용이하게 확인된다. 특히, 게이트와 활성 오버레이된 영역은 활성영역으로 불린다. 이렇게 오버레이된 영역내에 있는 게이트 형상의 부분은 임계적인 게이트 형상이다.
주의할 것은, 종전 세대와는 다르게 이제는 IC의 축소가 이전의 여건보다도 더 높은 장벽에 가로막혀 있다는 점이다. 예컨대, IC를 제조하는 데 사용되는 리소그래피 노광장치로 인한 많은 제약이 따른다. 점점 더 엄격해지는 정렬 공차와 248nm 크립톤 플로라이드(KrF) 엑시머 레이저에서 193nm 아르곤 플로라이드(ArF) 소오스 및 그 이상의 것(예를 들어, 157nm EUV)로의 이동은 더욱 엄격한 제어를 요구한다. 그와 같이, 더 작은 다이 크기를 얻고자 모든 회로에 대한 보편적인 축소 비율을 유지하는 것은 현재의 리소그래피 한계에 비추어 볼 때 가능성이 더 희박해진다는 것이 금방 명확해진다. 하지만, 본 발명을 이용하면 게이트 전극 형상(32)을 적극적으로 축소시키면서 접속 랜딩 패드(34)와 배선 형상(36)에 대하여는 최소의 축소 비율을 한정시킬 수 있다.
본 발명의 일 실시예에서, 새로운 하이브리드 마스크는 CMOS 소자를 형성하는 데 이용된다. 보다 상세하게는, 하이브리드 마스크는 게이트 전극 형상(32)에 대하여는 비교적 강한 PSM 설계를 이용하지만 그보다 덜 임계적인 형상에 대하여 하이브리드 마스크는 바이너리 크롬 또는 약한 PSM을 이용한다.
예를 들어, 도 6b는 도 6a의 논리회로 설계의 게이트 전극 형상(32)(즉, 임계적 형상)이 본 발명에 따라 어떻게 형성되는지를 보여준다. 우선, 게이트 전극 형상(32)은 다른 덜 임계적인 형상(37)으로부터 분리되고 비임계 형상으로부터 개별 레이아웃 데이터 파일에 놓인다. 그러면 상기 게이트 전극 형상(32)은 PSM을 이용하여 설계되고 덜 임계적인 형상(37)은 예를 들어, 비 PSM 또는 약한 PSM을 이용하여 설계된다. 그 후 두 개의 개별 레이아웃 데이터 파일은 도 6b에 도시된 하이브리드 위상 쉬프트 마스크를 형성하도록 결합된다.
도 6b에 도시된 바와 같이, 모든 임계적인 게이트 형상(32)은 높은 % 투과 PSM(H로 나타냄)을 이용하여 형성되는 반면에, 덜 임계적인 게이트 형상은 낮은 % 투과 PSM 또는 약한 PSM(L로 나타냄)을 이용하여 형성된다. W는 게이트 전극 폭을 가리킨다.
일반적으로, 본 발명의 하이브리드 마스크의 설계에는 다음과 같은 설계 단계를 요한다.
(1) CAD 레이아웃 도구로 일반적인 AND/AND-NOT 논리 연산을 사용하여 임계적인 형상(예를 들어, 게이트 전극 형상)을 분리. 그런 다음 상기 임계적인 형상은 상술한 바와 같은 별도의 레이아웃층에 있게 된다.
(2) 미리 결정된 일련의 PSM-OPC 규칙에 따라 임계적인 형상을 설계(예를 들어, 높은 %(>10%) 투과 attPSM 또는 CLM)하고 국부 배선과 같은 비임계 형상을 비위상쉬프팅 크롬 또는 표준 5-8% 저 투과 attPSM으로 설계하도록 CAD 도구를 이용.
(3) 하이브리드 마스크를 제조하기 위해 해당 공정을 갖는 두 개의 쓰기 통로(writing pass)를 이용. 보다 상세하게는, 세 번째 단계는 다음의 처리 절차를 포함하여 이루어진다: (ⅰ) 포토레지스트를 리스피닝(re-spinning)하는 단계, (ⅱ) 제 1쓰기 통로에 재정렬(re-aligning)하고 개구 영역을 노광하는 단계 및 (ⅲ) 노광된 포토레지스트 영역을 현상하는 단계.
도 7a 내지 도 7f에는 통상적인 마스크 제조공정 순서가 도시되어 있다. 보다 상세하게는, 도시된 예시에서 도 7a에 도시된 두 개의 형상을 형성할 것이 요구된다. 세로로 위치된 제1형상(42)은 고해상도를 요구하는 형상을 나타낸다. 가로로 위치된 제2형상(44)은 낮아진 수준의 해상도를 요구하는 덜 임계적인 성분을 나타낸다.
상술한 바와 같이, 하이브리드 마스크는 형상(44)을 형성하는 데 이용되는 약한 위상 쉬프트 형상(예를 들어, 4-6% 감쇠 PSM) 및 형상(42)을 형성하는 데 이용되는 강한 위상 쉬프트 형상(예를 들어, 무크롬 PSM)을 포함하고 있다. "약한" PSM은 강한 PSM에 비하여 낮은 % 투과로 정의된다. 예컨대, 강한 PSM이 18%로 정의되면 약한 것은 6% 또는 그 이하(대략 10-12 퍼센트 포인트 낮은 투과)일 수 있다. 만일 그 % 투과가 0이라면, 비위상쉬프트인 것이다. 만일 강한 PSM이 무크롬(100%)이라면, 18% 투과가 약한 PSM을 구성할 것이다. 위상 쉬프트의 정도에 따라서 다른 형태의 약한 위상 쉬프팅이 표현될 수 있다. 예컨대, 180도 위상 쉬프트는 강한 PSM을 표시하며 이 경우에 90도 또는 60도 위상 쉬프트는 약한 PSM을 표시한다. 상술한 내용으로부터 알 수 있듯이, 강한 PSM과 약한 PSM은 서로 상대적으로 정의된다.
이제 도 7b 내지 도 7f를 참조하여, 도 7a에 도시된 형상을 표현하는 하이브리드 마스크의 형성과정의 예시적인 실시예가 서술될 것이다. 도 7b 내지 도 7f는 도 7a의 선 I-I을 따라 자른 하이브리드 마스크의 단면을 나타낸 것이다. 공정의 제 1단계는 도 7b에 도시된 바와 같이 약한 또는 비 위상 쉬프트 패턴을 정의하며 석영 기판(43)상에 MoSi층(45)을 증착한 후 상기 MoSi층(45)상에 크롬층(46)을 증착하고 마지막으로 기판 전체에 걸쳐 포토레지스트층(47)을 증착하는 것이 필요하다. 그 후, 도 7b에 도시된 바와 같이 비임계적인 형상(44)을 정의하는 곳에는 MoSi층(45), 크롬층(46) 및 포토레지스트층(47)이 잔류하게 하는 방식으로 포토레지스층을 노광하여 제거한다. 전술한 세 개의 층과 그것들의 선택적 제거는 업계에 이미 알려진 표준 기술을 사용하여 성취될 수 있다.
단계 2에서, 도 7c를 참조하면, MoSi층(45) 위에 잔류한 포토레지스트층(47)과 크롬층(46)이 제거된다. 마찬가지로, 이들 층은 표준 기술을 이용하여 제거된다. 기판상에 잔류한 MoSi 부분(45)은 형성될 비임계적 형상(44)을 정의한다. 따라서, 단계 2가 완료되면 제 1마스크 쓰기 단계가 완료되고 비임계적 형상(44)이 형성된다.
공정의 3 내지 5단계는 제 2마스크 쓰기 단계에 해당하며 강한 위상 쉬프트 패턴을 필요로 하는 임계적 형상(42)을 정의한다. 도 7d를 참고하면, 단계 3에서는 전체 기판(43)을 다시 한 번 포토레지스트(47)로 도포한 후, 형성될 임계적 형상(42)에 대응하는 석영 기판(43)의 부분만이 노광되는 방식으로 포토레지스트(47)를 노광하여 제거한다. 그런 다음, 도 7e를 참조하면, 단계 4에서 석영 기판(43)은 무크롬 PSM(49)을 형성하도록 에칭된다. 도시된 실시예에서, 석영 기판(43)은 π위상 쉬프트를 형성하도록 에칭된다. 마지막으로, 도 7f를 참조하면, 남아있는 포토레지스트(47)가 제거되고, 최종 구조는 단일 노광을 통해 약한 또는 비위상쉬프트 패턴을 이용하여 비임계적 형상을 형성하는 동시에 강한 위상 쉬프트 패턴을 이용하여 임계적 형상도 형성할 수 있는 하이브리드 마스크가 된다(도면에서 P1은 4-6% attPSM을 가리키며 P2는 석영내에서의 π위상 쉬프트를 가리킨다). 도 7a 내지 도 7f가 단지 하나의 비임계적 형상과 하나의 임계적 형상만을 형성하는 것을 도시하고 있지만, 실제로 통상의 하이브리드 마스크는 수많은 임계 및 비임계적 형상을 포함하고 있음을 유의한다. 전술한 과정으로부터 알 수 있듯이, 이러한 실시예에서는 모든 임계적 형상을 형성한 후에 모든 비임계적 형상을 동시에 형성하는 것이 바람직하다.
또 하나 유의할 것은, 본 실시예에서는 하이브리드 마스크가 비임계적 성분을 형성하기 위해서 약한 위상 쉬프트 형상(예를 들어, 4-6% 감쇠 PSM)을 이용하기 때문에, 상기 도시된 바와 같은 몰리브덴-실리사이드(MoSi)-필름-베이스 감쇠 PSM 블랭크를 이용할 수 있다는 점이다. 물론 본 발명이 MoSi 필름의 사용에만 한정되는 것은 아니다. 예를 들어, 삽입된 위상 쉬프팅 크롬 재료 또는 CrF2 필름이 대안적으로 이용될 수 있다. 하지만, 만일 비위상쉬프트 형상이 바람직하다면, 그 때에는 예를 들어, 개시 재료로서 레귤러 크롬 블랭크가 이용될 수 있다. 그러한 실시예에서, 제조공정 단계는 MoSi 층이 수반되지 않는다는 점을 제외하고 도 7b 내지 도 7f에 도시된 것과 상기 서술된 내용과 주로 동일하며, 따라서 필요한 공정 단계의 필요한 수가 줄어든다.
전술한 내용으로부터 알 수 있듯이, 본 발명의 하이브리드 마스크는 두 개의 마스크-쓰기 단계를 필요로 한다. 그렇기 때문에, 제 2마스크 쓰기 단계에는 제 1마스크 쓰기 단계와의 정렬이 필요하다. 이러한 정렬은 통상적으로 4X 또는 5X 마스크 수준에서 생기므로, 정밀성 요건은 두 개의 노광 단계가 1X 웨이퍼 표면에서 이루어지는 경우의 "트리밍 PSM 마스크"에 대한 것만큼 임계적이지는 않다. 하지만, 마스크 수준에서 대략 50nm보다 우수한 정렬 정밀도를 가질 것은 중요하다. 이 요건은 4X 마스크가 사용될 경우에는 1X 웨이퍼 수준에서 대략 12.5nm의 정밀도가 된다. 현재 이용할 수 있는 도구/장치는 마스크 쓰기장치 정렬 정밀도에 대하여 50nm보다 우수하게 제공하므로, 본 발명은 현재 이용가능한 장비를 이용하여 구현될 수 있다.
본 발명의 하이브리드 마스크를 현상함에 있어서, 4가지 종류의 OPE 즉, 인접 PSM 형상, 라인엔드 수축, 각진 PSM 형상 및 강한 PSM에서 약한 또는 비위상쉬프트 형상으로의 형상 변이가 그러한 하이브리드 마스크를 이용하여 생길 수 있음이 알려졌다. 이들 효과는 치수 제어와 관련하여 허용할 수 없는 에러를 유발할 가능성이 있다. 이하, 마스킹 요건과 함께 전술한 OPE를 보정하는 OPC 방법에 대하여 논할 것이다.
1. 라인 대 공간 PSM 형상에 대한 OPC
도 6b를 다시 참조하면, 임계적 게이트 전극 형상(32)은 세로 및 가로 라인 형상으로서 끌어낼 수 있다. 이것은 OPE의 복잡성을 상당히 감소시킨다. 상세하게는, 모든 인접 형상은 본질적으로 동일한 선폭을 가지므로, PSM 설계 및 OPC는 비교적 직선적인 경향으로 행해질 수 있다. 예컨대, OPC는 산란 바아(SB)만을 이용하거나 US 5,242,770호, US 5,447,810호, US 5,707,765호 및 US 5,821,014호(본 명세서에서 인용됨)에 상세히 개시되어 있는 산란 바아 플러스 형상 바이어싱(biasing)과 함께 적용될 수 있다. 통상적으로, OPC 적용은 미리 결정된 일련의 OPC 규칙에 의거한 실제 설계 형상에 대하여 SB 및/또는 바이어스를 부가시킴으로써 성취된다.
또한, 인접 라인 형상간의 공간폭에 의거한 일련의 OPC 규칙을 개발할 수 있다. 그러한 OPC 규칙은 형상 스페이싱(또는 피치)의 기능으로서 임계적인 형상 폭(CD)을 우선 체크함으로써 결정될 수 있다. 광근접효과의 결과에 따라, 상기 CD는 상이한 스페이싱에서 서로 다를 것으로 기대된다. 최적의 SB 및/또는 주어진 형상 스페이싱에 대한 형상 바이어싱 세팅은 실험적으로 또는 보정된 레지스트 패터닝 모형을 이용한 에어리얼 이미지 시뮬레이션을 통해 결정될 수 있다. 그것으로서, 각각의 형상 스페이싱에 대한 상기 OPC 규칙이 얻어질 수 있다.
상술한 접근은 전체적인 레이아웃 설계 규칙을 바꾸지 않고도 게이트 전극 형상(32)을 축소할 수 있게 한다. 예컨대, 0.18㎛ 선폭에 의거한 칩 설계 규칙에 대하여는, 최소 형상 피치는 통상적으로 0.36㎛와 0.60㎛의 사이에서 변할 수 있다. 게이트 선폭이 예를 들어, 0.07㎛와 0.10㎛의 사이에 있도록 다시 목표설정되더라도, 형상 피치는 동일하게 유지되어야 할 것이다. 동일한 노광장치(파장 및 개구수에 관하여)가 사용되므로, 산란 바아(SB) OPC 방법(US 5,242,770호, US 5,447,810호 및 US 7,707,765호 참조)이 적용될 수 있음이 확인되었다. 이 경우에, SB 형상은 하이브리드 마스크의 약한 또는 비위상쉬프트 형상을 형성하는 데 이용될 수 있다.
환언하자면, 게이트 폭만 감소되고 설계된 형상 대 형상 피치는 초기설계대로는 아니다. 예컨대, 최초 설계 형상 피치가 0.36㎛이고 게이트 폭이 0.18㎛라면, 게이트 형상 대 형상 공간은 0.18㎛(총 0.36㎛ 형상 피치에 대하여)이다. 본 발명의 하이브리드 마스크는 게이트 선폭을 0.10㎛까지 감소시킬 수 있다. 그러면 형상 피치(0.36㎛)에는 변화가 없기 때문에 형상 스페이싱은 0.26㎛가 된다.
상술한 예시에서, SB OPC 방법도 여전히 이용될 수 있다. 상세하게는, 강한 PSM이 게이트 전극 형상을 위해 이용되었기 때문에, SB OPC 형상은 인쇄될 수 없도록 SB OPC 형상에 대하여 더 약한 PSM 또는 비위상쉬프팅 형상을 사용하는 것도 가능하다. 더우기, 하이브리드 마스크를 쓰면 게이트 형상 피치가 똑같이 남아 있으므로 게이트 형상 공간은 더 넓어진다. SB OPC 방법은 우선적으로 형상 스페이싱에 기본을 두고 있기 때문에, 이것은 OPC 규칙의 변화를 요구한다.
표 1은 0.08㎛ 타겟 CD로 축소된 게이트 전극을 갖는 0.18㎛ 설계 규칙에 의거한 단순한 일련의 OPC 규칙을 보여준다. 도 8a는 1.0㎛에서 CD 형상 피치에 대한 OPC 예시를 나타낸다. 보다 상세하게는, 도 8a는 1.0㎛의 PSM 형상 피치(P)에서 SB OPC의 예시를 나타낸다. 상기 PSM 주 형상(51) 폭은 0.075㎛가 되도록 바이어스 되어있다. 산란 바아(52) 폭은 0.08㎛이며 상기 바는 PSM 주 형상 에지에서 0.24㎛ 떨어진 곳에 배치된다. 주어진 예시에서, 산란 바아(52)는 비위상쉬프트 크롬 형상이다.
노광 조건:
NA = 0.68; 조명 세팅 = 고리형(0.8/0.6); λ= 248nm;
노광 에너지 = 19.5 mJs; 초점 세팅 = -0.35㎛, -0.2㎛(중심), +0.05㎛.
공정 조건:
Shipley UV6 레지스트, 두께 = 0.33㎛; 폴리실리콘 기판 웨이퍼;
무반사 코팅: Shipley AR2, 두께 = 0.6nm
* 모든 치수는 1X 웨이퍼 축적
도 8b는 측정된 레지스트 단면 CD 데이터(RC)를 OPC가 적용되지 않은 형상 피치(FP)의 범위를 벗어난 점들을 플로트하여 나타낸 것이다. 도시된 바와 같이, OPE는 중간 형상 피치 영역에서 현저한 CD 발진으로 인한 것이다. 대조적으로, 도 8c는 0.36 내지 2.0㎛의 범위에 있는 형상 피치에 대한 0.08㎛의 타겟 CD용 산란 바아 OPC 방법의 성능을 도시한다. 두 도면 모두에서, TCD는 타겟 CD를 표시하고, FO는 초점을 가리킨다. 두 개의 결과를 비교하면, SB OPC의 사용이 유리하다는 것이 확실해 진다. 분산 바 OPC를 사용하면, 공칭 노광 상태하에서 전체 형상 피치 범위에 대하여 0.4㎛를 약간 넘는 초점 심도(DOF)를 얻는 것이 가능하다.
2. 라인-엔드 OPC
알고 있는 바와 같이, CMOS 트랜지스터에 대하여는 양측상의 활성면적 영역 너머로 폴리 게이트가 뻗어야만 한다. 이것은 트랜지스터의 소스와 드레인간에 있을 수 있는 누설전류를 방지하는 데 필요하다. 활성영역 너머로 연장되는 게이트 형상의 부분은 도 6a에 도시된 바와 같이 "엔드캡"(31)으로 불린다. 설계 규칙상에 정해놓은 엔드캡의 양은 칩 크기에 직접적인 영향을 미친다. 면적을 줄이기 위해서는 엔드캡(31)의 양을 최소화하는 것이 바람직하다.
라인-엔드 축소 효과는 거의 모든 리소그래피 공정에서 관찰되므로, 그려진 엔드캡(31)의 양을 적게 할수록 더욱 적극적인 OPC를 요구한다. 하이브리드 마스크를 사용하면, 라인-엔드 축소 효과는 PSM 형상을 사용함에 따라 강화되는 것을 알아냈다. 따라서, OPC 기술의 적용이 필요하다. 적합한 OPC를 적용하기 위해서는 제 1단계가 엔드캡(31)의 양을 검사하는 것이다. 이것은 CAD 도구내의 AND-NOT 논리연산을 이용하여 엔드캡(31)을 측정용과 후속처리용으로 분리하도록 행해질 수 있다.
설계 규칙 세트에 따라, 엔드캡(31) 양은 하나의 IC 설계에서 다른 하나에 이르기까지 다를 것이다. 만일 AND-NOT 논리연산을 수행한 후 엔드캡(31)의 최소 치수가 0.25(λ/NA)이하이거나 최신 기술 수준의 KrF 노광 도구를 이용한 경우에 대략 0.1㎛라면, 엔드캡(31)은 아마도 너무 작을 것이다. 여기서, λ는 노광 파장이고 NA는 노광 도구의 개구수이다. 이러한 평가 기준은 마스크 제조의 곤란성의 수준 및 소정 OPC 효율을 달성하는 데 필요한 요건과 관련된다. 이 경우에, 약한 또는 비위상쉬프트 엔드캡 형상을 제거하고 간단히 PSM 게이트 전극 라인을 뻗게 하는 것이 더욱 유리하다. PSM 라인-엔드용 세리프 OPC를 사용하면(US 5,707,765호 참조), 꽤 우수한 보정을 행할 수 있다.
상술한 예시가 도 9a 내지 도 9c에 도시되어 있으며, 여기서 EC는 소정 엔드캡을 표시한다. 도 9a는 OPC를 갖는 또는 OPC가 없는 라인-엔드의 네 가지 가능한 형태를 도시하고 있다. 라인-엔드 형태 A는 AND-NOT 논리연산을 수행한 후의 전형적인 라인-엔드를 나타낸다. 라인-엔드 형태 B는 엔드캡에 세리프 OPC를 적용한 것이다. 라인-엔드 형태 C는 게이트 PSM 형상을 단순히 연장한 것이다. 마지막으로 라인-엔드 형태 D는 PSM 라인-엔드에 세리프 OPC를 적용한 것이다. 도 9b(에어리얼 이미지)와 도 9c(소정 레이아웃과 오버랩핑된 레지스트 패턴의 평면도)는 OPC의 결과를 보여준다. 도시된 바와 같이, 형태 A, B 또는 C 중의 하나를 이용한 보정의 수준은 가장 낮다. 가장 우수한 보정은 형태 D를 이용하여 얻어진다. 이용된 노광 조건은 앞서의 표 1에 있다. 또한, 만일 엔드캡이 0.25(λ/NA)보다 크다면, 더 어려운 리소그래피 공정에 대하여는 이러한 OPC 방법을 사용하는 것이 필수적이진 않더라도 여전히 유리하다.
또한, 게이트 전극이 "분해"된 후에는 엔드캡과 배선 형성간의 구별이 어려울 수도 있다. 따라서, 대안적인 CAD 접근 방법이 라인-엔드를 우선 식별하고, 그런 다음 이 정보를 이용하여 배선 트레이스와 콘택트 패드로부터 엔드캡을 분리하는 것이다. 그 후, (게이트 전극과 엔드캡 모두에 대하여) 라인 형상을 축소하고 그에 따라 위상 쉬프팅 속성을 할당한다. 다음에, 엔드캡 형상에 대하여는 선폭과 라인-엔드 OPC 모두를 적용시키며, 게이트 전극 형상에 대하여는 선폭 OPC만을 적용시킨다. 그리고 게이트 전극과 엔드캡을 재결합시킨다. 접속 조인트에서 엔드캡 OPC 형상은 그것이 이제는 게이트 전극을 오버래핑하므로 생략될 수 있다. 이러한 CAD 작업 순서는 도 10a 내지 도 10d에 도시되어 있다.
보다 상세하게는, 도 10a는 중첩하는 게이트 전극(61)과 활성영역(62) 마스크의 식별을 나타낸다. 도 10b는 게이트 전극(61)을 분해하여 그것을 개별 기억장소에 넣기 위해 AND-NOT 연산이 수행된 것을 나타낸다. 도 10c는 콘택트 랜딩 패드(63)를 필터링하는 과정과 그 후 두 개의 형상의 축소과정과 위상 속성의 부여과정을 나타낸다. 상술한 바와 같이, OPC는 그 후 선폭과 엔드 캡에 대한 라인-엔드 모두에 적용되며, 라인-엔드 OPC는 게이트 전극에 적용된다. 도 10d는 원치않는 세리프 OPC를 생략한 엔드캡과 게이트 전극의 결합을 나타낸다. 그 결과, 콘택트 랜딩 패드(63)와 게이트 전극(61)은 마스크 형성을 위한 개별 데이터층에 있게 된다.
3. 각진(angled) PSM 형상에 대한 OPC
리소그래피용 오프-엑시스 조명을 사용함에 따른 향상된 해상도 이득으로 인하여 직선으로 이루어진 회로 설계가 더 많이 보급되어 가고 있지만, 메모리형 IC에 대하여는 각진 형상이 여전히 꽤 일반적이다. 대부분의 경우에, 각진 형상은 주로 국부 배선용으로 쓰인다. 따라서, CD 제어는 게이트 형상에 대한 것만큼 임계적이지 않다. 그럼에도 불구하고 더 작은 메모리 셀을 설계하려면, 각진 형상 CD가 게이트의 그것과 거의 동일해진다. 만일 각진 형상에 대하여 강한 PSM을 사용하면, OPE가 너무 과도하여 제어할 수 없다는 것이 알려져 있다. 따라서, 도 11a에 도시된 바와 같이 이웃하는 게이트 형상이 과도하게 왜곡될 수 있다. 목적은 각진 형상에 대한 바람직한 CD를 목표로 하므로, 도 11b에 도시된 바와 같이, 약한 또는 비위상쉬프트 설계를 이용하면 OPE가 최소화될 수 있고 적합한 규격 규칙을 갖는 합리적인 인쇄 패턴을 얻을 수 있다. 도 11a, b에서, F1은 강하게 위상 쉬프트된 앵글 형상을 나타내며 F2는 비위상쉬프트된 앵글 형상을 나타낸다.
4. 강한 PSM에서 약한 또는 비위상쉬프트된 형상으로의 형상 변이에 대한 OPC
다시 강조하지만, PSM 형상에 대한 과도한 수준의 OPE로 인하여, 위상 쉬프트된 형상에서 약한 또는 비위상쉬프트된 형상으로의 형상 변이 조인트에서 패턴 왜곡이 생길 수 있다. 상대적으로 긴 게이트(즉, 트랜지스터 폭에 상대적인)에 대하여는 이러한 패턴 왜곡이 무시될 수 있다. 하지만 그 보다 짧은 게이트에 대하여는 도 5a 내지 도 5d에서 서술된 "휘어진 CD" 형상 현상과 유사하게 상기 왜곡이 CD 제어에 부정적인 영향을 줄 수 있다. 곧은 게이트 형상을 확실히 하려면, 변이적 조인트에 OPC를 적용하는 것이 중요하다.
실험 결과에 따르면, "짧은" 게이트 형상의 패턴 왜곡은 게이트 전극이 직접적으로 콘택트 랜딩 패드에 부착되는 경우에 더욱 심각하다고 알려져 있다. 이것이 도 12a(OPC 전)도시되어 있으며, 여기서 "CLM"은 CLM(강한 PSM) 형상을 표시하고 "PSM"은 6% attPSM(약한 PSM) 형상을 표시한다. 다행스럽게도 일반적인 레이아웃 시행은 도 6a에 도시된 바와 같이 활성영역이 콘택트 랜딩 패드에 닿는 것을 막아준다. 이것은 코너 영역에서의 OPE를 수월하게 하는 데 도움을 준다. OPE의 부가적인 완화를 위하여, 게이트 전극에 콘택트 랜딩 패드에 연결된 "스페이스 갭"을 만드는 것이 가능하다. 콘택트 랜딩 패드의 성질에 따라(즉, 비위상쉬프트인지 또는 약한 위상 쉬프트인지), 최적의 패턴 충실도를 위해서 상기 갭은 0.125(λ/NA)보다 작아야 한다. 0.63NA KrF 스테퍼에 대하여는 상기 갭은 1X 웨이퍼 축적에서 0.05㎛의 부근에 있어야 한다. 4X 마스크에서 이것은 0.2㎛이다.
콘택트 랜딩 패드와 게이트 전극 사이의 연결 조각을 제거하여 "스페이스 갭"을 형성한다. 도 12b에 도시된 바와 같이, 이러한 형태의 OPC는 패턴 왜곡을 줄이고 "짧은" 게이트에 대하여 곧은 에지를 확보함으로써 코너 라운딩 효과를 충분히 최소화하는 것으로 드러난다. 강조할 것은 콘택트 랜딩 패드에 대하여도 부가적인 OPC가 또한 요구된다는 것이다. 마지막으로 더 큰 형상에 대하여는, 도 12c에 도시된 바와 같이 치수적 바이어싱 OPC가 적합하다는 것을 알게 된다.
다시 도 12a 내지 도 12c를 참조하면, 도 12a 내지 도 12c의 제일 위 쪽 열은 OPC를 갖는 마스크 레이아웃과 OPC를 갖지 않은 마스크 레이아웃을 도시하고 있다. 두 번째 열은 에어리얼 이미지 패턴을 보여주며, 세 번째 열은 현상된 레지스트 패턴의 평면도이다. 이용된 노광 조건은 앞서 서술된 표 1의 설정이다. 도시된 바와 같이, OPC를 갖지 않은(도 12a) 경우, "짧은" 게이트 형상에 대하여 코너 라운딩이 매우 심하다. OPC를 적용한 후에는, 도 12b 및 도 12c에 도시된 바와 같이, 그리고 상술한 바와 같이 코너 라운딩이 현격히 최소화되어 있다.
상술한 바와 같이, 본 발명의 방법은 종래의 기술을 능가하는 확실한 이점을 제공한다. 가장 중요한 것은 본 발명의 하이브리드 포토마스크는 고해상도의 "임계적" 형상의 프린팅을 가능케함과 동시에 저해상도의 "비임계적" 형상의 프린팅을 가능케하여, 이에 따라 광근접성보정 기술에 대한 전반적인 요구조건을 감소시키고 "임계적" 형상에 대하여 향상된 CD 제어를 제공한다는 점이다.
또한, 본 발명의 하이브리드 마스크는 소정 형상을 형성하는 데 오직 한 번의 노광만을 필요로 하기 때문에, 이중 노광 및 정렬을 수행하는 요구조건을 제거함으로써 제조공정의 전반적인 스루풋이 유리하게 증가된다.
또한, 새로운 하이브리드 마스크는 임계적 형상과 비임계적 형상을 두 가지 상이한 % 투과로의 분리를 가능케한다. 이것은 공칭상 노광의 선택을 임계적인 형상에 대하여 더욱 초점을 맞추고 비임계적인 것에 대하여는 덜 관심을 두면서 할 수 있어서, 그러한 마스크에 대한 최적의 노광을 결정하는 것이 수월하게 한다. 이와 유사하게, 조명설정(예를 들어, NA, σ)과 같은 노광장치의 설정을 위한 최적화를, 실질적으로 오직 임계 형상만을 기초로 하여 할 수 있다.
또 다른 장점은, 임계 형상은 이제는 주로 라인과 공간으로 "단순화"되기 때문에, 이것은 더 강한 오프-엑시스 조명의 사용에 대하여 더 깊은 초점심도로 더 우수한 해상도 잠재력을 거둘 수 있게 한다.
또한, 하이브리드 마스크가 비임계적 형상에 대하여는 더 약한 PSM을 이용하기 때문에, 약한 PSM도 마찬가지로 패터닝을 향상시킬 수 있어서 비임계적 형상의 프린팅이 상당히 더 뚜렷해질 수 있다.
또 하나의 다른 장점은 이중 노광 altPSM 방법에 비하여 하이브리드 마스크의 설계는 상대적으로 직선적이라는 것이다. 특히, 하이브리드 마스크로는 관심을 기울여야 할 잠재적인 위상 충돌이 없게 된다. 대조적으로, 위상 충돌 해상도 알고리즘은 교번 PSM에 대하여 꽤 복잡해질 수 있다.
마지막으로 강조할 것은, 새로운 하이브리드 마스크의 임계적인 형상은 균일한 선폭을 갖도록 설계될 수 있어서 마스크 제조공정이 상당히 간소화된다는 점이다.
본 발명의 하이브리드 마스크의 변형례 또한 있을 수 있다. 예컨대, 상술한 예시적인 실시에에서 개시된 하이브리드가 약한 위상 쉬프트 형상을 형성하기 위해 4-6% attPSM을 이용하는 한편, 강한 위상 쉬프트 형상을 형성하기 위해서 무크롬 PSM을 이용할 수 있고, 다른 대안도 가능하다.
상술한 실시예의 또 다른 변형례에 있어서, 하이브리드 마스크를 2-노광 마스크로 구현할 수 있다. 이 변형례에서는 모든 임계적인 형상이 별도의 마스크에 배치된다. 이때 "임계적인 형상" 마스크는 높은 % 투과 attPSM이거나 무크롬 PSM(CLM)이다. 모든 비임계적인 형상을 포함하고 있는 "비임계적인" 마스크는 비위상쉬프팅 또는 좀 더 약한 PSM(예를 들어, 4% 내지 6% attPSM) 중에 하나일 수 있다. 이 경우에 있어서, 동일한 SB OPC 방법이 임계적인 노광 마스크에 적용될 필요가 있을 것이다.
상술한 변형례는 도 13a 내지 도 13d에 도시되어 있다. 도 13a는 게이트 형상(71)과 활성영역(72)을 가지고 프린팅될 예시적인 형상을 도시한다. 도 13b는 형성될 비임계적 형상(74)을 표현한 "비임계적인" 마스크(73)를 나타낸다. 도 13c는 형성될 임계적인 형상(76)을 표현한 임계적인 마스크(75)를 나타낸다. 소정 형상을 형성함에 있어서, 우선 비임계적인 마스크(73)가 노광된 후 임계적인 마스크(75)가 노광된다. 최종 결과물은 도 13d에 도시되어 있는데 상술한 하이브리드 마스크의 단일 노광 마스크 유형을 이용하여 형성된 노광 패턴과 유사하다.
임계적인 마스크(75)는 임계적인 게이트 형상의 바로 이웃한 영역만이 노광되게 하면서 원치않는 노광은 막도록 불투명한 크롬(77)으로 둘러싸여야 한다. 비임계적인 마스크(73)에 관하여는 그것이 최초 형성된 것과 동일할 수도 있고, 게이트 전극영역(78)이 약간 큰 폭을 갖도록 약간 변형될 수도 있다. 이것은 다중 노광으로 인한 에러의 더 많은 여유도를 허용하도록 요구된다. 2-노광 마스크 시도를 이용하는 이득은 임계적인 형상과 비임계적인 형상이 두 개의 분리 마스크 노광하에서 패터닝되므로 그들을 완벽히 떼어낼 수 있는 것이다. 하지만, 한 가지 불리한 점은 그것이 패턴을 형성하기 위해 두 번의 노광을 필요로 하기 때문에 노광 스루풋이 감소한다는 것이다.
리소그래피 노광장치의 상세한 설명
도 14는 본 발명에 따른 마스크가 사용될 수 있는 리소그래피 장치를 개략적으로 도시하고 있다. 상기 장치는,
ㆍ방사선(예를 들어, UV 방사선)의 투영 빔(PB)을 공급하는 방사 시스템(Ex, IL). 특별한 경우에는 상기 방사 시스템이 방사원(LA)를 포함하기도 한다;
ㆍ마스크(MA)(예를 들어, 레티클)를 잡아주는 마스크 홀더를 구비하며, 아이템(PL)에 대하여 마스크를 정확히 위치시키는 제1위치결정수단에 연결된 제1대물테이블(마스크 테이블)(MT);
ㆍ기판(W)(예를 들어, 레지스트 코팅된 실리콘 웨이퍼)을 잡아주는 기판 홀더를 구비하며, 아이템(PL)에 대하여 기판을 정확히 위치시키는 제2위치결정수단에 연결된 제2대물테이블(기판 테이블)(WT); 및
ㆍ기판(W)의 목표영역(C)(예를 들어, 1이상의 다이로 이루어진)에 마스 크(MA)의 조사된 부분을 묘화하는 투영 시스템("렌즈")(PL)(예를 들어, 굴절형, 반사형 또는 캐타디옵트릭 시스템)을 포함하여 이루어진다.
도시된 바와 같이, 상기 장치는 투과형(transmissive type)이다(즉, 투과 마스크를 구비한다). 하지만, 일반적으로는, 예를 들어 (반사 마스크를 구비한) 반사형일 수도 있다. 대안적으로 상기 장치는 위에서 언급된 것과 같은 형태의 프로그래밍가능한 거울배열과 같은 또 다른 종류의 패터닝 수단을 사용할 수도 있다.
상기 방사원(LA)(예를 들어, 램프 또는 엑시머 레이저)은 방사선의 투영 빔을 생성한다. 이 빔은 예를 들어, 빔 확장기(Ex)와 같은 컨디셔닝 수단을 거치거나 또는 직접 조명 시스템(일루미네이터)(IL)으로 입사된다. 상기 일루미네이터(IL)는 빔 강도 분포의 외부 및/또는 내부 반지름 크기(통상 각각 σ-외측 및 σ-내측라고 함)를 설정하는 조절 수단(AM)을 포함하여 이루어진다. 또한 그것은 일반적으로 집적기(IN) 및 집광기(CO)와 같은 그 밖의 다른 기기들을 포함하고 있다. 이러한 방식으로, 마스크(MA)에 입사되는 빔(PB)은 그 단면이 소정의 균일성과 강도 분포를 갖게 된다.
도 14과 관련하여, (예컨대, 방사원(LA)이 수은 램프인 경우에서 처럼) 상기 방사원(LA)은 리소그래피 투영장치의 하우징내에 놓일 수도 있지만, 그것이 리소그래피 투영장치와 멀리 떨어져 있어서 그것이 만들어 낸 방사 빔이 (가령, 적당한 배향 거울에 의해) 장치 내부로 들어오게 할 수도 있다. 방사원(LA)이 엑시머 레이져인 경우에는 후자 쪽이기 쉽다.
계속하여, 상기 빔(PB)은 마스크테이블(MT)상에 고정된 마스크(MA)를 통과한다. 마스크(MA)를 가로지른 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 목표영역(C)위에 빔(PB)의 초점을 맞춘다. 제2위치결정수단(및 간섭계 측정수단(IF))에 의해, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 목표영역(C)을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제1위치결정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캐닝하는 동안에 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 14에 명확히 도시되지는 않았지만, 긴 행정 모듈(long stroke module)(대략 위치결정) 및 짧은 행정 모듈(미세 위치결정)의 도움을 받아 행해질 것이다. 하지만, (스텝-앤드-스캔 장치와는 대조적으로) 웨이퍼 스테퍼의 경우에는 마스크 테이블(MT)은 단행정 액추에이터에 연결되어 있거나 고정되어 있을 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
- 스텝 모드에서, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지는 한 번에(즉, 단일 "섬광"으로) 목표 영역(C)으로 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 쉬프트되어 그와 다른 목표 영역(C)이 빔(PB)에 의해 조사될 수 있다.
- 스캔 모드에서는, 소정 목표 영역(C)이 단일 "섬광"으로 노광되지 않은 것을 제외하고는 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 ν의 속도로 소정 방향(소위 "스캐닝 방향", 예를 들어 y 방향)으로 이동 가능해서, 투영 빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 동시에 기판테이블(WT)은 속도 V=Mv로, 동일한 방향 또는 그 반대 방향으로 동시에 이동하며,이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 M=1/5)이다. 이러한 방식으로, 해상도를 떨어 뜨리지 않고도 비교적 넓은 목표 영역(C)이 노광될 수 있다.
비록 본 발명의 어떠한 특정 실시예만이 개시되어 있지만, 그들의 원리나 주특성으로부터 벗어나지 않고도 본 발명이 다른 형태로 구현될 수도 있다. 따라서 본 실시예는 첨부된 청구항이 지시하는 발명의 범위에 한정되지 않고 선례에서와 같이 모든 면에서 고려되어야 하며, 따라서 청구항과 동등한 범위 및 의미에 포함되는 모든 변형례들도 거기에 속하는 것이라 할 수 있다.
상술한 바와 같이, 본 발명의 하이브리드 포토마스크는 고해상도의 "임계적" 형상의 프린팅을 가능케함과 동시에 저해상도의 "비임계적" 형상의 프린팅을 가능케하여, 이에 따라 광근접성 보정 기술에 대한 전반적인 요구조건을 감소시키고 "임계적" 형상에 대하여 향상된 CD 제어를 제공한다.
도 1a는 클리어 필드 교번 위상 쉬프트 마스크의 예시를 나타내는 도면이다.
도 1b는 다크 필드 교번 위상 쉬프트 마스크의 예시를 나타내는 도면이다.
도 2는 0-π위상 쉬프트 쌍(16 및 18)을 갖는 감쇠 위상 쉬프트 마스크의 예시를 나타내는 도면이다.
도 3a 및 도 3b는 다크 필드 교번 PSM의 광근접 효과를 나타내는 도면이다. 도시된 바와 같이, 에어리얼 이미지 강도는 0-π윈도우 쌍의 크기 및 근접에 의해 영향을 받을 수 있다.
도 4는 무크롬 위상 쉬프트 마스크(CLM)의 예시를 나타내는 도면이다.
도 5a 내지 도 5d는 교번 PSM을 사용하여 얻은 광근접 효과의 예시를 나타내는 도면이다. 도 5a는 형상(24 및 26)을 구비한 소정의 형상 마스크(23)(M1), 0-π위상 쉬프트 요소(28 및 30)를 구비한 교번 PSM(25)(M2) 및 합성조합 노광 마스크(27)(MC)를 도시하고 있다. 도 5b는 조합 노광 마스크를 사용하여 얻은 시뮬레이션된 2D 에어리얼 이미지를 도시하고 있다(여기서, I는 강도). 도 5c는 현상된 레지스트의 등고선을 도시하고 있다. 도 5d는 얻어진 형상이 화살표로 지시된 바와 같은 곧은 에지를 갖지 않는 것을 도시하고 있다. 휘어진 에지는 0-π윈도우 쌍의 코너에서 강한 OPE로부터 초래된다.
도 6a는 게이트 전극과 활성영역 마스크 레이아웃이 오버레이된 논리회로설계의 예시를 나타내는 도면이다.
도 6b는 도 6a의 설계가 어떻게 더 작은 임계 형상과 게이트 전극 형상(즉, 임계 형상)으로 분리될 수 있는지와 본 발명에 따라 형성된 하이브리드 마스크를 나타내는 도면이다.
도 7a 내지 도 7f는 본 발명에 따른 통상의 마스크 제조공정 순서의 예시를 나타내는 도면이다.
도 8a 내지 도 8c는 산란 바아가 본 발명의 하이브리드 마스크와 연계되어 어떻게 이용될 수 있는지와 산란 바아를 하이브리드 마스크와 결합함으로써 얻어진 결과를 나타내는 도면이다.
도 9a 내지 도 9c는 현재의 라인-엔드 쇼트닝의 방지에 이용될 수 있는 다양한 광근접보정 스타일 및 보정의 결과를 나타내는 도면이다.
도 10a 내지 도 10d는 라인-엔드를 식별하는 대안적인 방법과 OPC를 적용하는 방법을 나타내는 도면이다.
도 11a는 각진 형상을 이용하는 경우에 생길 수 있는 광근접효과를 나타내는 도면이다.
도 11b는 OPC를 이용하여 보정된 도 11a의 패턴을 나타내는 도면이다.
도 12a 내지 도 12c는 형상 변이 조인트용 OPC 기술의 예시를 나타내는 도면이다.
도 13a 내지 도 13d는 본 발명의 하이브리드 마스크의 한 가지 가능한 변형례를 나타내는 도면이다.
도 14는 리소그래피 노광장치를 나타낸다.

Claims (23)

  1. 리소그래피 노광장치를 사용하여 웨이퍼상에 리소그래피 패턴을 전달하는 마스크로서,
    기판을 포함하고;
    상기 웨이퍼상에 인쇄될 하나 이상의 비임계적인 형상에 대응하는 제1패턴을 포함하되, 상기 제1패턴은 상기 기판상에 형성된 저 투과 위상 쉬프트 마스크 형상과 비위상쉬프팅 마스크 형상 중 하나를 포함하고; 및
    상기 웨이퍼상에 인쇄될 하나 이상의 임계적인 형상에 대응하는 제2패턴을 포함하되, 상기 제2패턴은 상기 기판을 에칭함으로써 생성된 무크롬 위상 쉬프트 마스크 형상을 포함하는 것을 특징으로 하는 마스크.
  2. 삭제
  3. 제1항에 있어서,
    상기 저 투과 위상 쉬프트 마스크 형상은 5% 내지 8% 투과 감쇠 위상 쉬프트 마스크 형상을 포함하는 것을 특징으로 하는 마스크.
  4. 제1항에 있어서,
    상기 저 투과 위상 쉬프트 마스크 형상은 비위상쉬프팅 크롬 마스크 형상을 포함하는 것을 특징으로 하는 마스크.
  5. 제1항, 제3항 및 제4항 중 어느 항에 있어서,
    상기 무크롬 위상 쉬프트 마스크 형상은 100% 투과를 나타내는 것을 특징으로 하는 마스크.
  6. 삭제
  7. 삭제
  8. 리소그래피 노광장치를 사용하여 웨이퍼상에 리소그래피 패턴을 전달하기 위해 기판상에 마스크를 형성하는 방법으로서,
    상기 웨이퍼상에 인쇄될 하나 이상의 비임계적인 형상에 대응하는 제1패턴을 상기 기판상에 형성하는 단계를 포함하되, 상기 제1패턴은 저 투과 위상 쉬프트 마스크 형상 및 비위상 쉬프팅 마스크 형상 중 하나를 포함하고; 및
    상기 마스크상의 하나 이상의 임계적인 형상에 대응하는 제2패턴을 상기 기판상에 형성하는 단계를 포함하되, 상기 제2패턴은 상기 기판을 에칭함으로써 생성된 무크롬 위상 쉬프트 마스크 형상을 포함하는 것을 특징으로 하는 방법.
  9. 리소그래피 노광장치를 사용하여 기판상에 형성된 포토리소그래피 마스크로부터의 리소그래피 패턴을 웨이퍼상에 전달하는 방법으로서,
    상기 포토리소그래피 마스크를 형성하는 단계를 포함하되,
    상기 포토리소그래피 마스크는:
    상기 기판을 포함하고;
    상기 웨이퍼상에 인쇄될 하나 이상의 비임계적인 형상에 대응하는 제1패턴을 포함하되, 상기 제1패턴은 상기 기판상에 형성된 저 투과 위상 쉬프트 마스크 형상과 비위상쉬프팅 마스크 형상 중 하나를 포함하고;
    상기 웨이퍼상에 인쇄될 하나 이상의 임계적인 형상에 대응하는 제2패턴을 포함하되, 상기 제2패턴은 상기 기판을 에칭함으로써 생성된 무크롬 위상 쉬프트 마스크 형상을 포함하고; 및
    상기 리소그래피 노광장치를 이용하여 상기 포토리소그래피 마스크를 단일 노광에 노출시키는 단계를 포함하되, 상기 단일 노광은 상기 웨이퍼상에 상기 임계적인 형상 및 상기 비임계적인 형상을 모두 인쇄하도록 동작하는 것을 특징으로 하는 방법.
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. (a) 부분적으로 또는 전체적으로 방사선 감지재료의 층으로 덮여 있는 웨이퍼를 제공하는 단계;
    (b) 방사 시스템을 사용하여 방사 투영 빔을 제공하는 단계;
    (c) 마스크 상의 패턴을 이용하여 상기 투영 빔의 단면에 패턴을 부여하는 단계;
    (d) 상기 방사선 감지재료층의 목표영역에 상기 패터닝된 방사 빔을 투영시키는 단계를 포함하여 이루어지는 디바이스 제조방법으로서,
    상기 단계 (c)에서 이용되는 상기 마스크는:
    기판을 포함하고;
    상기 웨이퍼상에 인쇄될 하나 이상의 비임계적 형상에 대응하는 제1패턴을 포함하되, 상기 제1패턴은 상기 기판상에 형성된 저 투과 위상 쉬프트 마스크 형상 및 비위상쉬프팅 마스크 형상 중 하나를 포함하고; 및
    상기 웨이퍼상에 인쇄될 하나 이상의 임계적인 형상에 대응하는 제2패턴을 포함하되, 상기 제2패턴은 상기 기판을 에칭함으로써 생성된 무크롬 위상 쉬프트 마스크 형상을 포함하는 것을 특징으로 하는 디바이스 제조방법.
  17. 제16항에 따른 방법을 사용하여 제조된 디바이스.
  18. 리소그래피 묘화 공정에 사용하기 위한 마스크에 대응하는 하나 이상의 파일을 생성시키는 절차를 컴퓨터로 하여금 실행시키기 위한 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체로서,
    상기 파일의 상기 생성 절차는:
    웨이퍼상에 인쇄될 하나 이상의 비임계적인 형상에 대응하는 제1패턴을 나타내는 데이터를 생성하는 단계를 포함하되, 상기 제1패턴은 저 투과 위상 쉬프트 마스크 형상과 비위상쉬프팅 마스크 형상 중 하나를 포함하고; 및
    상기 웨이퍼상에 인쇄될 하나 이상의 임계적인 형상에 대응하는 제2패턴을 나타내는 데이터를 생성하는 단계를 포함하되, 상기 제2패턴은 기판을 에칭함으로써 생성된 무크롬 위상 쉬프트 마스크 형상을 포함하는 것을 특징으로 하는 컴퓨터로 읽을 수 있는 기록매체.
  19. 제18항에 있어서,
    상기 무크롬 위상쉬프트 마스크 형상은 100%투과를 나타내는 것을 특징으로 하는 컴퓨터로 읽을 수 있는 기록매체.
  20. 리소그래피 노광장치를 사용하여 리소그래피 패턴을 묘화하기 위한 마스크로서,
    기판을 포함하고;
    묘화될 하나 이상의 비임계적인 형상에 대응하는 제1패턴을 포함하되, 상기 제1패턴은 상기 기판상에 형성된 저 투과 위상 쉬프트 형상과 비위상쉬프팅 형상 중 하나를 포함하고;
    묘화될 하나 이상의 임계적인 형상에 대응하는 제2패턴을 포함하되, 상기 제2패턴은 상기 기판을 에칭함으로써 생성된 무크롬 위상 쉬프트 형상을 포함하고; 및
    광근접효과를 감소시키기 위한 하나 이상의 광근접보정 형상을 포함하는 것을 특징으로 하는 마스크.
  21. 리소그래피 노광장치를 사용하여 리소그래피 패턴을 묘화하기 위해 기판상에 마스크를 형성하는 방법으로서,
    묘화될 하나 이상의 비임계적인 형상에 대응하는 제1패턴을 상기 기판상에 형성하는 단계를 포함하되, 상기 제1패턴은 저 투과 위상 쉬프트 형상 및 비위상 쉬프팅 형상 중 하나를 포함하고;
    묘화될 하나 이상의 임계적인 형상에 대응하는 제2패턴을 상기 기판상에 형성하는 단계를 포함하되, 상기 제2패턴은 상기 기판을 에칭함으로써 생성된 무크롬 위상 쉬프트 형상을 포함하고; 및
    광근접효과를 감소시키기 위한 하나 이상의 광근접보정 형상을 형성하는 단계를 포함하는 것을 특징으로 하는 방법.
  22. (a) 부분적으로 또는 전체적으로 방사선 감지재료의 층으로 덮여 있는 제 1 기판을 제공하는 단계;
    (b) 방사 시스템을 사용하여 방사 투영 빔을 제공하는 단계;
    (c) 마스크 상의 패턴을 이용하여 상기 투영 빔의 단면에 패턴을 부여하는 단계;
    (d) 상기 방사선 감지재료의 층의 목표영역에 상기 패터닝된 방사 빔을 투영시키는 단계를 포함하여 이루어지는 디바이스 제조방법으로서,
    상기 단계 (c)에서 이용되는 상기 마스크는:
    제 2 기판을 포함하고;
    상기 제 1 기판상에 인쇄될 하나 이상의 비임계적 형상에 대응하는 제1패턴을 포함하되, 상기 제1패턴은 상기 제 2 기판상에 형성된 저 투과 위상 쉬프트 형상 및 비위상쉬프팅 형상 중 하나를 포함하고;
    상기 제 1 기판상에 인쇄될 하나 이상의 임계적인 형상에 대응하는 제2패턴을 포함하되, 상기 제2패턴은 상기 제 2 기판을 에칭함으로써 생성된 무크롬 위상 쉬프트 형상을 포함하고; 및
    광근접효과를 감소시키기 위한 광근접보정 형상을 포함하는 것을 특징으로 하는 디바이스 제조방법.
  23. 리소그래피 묘화 공정에 사용하기 위한 마스크에 대응하는 하나 이상의 파일을 생성시키는 절차를 컴퓨터로 하여금 실행시키기 위한 프로그램을 기록한 컴퓨터로 읽을 수 있는 기록매체에 있어서,
    상기 파일의 상기 생성 절차는:
    웨이퍼상에 인쇄될 하나 이상의 비임계적인 형상에 대응하는 제1패턴을 나타내는 데이터를 생성하는 단계를 포함하되, 상기 제1패턴은 저 투과 위상 쉬프트 형상과 비위상쉬프팅 형상 중 하나를 포함하고;
    상기 웨이퍼상에 인쇄될 하나 이상의 임계적인 형상에 대응하는 제2패턴을 나타내는 데이터를 생성하는 단계를 포함하되, 상기 제2패턴은 기판을 에칭함으로써 생성된 무크롬 위상 쉬프트 형상을 포함하고; 및
    광근접효과를 감소시키기 위한 광근접보정 형상을 나타내는 데이터를 생성하는 단계를 포함하는 것을 특징으로 하는 컴퓨터로 읽을 수 있는 기록매체.
KR10-2001-0023106A 2000-05-01 2001-04-27 하이브리드 위상 쉬프트 마스크 KR100483513B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US56244300A 2000-05-01 2000-05-01
US09/562,443 2000-05-01

Publications (2)

Publication Number Publication Date
KR20010106197A KR20010106197A (ko) 2001-11-29
KR100483513B1 true KR100483513B1 (ko) 2005-04-15

Family

ID=24246306

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0023106A KR100483513B1 (ko) 2000-05-01 2001-04-27 하이브리드 위상 쉬프트 마스크

Country Status (5)

Country Link
US (2) US6623895B2 (ko)
EP (1) EP1152289A3 (ko)
JP (1) JP2001356466A (ko)
KR (1) KR100483513B1 (ko)
TW (1) TW512424B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210156605A (ko) 2020-06-18 2021-12-27 (주)우성아이비 음압병동용 공기주입식 텐트

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US6852471B2 (en) 2001-06-08 2005-02-08 Numerical Technologies, Inc. Exposure control for phase shifting photolithographic masks
TW530336B (en) * 2001-08-21 2003-05-01 Asml Masktools Bv Lithographic method and lithographic apparatus
US6548417B2 (en) * 2001-09-19 2003-04-15 Intel Corporation In-situ balancing for phase-shifting mask
US7026081B2 (en) * 2001-09-28 2006-04-11 Asml Masktools B.V. Optical proximity correction method utilizing phase-edges as sub-resolution assist features
US7233887B2 (en) * 2002-01-18 2007-06-19 Smith Bruce W Method of photomask correction and its optimization using localized frequency analysis
US7122281B2 (en) * 2002-02-26 2006-10-17 Synopsys, Inc. Critical dimension control using full phase and trim masks
TWI292857B (en) 2002-03-25 2008-01-21 Asml Masktools Bv A method and apparatus for defining mask patterns utilizing a spatial frequency doubling technique
TWI293476B (en) * 2002-03-25 2008-02-11 Asml Masktools Bv Method and apparatus for performing rule-based gate shrink utilizing dipole illumination
KR100566151B1 (ko) * 2002-03-25 2006-03-31 에이에스엠엘 마스크툴즈 비.브이. 무크롬 상 리소그래피를 이용하여 상 및 크롬영역으로반도체디바이스패턴을 분해하는 방법 및 장치
US20030192015A1 (en) * 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6875624B2 (en) * 2002-05-08 2005-04-05 Taiwan Semiconductor Manufacturing Co. Ltd. Combined E-beam and optical exposure semiconductor lithography
WO2004008246A2 (en) * 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask writing
EP1579274A4 (en) * 2002-07-12 2006-06-07 Cadence Design Systems Inc METHOD AND SYSTEM FOR CONTROLLING MASKS ACCORDING TO THE CONTEXT
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
DE60305584T2 (de) 2002-07-26 2007-05-24 Asml Masktools B.V. Richtungsabhängige Abschirmung zur Benutzung mit Dipolbelichtung
DE10237344A1 (de) * 2002-08-14 2004-03-04 Infineon Technologies Ag Verfahren zur Herstellung einer Phasenmaske
TWI274969B (en) * 2002-09-11 2007-03-01 Asml Masktools Bv Method and computer program product of generating masks and mask generated thereby, device manufacturing method and device manufactured thereby, and method of printing pattern
US7172838B2 (en) * 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
US6994939B1 (en) * 2002-10-29 2006-02-07 Advanced Micro Devices, Inc. Semiconductor manufacturing resolution enhancement system and method for simultaneously patterning different feature types
JP2004342833A (ja) 2003-05-15 2004-12-02 Seiko Epson Corp 半導体装置の製造方法、電気光学装置、集積回路及び電子機器。
US7024638B2 (en) * 2003-07-14 2006-04-04 Cadence Design Systems, Inc. Method for creating patterns for producing integrated circuits
KR100552266B1 (ko) * 2003-12-31 2006-02-20 동부아남반도체 주식회사 포토 마스크
US7563546B2 (en) * 2004-01-23 2009-07-21 International Business Machiens Corporation Process for creating phase edge structures in a phase shift mask
US7438997B2 (en) * 2004-05-14 2008-10-21 Intel Corporation Imaging and devices in lithography
US7445874B2 (en) * 2004-11-10 2008-11-04 Chartered Semiconductor Manufacturing, Ltd Method to resolve line end distortion for alternating phase shift mask
US7655388B2 (en) * 2005-01-03 2010-02-02 Chartered Semiconductor Manufacturing, Ltd. Mask and method to pattern chromeless phase lithography contact hole
JP4598575B2 (ja) 2005-03-17 2010-12-15 ルネサスエレクトロニクス株式会社 パターン形成方法、半導体装置の製造方法、位相シフトマスク及び位相シフトマスクの設計方法
US7681171B2 (en) * 2005-04-12 2010-03-16 Asml Masktooks B.V. Method, program product and apparatus for performing double exposure lithography
TWI278017B (en) * 2005-04-20 2007-04-01 Nanya Technology Corp Chromeless phase shifting mask for equal line/space dense line patterns
US20060259893A1 (en) * 2005-04-28 2006-11-16 Nec Electronics Corporation Photomask, photomask set, photomask design method, and photomask set design method
US7310797B2 (en) * 2005-05-13 2007-12-18 Cadence Design Systems, Inc. Method and system for printing lithographic images with multiple exposures
US8132130B2 (en) * 2005-06-22 2012-03-06 Asml Masktools B.V. Method, program product and apparatus for performing mask feature pitch decomposition for use in a multiple exposure process
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8225261B2 (en) * 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8247846B2 (en) * 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8225239B2 (en) * 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8245180B2 (en) * 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8541879B2 (en) * 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US7908578B2 (en) * 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
EP1843202B1 (en) 2006-04-06 2015-02-18 ASML Netherlands B.V. Method for performing dark field double dipole lithography
JP4204611B2 (ja) 2006-09-25 2009-01-07 信越化学工業株式会社 フォトマスクブランクの製造方法
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
TWI326391B (en) * 2007-05-03 2010-06-21 Nanya Technology Corp High-transmission attenuating psm
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
SG192532A1 (en) 2008-07-16 2013-08-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
WO2010059050A1 (en) * 2008-11-24 2010-05-27 Vu University, Part Of "Vereniging Vu-Windesheim" Optical fiber, method of preparation thereof and device
JP4862096B2 (ja) * 2008-12-26 2012-01-25 株式会社アドバンテスト パターン測定装置及びパターン測定方法
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8192900B2 (en) * 2010-02-26 2012-06-05 Hitachi Global Storage Technologies Netherlands B.V. Advanced phase shift lithography and attenuated phase shift mask for narrow track width d write pole definition
TWI418952B (zh) * 2010-03-15 2013-12-11 Au Optronics Corp 曝光機台、圖案化薄膜的形成方法、圖案化光阻層的形成方法、主動元件陣列基板以及圖案化薄膜
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US20120148942A1 (en) * 2010-12-13 2012-06-14 James Walter Blatchford Diagonal interconnect for improved process margin with off-axis illumination
US8402398B2 (en) 2011-06-10 2013-03-19 International Business Machines Corporation Reducing through process delay variation in metal wires
NL2010025A (en) * 2012-01-17 2013-07-18 Asml Netherlands Bv Lithographic mask, lithographic apparatus and method.
KR101991405B1 (ko) 2012-09-19 2019-06-20 삼성전자주식회사 빔 형상기, 이를 구비하는 레이저 어닐링 시스템 및 이 시스템을 이용하여 반사형 포토 마스크를 제작하는 방법
US9009633B2 (en) * 2013-05-06 2015-04-14 United Microelectronics Corp. Method of correcting assist feature
WO2017176314A1 (en) * 2016-04-04 2017-10-12 Kla-Tencor Corporation Process compatibility improvement by fill factor modulation
US11080458B2 (en) * 2018-09-28 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography simulation method
US11061315B2 (en) 2018-11-15 2021-07-13 Globalfoundries U.S. Inc. Hybrid optical and EUV lithography
CN112864023B (zh) * 2021-01-07 2022-04-29 长鑫存储技术有限公司 半导体标记制作方法及半导体标记

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0684879A (ja) * 1992-04-08 1994-03-25 Internatl Business Mach Corp <Ibm> 位相シフト・マスク材料および位相シフト・マスク
EP0679948A2 (en) * 1994-04-28 1995-11-02 International Business Machines Corporation Dual phase and hybrid phase shifting mask fabrication using a surface etch monitoring technique
JPH09204035A (ja) * 1996-01-25 1997-08-05 Toppan Printing Co Ltd 位相シフトマスク及びその製造方法
KR19980023924A (ko) * 1996-09-02 1998-07-06 기따오까 다까시 위상 쉬프트 마스크 및 그 제조 방법
US5795685A (en) * 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5882827A (en) * 1996-08-26 1999-03-16 Mitsubishi Denki Kabushiki Kaisha Phase shift mask, method of manufacturing phase shift mask and method of forming a pattern using phase shift mask
JPH11283904A (ja) * 1998-03-30 1999-10-15 Sony Corp 露光方法
KR20000009376A (ko) * 1998-07-23 2000-02-15 윤종용 위상 반전 마스크 제조방법

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4902899A (en) 1987-06-01 1990-02-20 International Business Machines Corporation Lithographic process having improved image quality
US5362591A (en) 1989-10-09 1994-11-08 Hitachi Ltd. Et Al. Mask having a phase shifter and method of manufacturing same
TW198129B (ko) 1990-06-21 1993-01-11 Matsushita Electron Co Ltd
US5229255A (en) 1991-03-22 1993-07-20 At&T Bell Laboratories Sub-micron device fabrication with a phase shift mask having multiple values of phase delay
JP3179520B2 (ja) 1991-07-11 2001-06-25 株式会社日立製作所 半導体装置の製造方法
KR100256619B1 (ko) 1991-07-12 2000-06-01 사와무라 시코 포토마스크 및 그것을 사용한 레지시트 패턴 형성방법
US5633102A (en) 1991-08-23 1997-05-27 Intel Corporation Lithography using a new phase-shifting reticle
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
US5354632A (en) 1992-04-15 1994-10-11 Intel Corporation Lithography using a phase-shifting reticle with reduced transmittance
US5288569A (en) 1992-04-23 1994-02-22 International Business Machines Corporation Feature biassing and absorptive phase-shifting techniques to improve optical projection imaging
US5348826A (en) 1992-08-21 1994-09-20 Intel Corporation Reticle with structurally identical inverted phase-shifted features
US5302477A (en) 1992-08-21 1994-04-12 Intel Corporation Inverted phase-shifted reticle
US5256505A (en) 1992-08-21 1993-10-26 Microunity Systems Engineering Lithographical mask for controlling the dimensions of resist patterns
US5538815A (en) 1992-09-14 1996-07-23 Kabushiki Kaisha Toshiba Method for designing phase-shifting masks with automatization capability
US5362584A (en) 1993-04-02 1994-11-08 International Business Machines Corporation Phase-shifting transparent lithographic mask for writing contiguous structures from noncontiguous mask areas
US5424154A (en) 1993-12-10 1995-06-13 Intel Corporation Lithographic emhancement method and apparatus for randomly spaced structures
US5447810A (en) 1994-02-09 1995-09-05 Microunity Systems Engineering, Inc. Masks for improved lithographic patterning for off-axis illumination lithography
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
JP2917879B2 (ja) 1995-10-31 1999-07-12 日本電気株式会社 フォトマスク及びその製造方法
US5618643A (en) 1995-12-15 1997-04-08 Intel Corporation Embedded phase shifting mask with improved relative attenuated film transmission
US5723233A (en) 1996-02-27 1998-03-03 Lsi Logic Corporation Optical proximity correction method and apparatus
US5707765A (en) 1996-05-28 1998-01-13 Microunity Systems Engineering, Inc. Photolithography mask using serifs and method thereof
US6228539B1 (en) * 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5807649A (en) 1996-10-31 1998-09-15 International Business Machines Corporation Lithographic patterning method and mask set therefor with light field trim mask
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
JPH11223930A (ja) 1998-02-09 1999-08-17 Matsushita Electron Corp 透過型露光用位相シフトマスクおよび該シフトマスクを用いた半導体集積回路装置の製造方法
US6312854B1 (en) 1998-03-17 2001-11-06 Asml Masktools Netherlands B.V. Method of patterning sub-0.25 lambda line features with high transmission, “attenuated” phase shift masks
US6210841B1 (en) 1999-09-07 2001-04-03 Taiwan Semiconductor Manufacturing Company Approach to increase the resolution of dense line/space patterns for 0.18 micron and below design rules using attenuating phase shifting masks
DE10001119A1 (de) 2000-01-13 2001-07-26 Infineon Technologies Ag Phasenmaske
US6458495B1 (en) 2000-06-30 2002-10-01 Intel Corporation Transmission and phase balance for phase-shifting mask

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0684879A (ja) * 1992-04-08 1994-03-25 Internatl Business Mach Corp <Ibm> 位相シフト・マスク材料および位相シフト・マスク
EP0679948A2 (en) * 1994-04-28 1995-11-02 International Business Machines Corporation Dual phase and hybrid phase shifting mask fabrication using a surface etch monitoring technique
JPH09204035A (ja) * 1996-01-25 1997-08-05 Toppan Printing Co Ltd 位相シフトマスク及びその製造方法
US5882827A (en) * 1996-08-26 1999-03-16 Mitsubishi Denki Kabushiki Kaisha Phase shift mask, method of manufacturing phase shift mask and method of forming a pattern using phase shift mask
KR19980023924A (ko) * 1996-09-02 1998-07-06 기따오까 다까시 위상 쉬프트 마스크 및 그 제조 방법
US5795685A (en) * 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
JPH11283904A (ja) * 1998-03-30 1999-10-15 Sony Corp 露光方法
KR20000009376A (ko) * 1998-07-23 2000-02-15 윤종용 위상 반전 마스크 제조방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210156605A (ko) 2020-06-18 2021-12-27 (주)우성아이비 음압병동용 공기주입식 텐트

Also Published As

Publication number Publication date
TW512424B (en) 2002-12-01
KR20010106197A (ko) 2001-11-29
EP1152289A2 (en) 2001-11-07
EP1152289A3 (en) 2002-03-20
US6835510B2 (en) 2004-12-28
US6623895B2 (en) 2003-09-23
JP2001356466A (ja) 2001-12-26
US20020015899A1 (en) 2002-02-07
US20040067423A1 (en) 2004-04-08

Similar Documents

Publication Publication Date Title
KR100483513B1 (ko) 하이브리드 위상 쉬프트 마스크
US7399559B2 (en) Optical proximity correction method utilizing phase-edges as sub-resolution assist features
US6553562B2 (en) Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
US5523186A (en) Split and cover technique for phase shifting photolithography
US7013453B2 (en) Full sized scattering bar alt-PSM technique for IC manufacturing in sub-resolution ERA
US7666554B2 (en) Method and apparatus for performing model-based layout conversion for use with dipole illumination
EP2177949B1 (en) Method of correcting proximity effects in an attenuated rim-phase shifting mask
JP4495663B2 (ja) サブ分解能アシストフューチャとしてグレーバーを使用する光近接補正方法
EP1438633B1 (en) Method for forming elliptical and rounded features using beam shaping
EP0698821A1 (en) High resolution phase edge lithography without the need for a trim mask
US20030134205A1 (en) Optical proximity correction common process window maximization over varying feature pitch
US20040115539A1 (en) Method of achieving CD linearity control for full-chip CPL manufacturing
KR20030077446A (ko) 다이폴 조명을 활용하여 규칙기반 게이트 슈링크를수행하는 방법 및 장치
US6875624B2 (en) Combined E-beam and optical exposure semiconductor lithography

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment
FPAY Annual fee payment

Payment date: 20160328

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee