KR100419752B1 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
KR100419752B1
KR100419752B1 KR10-1999-0063485A KR19990063485A KR100419752B1 KR 100419752 B1 KR100419752 B1 KR 100419752B1 KR 19990063485 A KR19990063485 A KR 19990063485A KR 100419752 B1 KR100419752 B1 KR 100419752B1
Authority
KR
South Korea
Prior art keywords
bit line
insulating film
film
forming
low dielectric
Prior art date
Application number
KR10-1999-0063485A
Other languages
Korean (ko)
Other versions
KR20010061010A (en
Inventor
유재선
김정호
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-1999-0063485A priority Critical patent/KR100419752B1/en
Publication of KR20010061010A publication Critical patent/KR20010061010A/en
Application granted granted Critical
Publication of KR100419752B1 publication Critical patent/KR100419752B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Abstract

본 발명은 반도체소자의 제조방법에 관한 것으로, 다마신(damascene)공정으로 비트라인을 형성하는 방법에 있어서 저유전체막 패턴으로 더미 비트라인을 형성하고, 상기 더미 비트라인의 측벽에 절연막 스페이서를 형성한 다음, 상기 더미 비트라인을 제거하여 비트라인 콘택홀 및 비트라인 트렌치를 형성한 후, 상기 비트라인 콘택홀 및 비트라인 트렌치를 동시에 매립하여 비트라인 콘택과 비트라인을 동시에 형성할 수 있으므로 공정을 단순하게 하고, 비트라인을 식각공정이 아닌 증착공정으로 형성하여 비트라인과 주변의 다른 물질과의 계면특성을 향상시켜 후속 고온공정에서 비트라인이 리프팅되는 것을 방지함으로써 전기적 특성이 우수하지만 리프팅되지 쉬운 물질도 비트라인으로 형성할 수 있으므로 소자의 동작 특성을 향상시킬 수 있으며, 갭필(gap fill)특성이 우수하여 보이드(void)에 의한 콘택간의 단락을 방지할 수 있다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device. In the method of forming a bit line by a damascene process, a dummy bit line is formed by a low dielectric layer pattern, and an insulating layer spacer is formed on sidewalls of the dummy bit line. Next, the bit line contact hole and the bit line trench may be removed by removing the dummy bit line, and the bit line contact hole and the bit line trench may be simultaneously buried to form the bit line contact and the bit line at the same time. Simplify and form the bit line by the deposition process rather than the etching process to improve the interfacial properties between the bit line and other materials, thereby preventing the bit line from lifting in subsequent high temperature processes. The material can also be formed as a bit line to improve the operating characteristics of the device, The gap fill property is excellent, and short-circuit between contacts due to voids can be prevented.

Description

반도체소자의 제조방법{Manufacturing method of semiconductor device}Manufacturing method of semiconductor device

본 발명은 반도체소자의 제조방법에 관한 것으로서, 특히 다마신공정으로 비트라인 콘택홀 및 비트라인 트렌치를 동시에 형성한 다음, 상기 비트라인 콘택홀 및 비트라인 트렌치를 매립하는 비트라인 및 비트라인 콘택플러그를 형성하는 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and in particular, a bit line contact bit and a bit line contact plug which simultaneously form a bit line contact hole and a bit line trench in a damascene process and then fill the bit line contact hole and a bit line trench. It relates to a method of forming.

최근의 반도체장치의 고집적화 추세는 미세 패턴 형성 기술의 발전에 큰 영향을 받고 있으며, 반도체장치의 제조공정 중에서 식각 또는 이온주입 공정 등의 마스크로 매우 폭 넓게 사용되는 감광막 패턴의 미세화가 필수 요건이다.The recent trend of high integration of semiconductor devices has been greatly influenced by the development of fine pattern formation technology, and the miniaturization of photoresist patterns, which are widely used as masks such as etching or ion implantation processes, is essential in the manufacturing process of semiconductor devices.

상기 감광막 패턴의 분해능(R)은 축소노광장치의 광원의 파장(λ) 및 공정 변수(k)에 비례하고, 노광 장치의 렌즈 구경(numerical aperture : NA, 개구수)에 반비례한다.The resolution R of the photoresist pattern is proportional to the wavelength λ of the light source of the reduction exposure apparatus and the process variable k, and inversely proportional to the numerical aperture NA of the exposure apparatus.

[ R = k*λ/NA, R=해상도, λ=광원의 파장, NA=개구수][R = k * λ / NA, R = resolution, λ = wavelength of light source, NA = number of apertures]

여기서, 상기 축소노광장치의 광분해능을 향상시키기 위하여 광원의 파장을 감소시키게 되며, 예를 들어 파장이 436 및 365nm인 G-라인 및 i-라인 축소노광장치는 공정 분해능이 각각 약 0.5, 0.3㎛ 정도가 한계이고, 0.3㎛이하의 미세 패턴을 형성하기 위해 파장이 작은 원자외선(deep ultra violet ; DUV), 예를 들어 파장이 248㎚인 KrF 레이저나 193㎚인 ArF 레이저를 광원으로 사용하는 노광장치를 이용하거나, 공정상의 방법으로는 노광마스크(photo mask)를 위상 반전 마스크(phase shift mask)를 사용하는 방법과, 이미지 콘트라스트를 향상시킬 수 있는 별도의 박막을 웨이퍼 상에 형성하는 씨.이.엘.(contrast enhancement layer, 이하 CEL이라 함)방법이나 두 층의 감광막 사이에 SOG 등의 중간층을 개재시킨 삼층레지스트(tri layer resist, TLR) 방법 또는 감광막의 상측에 선택적으로 실리콘을 주입시키는 실리레이션 방법 등이 개발되어 분해능 한계치를 낮추고 있다.Here, the wavelength of the light source is reduced in order to improve the optical resolution of the reduced exposure apparatus. For example, the G-line and i-line reduced exposure apparatus having wavelengths of 436 and 365 nm have a process resolution of about 0.5 and 0.3 µm, respectively. Exposure is limited using a deep ultra violet (DUV) light source, for example, a KrF laser having a wavelength of 248 nm or an ArF laser having a wavelength of 193 nm as a light source to form a fine pattern of 0.3 μm or less. As an apparatus or process method, a photo mask is used as a phase shift mask, and a separate thin film is formed on the wafer to improve image contrast. L. (contrast enhancement layer, CEL) method, tri-layer resist (TLR) method in which an intermediate layer such as SOG is interposed between two layers of photoresist, or selectively on top of the photoresist. Silicate methods for injecting cones have been developed to lower the resolution limit.

또한 상하의 도전배선을 연결하는 콘택홀은 소자가 고집적화되어감에 따라 자체의 크기와 주변배선과의 간격이 감소되고, 콘택홀의 지름과 깊이의 비인 에스펙트비(aspect ratio)가 증가한다. 따라서, 다층의 도전배선을 구비하는 고집적 반도체소자에서는 콘택을 형성하기 위하여 제조 공정에서의 마스크들간의 정확하고 엄격한 정렬이 요구되어 공정여유도가 감소된다.In addition, the contact hole connecting the upper and lower conductive wirings has a high integration of the device, and the size of the contact holes decreases, and the distance between the peripheral wirings is reduced, and the aspect ratio, which is the ratio of the diameter and the depth of the contact hole, increases. Therefore, in a highly integrated semiconductor device having multiple conductive wirings, accurate and tight alignment between masks in a manufacturing process is required to form a contact, thereby reducing process margin.

이러한 콘택홀은 간격유지를 위하여 마스크 정렬시 오배열의 여유(misalignment tolerance), 노광공정시의 렌즈 왜곡(lens distortion), 마스크 제작 및 사진식각 공정시의 임계크기 변화(critical dimension variation), 마스크간의 정합(registration) 등과 같은 요인들을 고려하여 마스크를 형성한다.These contact holes have misalignment tolerance when aligning the mask, lens distortion during the exposure process, critical dimension variation during the mask fabrication and photolithography process, and between masks to maintain the spacing. The mask is formed by considering factors such as registration.

그리고, 콘택홀 형성시 리소그래피(lithography)공정의 한계를 극복하기 위하여 자기 정렬 방법으로 콘택홀을 형성하는 자기정렬콘택(self aligned contact, 이하 SAC 라 함)기술이 개발되었다.In order to overcome the limitations of the lithography process in forming the contact holes, a self aligned contact (SAC) technology for forming contact holes by a self alignment method has been developed.

상기 SAC 방법은 식각장벽층으로 사용하는 물질에 따라 다결정실리콘층이나 질화막 또는 산화질화막 등을 사용하는 것으로 나눌 수 있으며, 가장 유망한 것으로 질화막을 식각방어막으로 사용하는 방법이 있다.The SAC method may be divided into a polysilicon layer, a nitride film, or an oxynitride film according to the material used as the etch barrier layer, and the most promising method is to use a nitride film as an etch barrier.

상기와 같이 SAC방법으로 도전배선을 형성하는 경우 콘택홀을 형성한 다음, 콘택플러그를 형성하고, 도전층을 형성한 다음, 도전배선마스크를 사용하여 상기도전층을 식각하여 도전배선을 형성하였으나, 상기 식각공정에 의한 스트레스에 의해 후속공정온도 등에 의해 비트라인이 들뜨는 현상이 발생하고, 감광막 패턴의 두께가 감소함에 따라 배선식각이 어려워지는 문제점이 있다.When the conductive wiring is formed by the SAC method as described above, the contact hole is formed, the contact plug is formed, the conductive layer is formed, and then the conductive layer is etched using the conductive wiring mask to form the conductive wiring. The bit line is lifted due to the subsequent process temperature due to the stress caused by the etching process, and the wiring etching becomes difficult as the thickness of the photoresist pattern decreases.

상기와 같은 문제점을 해결하기 위하여 다마신(damascene)공정으로 도전배선을 형성하였다.In order to solve the above problems, a conductive wiring was formed by a damascene process.

상기 다마신공정으로 비트라인을 형성하는 방법으로 듀얼 다마신공정으로 비트라인 콘택과 비트라인 트렌치 식각을 동시에 진행하여 비트라인과 비트라인 콘택플러그를 동시에 형성하는 방법이 있다.The bit line is formed by the damascene process, and the bit line contact and the bit line trench etching are simultaneously performed by the dual damascene process to simultaneously form the bit line and the bit line contact plug.

그러나, 상기 방법은 절연막의 식각공정시 자기정렬콘택공정으로 비트라인 식각방지층 및 게이트전극과 콘택간의 단락을 방지하기 위한 마스크절연막 및 절연막 스페이서에 대해 높은 식각선택비를 확보해야 하기 때문에 소자의 패턴 크기가 작아지고, 감광막 패턴의 높이가 낮아짐에 따라 식각공정이 매우 어렵고, 공정마진이 좁은 단점이 있다.However, since the method requires a high etching selectivity for the mask insulating film and the insulating film spacer to prevent the short-line between the bit line etch stop layer and the gate electrode and the contact in the self-aligned contact process during the etching process of the insulating film, the pattern size of the device As it becomes smaller and the height of the photoresist pattern is lowered, the etching process is very difficult and the process margin is narrow.

한편, 다른 방법으로 콘택플러그를 먼저 형성한 다음, 다마신공정으로 비트라인을 형성하는 싱글다마신공정은 듀얼다마신공정보다 식각공정이 수월하지만, 콘택플러그형성공정과 비트라인형성공정을 별도로 진행해야 하므로 공정이 복잡해지는 단점이 있다.On the other hand, the single damascene process which first forms the contact plug and then forms the bit line by the damascene process is easier to etch than the dual damascene process, but the contact plug formation process and the bit line formation process must be performed separately. This has the disadvantage of becoming complicated.

또한, 비트라인형성시 후속공정인 저장전극 콘택형성시 비트라인과의 단락을 방지하기 위해 비트라인을 감싸는 절연막을 형성해야 하지만, 다마신공정으로 비트라인을 형성하는 경우 비트라인을 감싸지는 절연막 스페이서를 형성하기 어려운 단점이 있다.In addition, when forming the bit line, an insulating film surrounding the bit line should be formed to prevent a short circuit with the bit line when forming the storage electrode contact, which is a subsequent process. There is a disadvantage that is difficult to form.

듀얼다마신공정시 절연막 식각공정으로 비트라인 트렌치 및 비트라인 콘택식각을 진행한 후 비트라인을 감싸는 절연막 스페이서를 형성하는 경우 게이트전극 간의 공간에도 절연막이 증착되어 콘택내의 게이트전극 간의 공간이 대부분 채워져 식각공정으로 반도체기판까지 콘택오픈이 거의 불가능하게 된다. 싱글 다마신공정에서는 비트라인 트렌치식각후 하부에 마스크절연막이 드러나는데 후속의 비트라인을 둘러싸는 절연막 스페이서 식각공정시 하부의 마스크절연막 또는 그 아래의 층간절연막에 대해 높은 식각선택비를 확보해야 하는 문제점이 있다.In the dual damascene process, after the bit line trench and the bit line contact etching are performed by the insulating film etching process, an insulating film spacer surrounding the bit line is formed. As a result, contact opening to the semiconductor substrate is almost impossible. In the single damascene process, a mask insulating film is exposed at the bottom after the bit line trench etching. An insulating film surrounding the subsequent bit line has a problem that a high etching selectivity must be secured for the lower mask insulating film or the interlayer insulating film under the spacer etching process. have.

본 발명은 상기한 종래기술의 문제점을 해결하기 위하여, 다마신공정으로 비트라인을 형성하는 공정에서 저유전체막을 사용하여 더미 비트라인을 형성하고, 상기 더미 비트라인을 둘러싸는 질화막 스페이서를 형성한 다음, 상기 더미 비트라인을 제거한 후 비트라인 콘택플러그 및 비트라인을 형성하는 반도체소자의 제조방법을 제공하는데 그 목적이 있다.In order to solve the above problems of the prior art, a dummy bit line is formed using a low dielectric film in a process of forming a bit line by a damascene process, and a nitride film spacer surrounding the dummy bit line is formed. SUMMARY OF THE INVENTION An object of the present invention is to provide a method for manufacturing a semiconductor device in which a bit line contact plug and a bit line are formed after removing the dummy bit line.

도 1 내지 도 7 은 본 발명에 따른 반도체소자의 제조방법을 도시한 단면도.1 to 7 are cross-sectional views showing a method of manufacturing a semiconductor device according to the present invention.

<도면의 주요부분에 대한 부호 설명><Description of Signs of Major Parts of Drawings>

11 : 반도체기판 13 : 게이트전극11 semiconductor substrate 13 gate electrode

15 : 제1마스크절연막 패턴 17 : 절연막 스페이서15: first mask insulating film pattern 17: insulating film spacer

19 : 제1층간절연막 21 : 비트라인 콘택홀19: first interlayer insulating film 21: bit line contact hole

23 : 저유전체막 25 : 제2마스크절연막23: low dielectric film 25: second mask insulating film

27 : 감광막 패턴 29 : 제1장벽절연막27: photosensitive film pattern 29: first barrier insulating film

31 : 제2층간절연막 32 : 제1장벽절연막 패턴31: second interlayer insulating film 32: first barrier insulating film pattern

33 : 비트라인 35 : 제2장벽절연막 패턴33: bit line 35: second barrier insulating film pattern

이상의 목적을 달성하기 위하여 본 발명에 따른 반도체소자의 제조방법은,반도체기판 상부에 상측에 제1마스크절연막 패턴이 구비되고, 측벽에 제1절연막 스페이서가 구비되는 게이트전극과 소오스/드레인영역으로 구성되는 모스전계효과 트랜지스터를 형성하는 공정과,전체표면 상부에 상기 반도체기판에서 비트라인 콘택으로 예정되는 부분을 노출시키는 비트라인 콘택홀이 구비된 제1층간절연막을 형성하는 공정과,상기 바트라인 콘택홀을 메우며, 상기 제1층간졀연막 보다 높게 형성되는 저유전체막 패턴 및 그 상부에 중첩되어있는 제2마스크절연막 패턴의 적층구조로 형성된 더미 비트라인을 형성하는 공정과,전체표면 상부에 제1장벽절연막을 소정 두께 형성한 다음, 제2층간절연막을 형성하여 평탄화시키는 공정과,상기 제2층간절연막, 제1장벽절연막 및 제2마스크절연막을 순차적으로 식각하여 상기 저유전체막을 노출시키는 동시에 상기 저유전체막을 둘러싸는 제1장벽절연막 패턴을 형성하는 공정과,상기 저유전체막을 제거하여 비트라인 콘택홀 및 트렌치를 형성한 다음, 상기 비트라인 콘택홀 및 트렌치의 일부를 매립하는 비트라인을 형성하는 공정과,In order to achieve the above object, a method of manufacturing a semiconductor device according to the present invention includes a gate electrode and a source / drain region having a first mask insulating film pattern on an upper side of a semiconductor substrate and a first insulating film spacer on a sidewall of the semiconductor device. Forming a MOS field effect transistor; forming a first interlayer insulating film having a bit line contact hole exposing a portion of the semiconductor substrate to be a bit line contact on an entire surface of the semiconductor substrate; Forming a dummy bit line having a stacked structure of a low dielectric layer pattern formed over the first interlayer dielectric layer and a second mask insulating layer pattern superimposed thereon, filling the hole; Forming a barrier insulating film to a predetermined thickness, and then forming and planarizing a second interlayer insulating film; and the second interlayer insulating film, Sequentially etching a wall insulating film and a second mask insulating film to expose the low dielectric film and to form a first barrier insulating film pattern surrounding the low dielectric film; and removing the low dielectric film to form bit line contact holes and trenches. And forming a bit line filling a portion of the bit line contact hole and a trench;

상기 비트라인 트렌치의 매립되지 않은 부분에 제2장벽절연막 패턴을 형성하는 공정을 포함하는 것을 특징으로 한다.이하, 첨부된 도면을 참고로 하여 본 발명을 상세히 설명하기로 한다.And forming a second barrier insulating film pattern on an unfilled portion of the bit line trench. Hereinafter, the present invention will be described in detail with reference to the accompanying drawings.

도 1 내지 도 7 은 본 발명에 따른 반도체소자의 제조방법을 도시한 단면도이다.1 to 7 are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the present invention.

먼저, 반도체기판(11)에서 소자분리영역으로 예정되는 부분에 소자분리 절연막(도시안됨)을 형성하고, 전체표면 상부에 게이트절연막(도시안됨)을 형성한 다음, 전체표면 상부에 게이트전극용 도전층과 제1마스크절연막을 순차적으로 형성한다.First, a device isolation insulating film (not shown) is formed on a portion of the semiconductor substrate 11, which is intended as an isolation region, and a gate insulating film (not shown) is formed on the entire surface, and then the gate electrode is electrically conductive on the entire surface. A layer and a first mask insulating film are formed sequentially.

다음, 게이트전극 마스크를 식각마스크로 상기 제1마스크절연막과 게이트전극용 도전층을 식각하여 게이트전극(13)과 제1마스크절연막 패턴(15)의 적층구조를 형성한다.Next, the first mask insulating layer and the conductive layer for the gate electrode are etched using the gate electrode mask as an etch mask to form a stacked structure of the gate electrode 13 and the first mask insulating layer pattern 15.

그 다음, 상기 적층구조의 측벽에 제1절연막 스페이서(17)를 형성한다.Next, a first insulating film spacer 17 is formed on sidewalls of the stacked structure.

다음, 전체표면 상부에 제1층간절연막(19)을 형성하여 평탄화시키고, 상기 반도체기판(11)에서 비트라인 콘택으로 예정되는 부분을 노출시키는 비트라인 콘택마스크를 식각마스크로 사용하여 상기 제1층간절연막(19)을 식각하여 비트라인 콘택홀(21)을 형성한다. (도 1 참조)Next, a first interlayer insulating film 19 is formed on the entire surface of the first interlayer insulating film 19 to planarize, and a bit line contact mask that exposes a portion of the semiconductor substrate 11 to be a bit line contact is used as an etching mask. The insulating film 19 is etched to form the bit line contact hole 21. (See Figure 1)

그 다음, 전체표면 상부에 저유전체막(23)과 제2마스크절연막(25)을 순차적으로 형성하고, 상기 제2마스크절연막(25) 상부에 비트라인으로 예정되는 부분을 보호하는 감광막 패턴(27)을 형성한다.Subsequently, the low dielectric layer 23 and the second mask insulating layer 25 are sequentially formed on the entire surface, and the photoresist layer pattern 27 which protects a portion intended as a bit line on the second mask insulating layer 25 is sequentially formed. ).

상기 저유전체막(23)은 유전상수 k가 0 ∼ 4로 낮은 물질로서, 플레어(FLARE), 실크(SiLK), DVS-BCB, PAE-2, Lo-K2000, Paryene AF4, PFCB(Perfluoro-cyclobuten), Teflon AF, 플로리내이티드 폴리이미드(Fluorineted polyimide), FSG, 나노글레스(nanoglass) 및 a-C:F로 이루어지는 군에서 임의로 선택되는 하나를 사용한다. 상기 물질들 이외에도 Si-O-C계, Si-O-F계, -Si-O-계, 폴리머계 및 CFx계 물질로 이루어지는 군에서 임의로 선택되는 하나를 사용할 수도 있고, 감광막 또는 쉽게 제거될 수 있는 연성을 갖는 물질을 사용하여 형성할 수 있다.The low dielectric film 23 is a material having a low dielectric constant k of 0 to 4, and includes flare, silk, DVS-BCB, PAE-2, Lo-K2000, Paryene AF4, and PFCB (Perfluoro-cyclobuten). ), Teflon AF, Fluorineted polyimide, FSG, nanoglass and aC: F are used at random. In addition to the above materials, one selected from the group consisting of Si-OC-based, Si-OF-based, -Si-O-based, polymer-based, and CF x- based materials may be used, and a photoresist or easily removable ductile material may be used. It can form using the substance which has.

그리고, 상기 제2마스크절연막(25)은 후속공정에서 상기 저유전체막(23)의 식각공정시 상기 감광막 패턴(27)과의 식각선택비가 저하되는 것을 방지하기 위하여 하드마스크 및 식각장벽으로 사용된다. 상기 제2마스크절연막(25)은 질화막, SiO2, Al2O3및 Ta2O5로 이루어지는 군에서 임의로 선택되는 하나 이상의 물질로 형성된다. (도 2 참조)The second mask insulating layer 25 is used as a hard mask and an etching barrier to prevent the etching selectivity with the photosensitive layer pattern 27 from being lowered during the etching process of the low dielectric layer 23 in a subsequent process. . The second mask insulating layer 25 is formed of one or more materials arbitrarily selected from the group consisting of a nitride film, SiO 2 , Al 2 O 3, and Ta 2 O 5 . (See Figure 2)

그리고, 상기 감광막 패턴(27)을 식각마스크로 상기 제2마스크절연막(25)과 저유전체막(23)을 식각하여 더미비트라인을 형성하고, 상기 감광막 패턴(27)을 제거한다.The second mask insulating layer 25 and the low dielectric layer 23 are etched using the photoresist pattern 27 as an etch mask to form dummy bit lines, and the photoresist pattern 27 is removed.

먼저, 상기 제2마스크절연막(25)은 CF4, SF6, NF3, C2F6, CHF3, C3F6, C3F8, C4F6, C4F8미치 C5F10으로 이루어지는 군에서 임의로 선택되는 하나를 주식각가스로 사용하고, 산소를 포함하는 가스와, 불활성가스를 혼합한 혼합가스를 식각가스로 사용하여 식각면이 수직으로 형성되게 한다.First, the second mask insulating film 25 is CF 4, SF 6, NF 3 , C 2 F 6, CHF 3, C 3 F 6, C 3 F 8, C 4 F 6, C 4 F 8 Mitch C 5 One selected arbitrarily from the group consisting of F 10 is used as the stock angular gas, and the etching surface is formed vertically by using a gas containing oxygen and a mixed gas of an inert gas as the etch gas.

상기 저유전체막(23)은 감광막을 제거하는 방법인 O2또는 N2또는 Ar를 이용한 플라즈마식각 또는 상기 가스의 혼합가스를 이용한 플라즈마식각공정으로 제거할 수 있다. 이때, 상기 저유전체막(23)의 식각공정시 측벽부분이 손상되는 것을 방지하기 위하여 SF6또는 SOx계통의 가스를 첨가하여 S-C, S-C-H계통의 폴리머를 발생시켜 측벽부분을 패시베이션(passivation)시켜 수직한 식각단면을 형성시킨다.The low dielectric layer 23 may be removed by a plasma etching process using O 2 or N 2 or Ar, which is a method of removing the photoresist film, or a plasma etching process using a mixed gas of the gas. In this case, in order to prevent the side wall portion from being damaged during the etching process of the low dielectric layer 23, a gas of SF 6 or SO x system is added to generate a polymer of SC and SCH to passivate the side wall portion. A vertical etch section is formed.

상기 저유전체막(23)의 식각공정시 상기 감광막 패턴(27)의 식각비를 상기 저유전체막(23)의 식각비보다 높게 하여 상기 감광막 패턴(27)을 동시에 제거할 수 있다. (도 3 참조)During the etching process of the low dielectric layer 23, the etching ratio of the photosensitive layer pattern 27 may be higher than that of the low dielectric layer 23 to remove the photosensitive layer pattern 27 at the same time. (See Figure 3)

다음, 전체표면 상부에 제1장벽절연막(29)을 소정 두께 형성하고, 상기 제1장벽절연막(29) 상부에 제2층간절연막(31)을 형성하여 평탄화시킨다. 상기 제1장벽절연막(29)은 SiON막, Si를 다량함유하는 SiON막, TiO2막, Al2O3막 및 Ta2O5막으로 이루어지는 군에서 임의로 선택되는 하나로 형성될 수 있다. (도 4 참조)Next, a first thickness of the first barrier insulating film 29 is formed on the entire surface, and a second interlayer insulating film 31 is formed on the first barrier insulating film 29 and planarized. The first barrier insulating film 29 may be formed of one selected from the group consisting of a SiON film, a SiON film containing a large amount of Si, a TiO 2 film, an Al 2 O 3 film, and a Ta 2 O 5 film. (See Figure 4)

그 다음, 상기 제2층간절연막(31), 제1장벽절연막(29) 및 제2마스크절연막(25)을 화학적 기계적 연마(chemical mechanical polishing, 이하 CMP 라 함) 또는 CEP(chemically enhanced polishing) 또는 전면식각(etch back)공정으로 제거하여 상기 저유전체막(23)을 노출시킨다.Next, the second interlayer insulating film 31, the first barrier insulating film 29 and the second mask insulating film 25 are chemical mechanical polishing (CMP) or chemically enhanced polishing (CEP) or front surface. The low dielectric layer 23 is exposed by an etch back process.

상기 공정으로 상기 저유전체막(23)의 측벽에는 제1장벽절연막 패턴(32)이 형성된다. 상기 제1장벽절연막 패턴(32)은 후속공정에서 저장전극과 비트라인이 서로 단락되는 것을 방지하는 역할을 한다. (도 5 참조)In the process, a first barrier insulating layer pattern 32 is formed on the sidewall of the low dielectric layer 23. The first barrier insulating layer pattern 32 prevents the storage electrode and the bit line from being shorted to each other in a subsequent process. (See Figure 5)

다음, 상기 저유전체막(23)을 제거하여 비트라인 콘택홀 및 비트라인 트렌치를 동시에 형성한다. 상기 저유전체막(23)은 O2, N2, Ar, SOx및 SF6로 이루어지는 군에서 임의로 선택되는 하나의 가스를 이용한 플라즈마식각공정으로 제거하거나, ACT, CLEAN-D, BOE 및 솔벤트계 습식케미칼으로 이루어지는 군에서 임의로 선택되는 하나를 사용하여 제거한다.Next, the low-k dielectric layer 23 is removed to simultaneously form a bit line contact hole and a bit line trench. The low dielectric layer 23 is removed by a plasma etching process using one gas arbitrarily selected from the group consisting of O 2 , N 2 , Ar, SO x, and SF 6 , or ACT, CLEAN-D, BOE, and solvent-based systems. Removal is carried out using one arbitrarily selected from the group consisting of wet chemicals.

그 후, 전체표면 상부에 다결정실리콘층, Ti, TiN, WSix및 W으로 이루어지는 군에서 임의로 선택되는 다수개를 증착한 다음, 전면식각 또는 CMP공정 또는 CEP공정으로 비트라인 트렌치의 내부 일부까지 식각하여 비트라인(33)을 형성한다. (도 6 참조)Then, a plurality of randomly selected from the group consisting of polycrystalline silicon layer, Ti, TiN, WSi x and W are deposited on the entire surface, and then etched to the inner part of the bit line trench by front etching or CMP process or CEP process. The bit line 33 is formed. (See Figure 6)

다음, 전체표면 상부에 제2장벽절연막(도시안됨)을 형성하고, 전면식각 또는 CMP 또는 CEP공정으로 평탄화시켜 상기 비트라인(33) 상부에 제2장벽절연막 패턴(35)을 형성한다. 상기 제2장벽절연막 패턴(35)은 SiON막, Si를 다량함유하는 SiON막, TiO2막, Al2O3막 및 Ta2O5막으로 이루어지는 군에서 임의로 선택되는 하나로 형성될 수 있고, 후속공정에서 상기 제1장벽절연막 패턴(32)과 함께 저장전극과 비트라인(33)간에 단락을 방지하는 역할을 한다. (도 7 참조)Next, a second barrier insulating layer (not shown) is formed on the entire surface, and the second barrier insulating layer pattern 35 is formed on the bit line 33 by planarization or planarization by CMP or CEP. The second barrier insulating film pattern 35 may be formed of one selected from the group consisting of a SiON film, a SiON film containing a large amount of Si, a TiO 2 film, an Al 2 O 3 film, and a Ta 2 O 5 film. In the process, together with the first barrier insulating layer pattern 32, a short circuit may be prevented between the storage electrode and the bit line 33. (See Figure 7)

이상에서 설명한 바와 같이 본 발명에 따른 반도체소자의 제조방법은, 다마신공정으로 비트라인을 형성하는 방법에 있어서 저유전체막 패턴으로 더미 비트라인을 형성하고, 상기 더미 비트라인의 측벽에 절연막 스페이서를 형성한 다음, 상기 더미 비트라인을 제거하여 비트라인 콘택홀 및 비트라인 트렌치를 형성한 후, 상기 비트라인 콘택홀 및 비트라인 트렌치를 동시에 매립하여 비트라인 콘택과 비트라인을 동시에 형성할 수 있으므로 공정을 단순하게 하고, 비트라인을 식각공정이 아닌 증착공정으로 형성하여 비트라인과 주변의 다른 물질과의 계면특성을 향상시켜 후속 고온공정에서 비트라인이 리프팅되는 것을 방지함으로써 전기적 특성이 우수하지만 리프팅되지 쉬운 물질도 비트라인으로 형성할 수 있으므로 소자의 동작 특성을 향상시킬 수 있으며, 갭필(gap fill)특성이 우수하여 보이드(void)에 의한 콘택간의 단락을 방지할 수 있는 이점이 있다.As described above, in the method of manufacturing a semiconductor device according to the present invention, in the method of forming a bit line by a damascene process, a dummy bit line is formed by a low dielectric film pattern, and an insulating film spacer is formed on the sidewall of the dummy bit line. Next, the bit line contact hole and the bit line trench may be removed by removing the dummy bit line, and the bit line contact hole and the bit line trench may be simultaneously buried to form the bit line contact and the bit line at the same time. Simplify and form the bit line by the deposition process rather than the etching process to improve the interfacial properties between the bit line and other materials, thereby preventing the bit line from lifting in subsequent high temperature processes. The material can also be formed into bit lines, which improves the operating characteristics of the device. It is possible to prevent the short circuit between contacts due to voids due to excellent gap fill characteristics.

Claims (10)

반도체기판 상부에 상측에 제1마스크절연막 패턴이 구비되고, 측벽에 제1절연막 스페이서가 구비되는 게이트전극과 소오스/드레인영역으로 구성되는 모스전계효과 트랜지스터를 형성하는 공정과,Forming a MOS field effect transistor including a gate electrode and a source / drain region having a first mask insulating film pattern on an upper side of the semiconductor substrate and a first insulating film spacer on a sidewall thereof; 전체표면 상부에 상기 반도체기판에서 비트라인 콘택으로 예정되는 부분을 노출시키는 비트라인 콘택홀이 구비된 제1층간절연막을 형성하는 공정과,Forming a first interlayer insulating film having a bit line contact hole exposing a portion of the semiconductor substrate to be a bit line contact on an entire surface thereof; 상기 바트라인 콘택홀을 메우며, 상기 제1층간절연막 보다 높게 형성되는 저유전체막 패턴 및 그 상부에 중첩되어있는 제2마스크절연막 패턴의 적층구조로 형성된 더미 비트라인을 형성하는 공정과,Forming a dummy bit line filling the bart contact hole and having a stack structure of a low dielectric film pattern formed higher than the first interlayer insulating film and a second mask insulating film pattern superimposed thereon; 전체표면 상부에 제1장벽절연막을 소정 두께 형성한 다음, 제2층간절연막을 형성하여 평탄화시키는 공정과,Forming a first barrier insulating film a predetermined thickness over the entire surface, and then forming and planarizing a second interlayer insulating film; 상기 제2층간절연막, 제1장벽절연막 및 제2마스크절연막을 순차적으로 식각하여 상기 저유전체막을 노출시키는 동시에 상기 저유전체막을 둘러싸는 제1장벽절연막 패턴을 형성하는 공정과,Sequentially etching the second interlayer insulating film, the first barrier insulating film, and the second mask insulating film to expose the low dielectric film and to form a first barrier insulating film pattern surrounding the low dielectric film; 상기 저유전체막을 제거하여 비트라인 콘택홀 및 트렌치를 형성한 다음, 상기 비트라인 콘택홀 및 트렌치의 일부를 매립하는 비트라인을 형성하는 공정과,Removing the low dielectric layer to form bit line contact holes and trenches, and then forming bit lines filling a portion of the bit line contact holes and trenches; 상기 비트라인 트렌치의 매립되지 않은 부분에 제2장벽절연막 패턴을 형성하는 공정을 포함하는 것을 특징으로 하는 반도체소자의 제조방법.And forming a second barrier insulating film pattern on an unfilled portion of the bit line trench. 제 1 항에 있어서,The method of claim 1, 상기 저유전체막은 유전상수 k가 0 ∼ 4인 물질로 형성하는 것을 특징으로 하는 반도체소자의 제조방법.And the low dielectric film is formed of a material having a dielectric constant k of 0 to 4. 제 2 항에 있어서,The method of claim 2, 상기 저유전체막은 플레어(FLARE), 실크(SiLK), DVS-BCB, PAE-2, Lo-K2000, Paryene AF4, PFCB(Perfluoro-cyclobuten), Teflon AF, 플로리내이티드 폴리이미드(Fluorineted polyimide), FSG, 나노글레스(nanoglass), a-C:F, Si-O-C계, Si-O-F계, -Si-O-계, 폴리머계 및 CFx계 물질로 이루어지는 군에서 임의로 선택되는 하나를 사용하여 형성하는 것을 특징으로 하는 반도체소자의 제조방법.The low dielectric film is flare, silk, DVS-BCB, PAE-2, Lo-K2000, Paryene AF4, PFCB (Perfluoro-cyclobuten), Teflon AF, fluorineted polyimide, FSG And formed using one selected from the group consisting of nanoglass, aC: F, Si-OC, Si-OF, -Si-O-, polymer and CF x materials. A method of manufacturing a semiconductor device. 제 2 항에 있어서,The method of claim 2, 상기 저유전체막은 감광막 또는 연성을 갖는 물질을 사용하여 형성하는 것을 특징으로 하는 반도체소자의 제조방법.제조방법.The low dielectric film is a method of manufacturing a semiconductor device, characterized in that formed using a photosensitive film or a flexible material. 제 1 항에 있어서,The method of claim 1, 상기 제2마스크절연막 패턴은 질화막, SiO2, Al2O3및 Ta2O5로 이루어지는 군에서 임의로 선택되는 하나 이상의 물질로 형성하는 것을 특징으로 하는 반도체소자의 제조방법.The second mask insulating film pattern is a method of manufacturing a semiconductor device, characterized in that formed of at least one material selected from the group consisting of a nitride film, SiO 2 , Al 2 O 3 and Ta 2 O 5 . 제 1 항에 있어서,The method of claim 1, 상기 제2층간절연막, 제1장벽절연막 및 제2마스크절연막은 화학적 기계적 연마공정 또는 CEP(chemically enhanced polishing)공정 또는 전면식각공정으로 제거하는 것을 특징으로 하는 반도체소자의 제조방법.The second interlayer insulating film, the first barrier insulating film and the second mask insulating film are removed by a chemical mechanical polishing process, a chemically enhanced polishing (CEP) process or an entire surface etching process. 제 1 항에 있어서,The method of claim 1, 상기 저유전체막은 O2, N2, Ar, SOx및 SF6로 이루어지는 군에서 임의로 선택되는 하나의 가스를 이용한 플라즈마식각공정으로 제거하거나, ACT, CLEAN-D, BOE 및 솔벤트계 습식케미칼으로 이루어지는 군에서 임의로 선택되는 하나를 사용하여 제거하는 것을 특징으로 하는 반도체소자의 제조방법.The low dielectric film may be removed by a plasma etching process using one gas arbitrarily selected from the group consisting of O 2 , N 2 , Ar, SO x, and SF 6 , or made of ACT, CLEAN-D, BOE, and solvent-based wet chemicals. Method for manufacturing a semiconductor device, characterized in that the removal using one selected from the group. 제 1 항에 있어서,The method of claim 1, 상기 비트라인은 전체표면 상부에 도전층을 형성한 다음, CMP공정 또는 CEP공정 또는 전면식각공정을 실시하여 형성되는 것을 특징으로 하는 반도체소자의 제조방법.The bit line may be formed by forming a conductive layer on the entire surface and then performing a CMP process, a CEP process, or an entire surface etching process. 제 1 항에 있어서,The method of claim 1, 상기 제2장벽절연막 패턴은 전체표면 상부에 제2장벽절연막을 형성한 다음, CMP공정 또는 CEP공정 또는 전면식각공정을 실시하여 형성되는 것을 특징으로 하는 반도체소자의 제조방법.The second barrier insulating film pattern is formed by forming a second barrier insulating film on the entire surface, and then performing a CMP process, a CEP process or an entire surface etching process. 제 1 항에 있어서,The method of claim 1, 상기 제1장벽절연막 패턴과 제2장벽절연막 패턴은 질화막, SiON막, Si를 다량함유하는 SiON막, TiO2막, Al2O3막 및 Ta2O5막으로 이루어지는 군에서 임의로 선택되는 하나로 형성되는 것을 특징으로 하는 반도체소자의 제조방법.The first barrier insulating pattern and the second barrier insulating pattern are formed of one selected from the group consisting of a nitride film, a SiON film, a SiON film containing a large amount of Si, a TiO 2 film, an Al 2 O 3 film, and a Ta 2 O 5 film. Method for manufacturing a semiconductor device, characterized in that.
KR10-1999-0063485A 1999-12-28 1999-12-28 Manufacturing method of semiconductor device KR100419752B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-1999-0063485A KR100419752B1 (en) 1999-12-28 1999-12-28 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-1999-0063485A KR100419752B1 (en) 1999-12-28 1999-12-28 Manufacturing method of semiconductor device

Publications (2)

Publication Number Publication Date
KR20010061010A KR20010061010A (en) 2001-07-07
KR100419752B1 true KR100419752B1 (en) 2004-02-21

Family

ID=19630814

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-0063485A KR100419752B1 (en) 1999-12-28 1999-12-28 Manufacturing method of semiconductor device

Country Status (1)

Country Link
KR (1) KR100419752B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100945510B1 (en) 2008-03-07 2010-03-09 주식회사 하이닉스반도체 Semiconductor device and method for manufacturing the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4997790A (en) * 1990-08-13 1991-03-05 Motorola, Inc. Process for forming a self-aligned contact structure
US5158910A (en) * 1990-08-13 1992-10-27 Motorola Inc. Process for forming a contact structure
KR950000852A (en) * 1993-06-24 1995-01-03 로버트 시이 설리반 Acid Microemulsion Composition
US5723381A (en) * 1995-09-27 1998-03-03 Siemens Aktiengesellschaft Formation of self-aligned overlapping bitline contacts with sacrificial polysilicon fill-in stud
KR100235960B1 (en) * 1996-10-29 1999-12-15 김영환 Method of forming conducting line in semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4997790A (en) * 1990-08-13 1991-03-05 Motorola, Inc. Process for forming a self-aligned contact structure
US5158910A (en) * 1990-08-13 1992-10-27 Motorola Inc. Process for forming a contact structure
KR950000852A (en) * 1993-06-24 1995-01-03 로버트 시이 설리반 Acid Microemulsion Composition
US5723381A (en) * 1995-09-27 1998-03-03 Siemens Aktiengesellschaft Formation of self-aligned overlapping bitline contacts with sacrificial polysilicon fill-in stud
KR100235960B1 (en) * 1996-10-29 1999-12-15 김영환 Method of forming conducting line in semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100945510B1 (en) 2008-03-07 2010-03-09 주식회사 하이닉스반도체 Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
KR20010061010A (en) 2001-07-07

Similar Documents

Publication Publication Date Title
KR100474546B1 (en) Fabricating method for semiconductor device
US6528418B1 (en) Manufacturing method for semiconductor device
KR100535030B1 (en) Fabricating method for semiconductor device
KR100696760B1 (en) Manufacturing method for semiconductor device
KR20060114431A (en) Manufacturing method for semiconductor device
KR100419752B1 (en) Manufacturing method of semiconductor device
KR100420413B1 (en) Manufacturing method for semiconductor device
KR100596831B1 (en) Manufacturing method for semiconductor device
KR20010063763A (en) Manufacturing method for semiconductor device
KR100685595B1 (en) Manufacturing method for semiconductor device
US7087533B2 (en) Method for fabricating semiconductor device
KR20060113282A (en) Manufacturing method for semiconductor device
KR20060114446A (en) Manufacturing method for semiconductor device
KR100513366B1 (en) Manufacturing method for semiconductor device
KR20010063856A (en) Fabricating method for semiconductor device
KR100546168B1 (en) Manufacturing method of semiconductor device
KR100527568B1 (en) Manufacturing method for semiconductor device
KR100333548B1 (en) Fabricating method for semiconductor device
KR100527589B1 (en) Manufacturing method for semiconductor device
KR20000045358A (en) Fabrication method of semiconductor device
KR100861188B1 (en) Manufacturing method for semiconductor device
KR100482997B1 (en) Manufacturing method for semiconductor device
KR20030059416A (en) Manufacturing method for semiconductor device
KR20010003254A (en) Fabricating method for semiconductor device
KR20010057490A (en) Manufacturing method for semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110126

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee