KR100340164B1 - 플라즈마 프로세싱을 위한 rf 유도 플라즈마 소스 - Google Patents

플라즈마 프로세싱을 위한 rf 유도 플라즈마 소스 Download PDF

Info

Publication number
KR100340164B1
KR100340164B1 KR1019940018996A KR19940018996A KR100340164B1 KR 100340164 B1 KR100340164 B1 KR 100340164B1 KR 1019940018996 A KR1019940018996 A KR 1019940018996A KR 19940018996 A KR19940018996 A KR 19940018996A KR 100340164 B1 KR100340164 B1 KR 100340164B1
Authority
KR
South Korea
Prior art keywords
plasma
chamber
coil
source
plasma source
Prior art date
Application number
KR1019940018996A
Other languages
English (en)
Other versions
KR950005121A (ko
Inventor
아지트피.파란즈페
Original Assignee
텍사스 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 텍사스 인스트루먼츠 인코포레이티드 filed Critical 텍사스 인스트루먼츠 인코포레이티드
Publication of KR950005121A publication Critical patent/KR950005121A/ko
Application granted granted Critical
Publication of KR100340164B1 publication Critical patent/KR100340164B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

플라즈마 발생기(10)은 플라즈마 소스를 포함하는 챔버(14) 및 챔버(14)의 내부에 배치된 복수의 코일(12)를 포함한다. 챔버(14)의 외부에는 챔버(14)의 축을 따라 플라즈마 소스 내에 자계를 설정하도록 동작하는 복수의 다극 영구 자석(34) 및 챔버(14) 내의 휘슬러 파에 대한 양호한 전파 방향을 정하는, 챔버 외부에 배치된 한 세트의 전자석(36)이 배치되어 있다. 코일(12)는 플라즈마 소스 내에 플라즈마 상태를 유도하기 위해 플라즈마 소스에 충분한 양의 에너지를 전달하도록 RF 전력을 휘슬러 파에 공진 유도성 결합한다. 코일(12)는 또한 플라즈마 소스내의 플라즈마 상태를 또한 지속하는 시변 전자계를 발생시킨다.

Description

플라즈마 프로세싱을 위한 RF 유도 플라즈마 소스
본 발명은 일반적으로 무선 주파수 유도에 의해 플라즈마를 발생시키는 분야에 관한 것으로, 더 상세하게는 무선 주파수 공진 유도 결합에 의해 플라즈마를 발생시키기 위한 향상된 방법 및 장치에 관한 것이다.
일반적으로, 1 MHz와 100 MHz 사이의 주파수를 갖는 무선 주파수("RF")파로 발생된 유도 결합 플라즈마(Inductively Coupled Plasmas; "ICPS")는 1011cm-3을 초과하는 농도의 전하를 띤 입자(전자 및 이온)을 제공하고 웨이퍼 기판에 5 mA/cm2을 초과하는 이온 전류를 제공할 수 있다. 그러므로 ICP 소스는 플라즈마 발생을 요구하는 반도체 제조 공정에 대한 전자 사이클로트론 공진(Electron Cyclotron Resonance; "ECR") 플라즈마 소스와 경쟁할 수 있다. 플라즈마를 이용하는 반도체 제조 공정은 건식 에칭, 강화된 플라즈마 피착, 웨이퍼의 건식 클리닝, 및자외선(UV) 광의 발생을 요구하는 응용을 포함한다.
유도 결합 RF 플라즈마 소스는 용량 결합(capacitively coupled) RF 플라즈마 소스와 ECR 플라즈마 소스 모두에 대해 장점을 가지고 있다. 용량 결합 RF 플라즈마와 대조하면, 유도 결합 RF 플라즈마는 실제로 낮은 고유 플라즈마 전위(<50V)를 갖고 실제로는 더 높은 이온화 효율(>5%)을 달성한다. 또한, 고유 플라즈마 전위는 비교적 RF 전원에 독립적이다. 낮은 고유 플라즈마 전위는 고 이온 에너지가 웨이퍼 상의 소자들에 손상을 줄 수 있는 경우인 건식 에칭에서와 같은 고 이온 에너지가 허용될 수 없는 경우의 응용에 유용하다.
ECR 플라즈마 소스에서, 플라즈마 이온은 방전 챔버 내에서 전자 충격에 의해 발생되고, 자기 및/또는 전계를 사용하여 표면을 향해 지향된다. ECR 시스템 경우와 같이, 유도적으로 결합된 RF 플라즈마의 이온 에너지는 별도의 RF 또는 DC 전원으로 집적 회로 웨이퍼를 바이어스함으로써 플라즈마 농도를 독립적으로 변화시킬 수 있다. ECR 플라즈마 소스에 있어서, 플라즈마가 효과적으로 발생될 수 있는 압력이 또한 관련된다. ECR 소스는 대부분의 반도체 공정 응용에는 너무 낮은 1 mTorr 이하의 압력에서 가장 효과적이다. 그러나, 압력 범위에 대해 동작의 이점을 갖는 ICP 소스는 반도체 공정의 필요 조건(1 mTorr 내지 50 mTorr)과 더 조화할 수 있다. 동작 압력이 더 높기 때문에, 주어진 가스 유동율에 대한 펌핑(pumping) 필요 조건은 ICP 소스가 더 적당하다. 또한, ICP 소스는 간소한 디자인으로 실제로 ECR 소스보다 낮은 단가로 더 큰 직경(15 cm 내지 30 cm)의 동종의 플라즈마를 제공할 수 있다.
RF 유도 결합을 사용하는 한 형태의 플라즈마 소스는 에너지를 휘슬러 (whistler) 또는 헬리콘(helicon)파를 통해 플라즈마에 결합된다. 이 형태의 발생기는 헬리콘 플라즈마 소스라 불리운다. 소스의 축을 따라 지향되는 100 G 내지 1 KG 범위의 자계가 있는 경우, 휘슬러 정재파는 소스 공동(cavity) 주위에 배치된 루프 안테나에 RF 전압을 인가함으로써 여기될 수 있다. 일반적으로, 이 축의 자계는 ECR 소스에서 사용되는 자계보다 약하지만, 플라즈마는 소스의 직경 양단에서 불균일하다. 그러므로, 플라즈마 처리를 행하는 웨이퍼는 플리즈마가 충분히 균일한 영역에서 소스에서 멀리 또는 소스의 "하부"에 배치되야 한다. 이것은 소스의 입력 전원이 하부 위치에서 충분한 플라즈마 농도(즉, 전자 및 이온 농도)를 유지하도록 증가되는 것을 요구한다. 또한, 대형 솔레노이드형 코일이 축의 자계를 발생하기 위해 요구된다. 이 특성은 소스 단가 및 복잡성을 증가시킨다.
제2형 플라즈마 소스는 축의 자계를 생락한 점에서 일반적인 휘슬러파 또는 헬리콘 소스와는 다르다. 그러므로, 플라즈마 처리를 하는 웨이퍼는 플라즈마 발생 영역 내에 배치된다. 이런 소스의 최대 플라즈마 농도(5 ×1011cm-3)가 휘슬러파 소스에 대한 것 보다 낮은 차수의 크기더라도, 소스 내의 플라즈마 발생 영역으로의 웨이퍼 근접은 처리율이 비교될 수 있다는 것을 확보한다. 1 ㎛/분 이상의 웨이퍼 에칭율은 다수의 재료에 대해 가능하다. 이 소스는 더 간단하고, 보다 소형이며 헬리콘 플라즈마 소스보다 더 저렴하다.
이러한 형태의 유도 플라즈마 소스의 한 변형은 원통형 진공 챔버의 상부 표면을 따라 배치된 다중 권선 팬케이크(pancake) 코일을 사용한다. 전형적으로, 0.5인치 두께인 석영 진공 윈도우는 챔버로부터 코일을 분리시킨다. 코일이 RF 소스에 의해 동력이 공급될 때, 큰 전류가 코일을 순환한다. 챔버 내부에 강력한 전기장을 유도하는 이 전류는 플라즈마 상태를 유지한다. 팬케이크 코일에 의해 발생된 시변(time-varying) 자기 및 전계는 코일 전류에 비례하고, 코일 직경의 수 및 코일 귄선의 수의 제곱에 비례하여 증가한다. 팬케이크 코일에서 유도된 전계의 균일성은 코일 직경 및 코일 권선의 수의 증가에 따라 향상된다. 그러나, 코일의 인덕턴스는 코일 권선의 수의 제곱에 또한 비례한다. 이것은 코일 양단의 전압 강하가 고정된 코일 전류에 대한 코일 권선의 수를 증가시킴으로써 증가한다는 것을 의미한다. 예를 들면, 13.56 MHz에서 20 A의 RMS 전류에 대한 5μH 코일 양단의 전압 강하는 8.5 KV이다. 이러한 고 전압은 위험하며 결국 코일과 플라즈마 사이의 용량성 에너지와 결합된다. 상당량의 에너지가 용량성 결합을 통해 전달되면 고유 플라즈마 전위가 상당히 증가하기 때문에 용량성 결합은 바람직하지 않다. 이런 점들은 결국 소스의 상부 표면을 따라 배치된 다중 권선 팬케이크 코일을 갖는 이러한 RF 플라즈마 소스 내에서 코일 권선의 수를 약 3개로 억제한다.
그래서 헬리콘 플라즈마 소스와 ICP 플라즈마 소스의 장점을 결합하고, 시스템의 구성요소 수를 최소로 하고, 출력 전력을 효율적으로 이용하고, 우수한 플라즈마 균일성을 제공하고 안전한 수준에서 전압을 제공하는 RF 플라즈마 소스의 필요성이 존재한다. 본 발명에 따르면, 플라즈마를 발생하는 장치 및 방법은 기존의 플라즈마 소스와 관련된 단점 및 문제들을 효율적으로 제거하거나 또는 감소시키도록 제공된다.
본 발병은 플라즈마를 발생하기 위한 장치를 구비하는 유도 결합 플라즈마 (ICP) 소스이다. 이 소스는 플라즈마를 수용하기 위한 진공 챔버를 구비한다. 챔버외부에는 챔버벽에 가해진 플라즈마 손실을 감소시키고, 플라즈마 밀도를 증가시키고 플라즈마 소스의 동작을 낮은 압력으로 확장하기 위해 첨부 자계를 설정하는 데사용될 수 있는 복수의 영구적인 다수 극의 자석을 포함할 수 있다. 소스는 휘슬러파에 대한 바람직한 전파 방향을 한정하는 가변 정적 축 자계를 발생하기 위해 챔버 외부에 놓이는 적어도 한 세트의 전자석들을 포함할 수 있다. 또한 휘슬러 파를발생하고 여기하기 위해 RF 전원을 공진 유도 결합하기 위한 안테나 역할을 하는 코일이 포함된다. 여기된 휘슬러 파는 프로세스 가스 안에서 플라즈마를 유도하고 유지하기에 충분한 에너지를 전달한다.
코일은 또한 시간에 따라 변하는 정재파 전자기장을 발생시킨다. 이 장은 플라즈마 소스에서 플라즈마 밀도를 증가시킨다. 최소 하나의 코일이 본 발명의 플라즈마 소스의 챔버 내부 또는 외부 중 어느 하나에 위치된다.
더 상세하게, 휘슬러파 결합을 달성하기 위해서, 휘슬러파를 여기시키는데 사용되는 RF 전력의 주파수는 프로세스 가스의 이온과 전자 사이클로톤 주파수 사이에서 선택되어야 하며, 사이클로트론 주파수는 프로세스 가스의 전자 플라즈마 주파수보다 적게 선택되야 한다. 본 발명의 방법 및 장치에서, RF 전원은 휘슬러 파를 만들기 위해 횡전자기(TE) 모드파, 횡자기(TM) 모드파, 또는 TE 및 혼합 TM 모드파 중의 하나를 제공할 수 있다.
본 발명의 기술적인 이점은 기존의 반도체 프로세싱 장치로 통합될 수 있다는 것이다. 예를들면, 에칭을 실행하는 플라즈마를 요구하는 건식 에칭 챔버는 본발명의 플라즈마 소스에 적합하다. 통합된 건식 에칭/플라즈마 소스 챔버는 습윤 에칭 시스템 보다 증가된 에칭 용랑을 제공한다.
공개한 본 발명의 중요한 기술적인 이점은 코일 배치가 단일 코일 권선과 비교할 때 좀더 강한 장을 발생할 수 있다는 것이다. 본 발명의 다중 권선 코일 배치의 기술적인 이점은 안테나 길이의 범위를 제공하는 결과가 주어지므로 플라즈마 방전 조건의 범위에서 공진 유도 결합 효과를 개선한다는 점이다. 비공명 유도 결합(off-rasonance induction coupling)은 매우 효과적이지 못하다. 그러므로, 길이를 변경할 수 있는 안테나를 제공하는 것이 플라즈마 발생기의 동작 범위를 넓힐 수 있다. 또한, 다른 길이의 안테나는 다중 모드 동작과 좀더 균일한 플라즈마의 기술적인 이점의 결과가 될 수 있다.
다른 기술적 이점은 본 발명의 플라즈마 소스의 챔버에서 발생된 전자기장이챔버에서 균일하고 집중되는 것이다. 본 발명은 프로세스 챔버내에서 전적으로 발생되는 전자기장을 포함하는 기술적인 이점을 제공한다. 이것은 챔버의 외부에 금속 표면의 와류 가열(eddy cerrent heating)을 제거하고 좀 더 효과적인 플라즈마를 발생시킨다. 또한, 코일에 제공된 다중-극 자석 한계는 50mTorr보다 적은 압력의 플라즈마 밀도를 증가한다.
본 발명의 또다른 기술적인 이점은, 플라즈마 방전이 휘슬러파에 결합된 공진 유도가 발생하지 않는 상황에서 동작되는 경우, 다중 폐쇄 팩 코일에 의해 유도된 내부 자기장은 유도 결합을 통해 플라즈마를 유지하기에 충분히 강한 휘슬러파 전자기장을 발생할수 있다는 점이다. 그러므로, 공진 유도 결합이 효과적이지도 않고 가능하지도 않은 조건하에서도 본 발명의 플라즈마 소스에서 플라즈마를 얻고 유지하는 것이 가능하게 될 것이다.
본 발명의 양호한 실시예와 이것의 이점은 여러가지 도면의 상응하는 부분과동일한 것에 사용된 참조 번호들과 같이, 제1도 내지 제8도에 언급된 사항들을 참조하여 분명하게 인지될 수 있다.
제1도는 프로세스 가스에서 플라즈마 상태를 유도하기 위해 프로세스 가스로 RF 전력을 유도적으로 결합시키기 위해 코일(12)을 채용한 플라즈마 소스(10)의 부분적인 단면 개략도를 나타낸다. 플라즈마(10)는 전형적으로 플라즈마를 잘 포함하기 위해 석영과 같은 적절한 유전체 물질로 이루어지는 챔버(14)를 포함한다. 챔버 (14)는 또한 전형적으로 진공 밀폐(vacuum sealed)된다. 챔버(14)는 챔버(14)로 프로세스 가스를 도입하기 위한 유입구(16)를 갖는다. 유입구 개구(18)는 프로세스가 가스가 균일한 제어율로 챔버(14)에 들어가는 것을 허용한다. 플라즈마 상태가 프로세스 가스에서 실현될때, 플라즈마는 종단(19)에 의해 제1도에 나타낸 오프닝 (opening)을 통해 챔버(14)로부터 방전된다. 제1도의 플라즈마 소스(10)는 프로세스를 위해 플라즈마를 요구하는 임의의 적합한 반도체 처리 챔버에 배속될 수 있다. 웨이퍼 에칭과 피착 챔버는 플라즈마 소스(10)가 배속될수 있는 반도체 처리 챔버의 예이다.
챔버(14)는 챔버 안에 복수의 코일(12)을 가질 수 있다. 코일(12)은 코일 배열에 집중적으로 배열되고 챔버(14)의 윤곽을 부합되도록 형성되는 일련의 루프 안 테나이다. 코일(12)은 임의의 적합한 RF 전도 재료로 만들 수도 있다. 구리, 알루 미늄, 또는 1/4 인치 지름의 구리 클래딩 튜빙은 코일(12)의 제조를 위한 적합한 재료인 것으로 도시되었다. 제1도의 실시예에서 코일(12)은 챔버(14)의 내부에 위치되고 오염을 막는 유전체 코팅(22)으로 둘러 쌓인다. 석영과 에폭시 캡슐은 유전체 코팅(22)을 위한 적합 재료일 수도 있다. 코일(12)은 챔버(14)의 중앙을 통해 라인(24)에 접속함으로써 함께 결합될 수도 있다. 접속된 라인(24)도 역시 유전체 코팅(22)으로 둘러 쌓인다. 코일(12)은 또한 물 유입구(26)과 물 배출구(28)로 배열될 수도 있다. 물은 코일 또는 코팅이 손상될 수도 있는 온도 이하로 코일(12)과 라인(24)의 온도를 유지하기 위해 코일(12)과 접속 라인(24)을 통해 펌프된다. 코일(12)은 또한 RF 전원(30)과 접지(32)에 결합된다. 중제 정합 네트워크 (intervening matching network)(도시되지 않음)는 RF 전원(30)에서 코일(12)로 RF 전력(1MHz 내지 100MHz)를 인가하기 위해 요구된다. 제1도의 실시예에서, RF 전원(30)은 횡전자기 모드파의 형태로 RF 에너지를 제공한다.
제2도를 참조하면, 챔버(14)의 외부는 같은 극성 끼리 서로 마주 본 상태로 챔버(14)의 전체 원주의 주위에 배열된 영구자석(34)들을 포함한다. 영구적인 자석들은 본 발명의 발명 개념에 영향을 주지않는한 생락될 수 있다는 것을 알아야 한다. 챔버의 표면에 100-500가우스의 자계를 성립시키는 영구자석이 적당할 것으로 밝혀지고 있다. 제1도에 도시된 플라즈마 소스(10)의 실시예에서, 한 세트의 전자석(36)들이 챔버(14) 바깥에 놓인다. 챔버(14)의 중심에 100-1000가우스의 전자계를 설정하는 솔레노이드 전자석이 적당한 것으로 밝혀지고 있다. 영구자석(34) 및 솔레노이드 전자석(36)의 수와 배열은 본 발명의 발명 개념에 영향을 미치지 않고 변할 수 있다고 생각된다.
제2도는 제1도의 플라즈마 소스(10)의 상부 평면도이다. 도면으로 부터 알수 있는 바와 같이, 코일(12)은 챔버(14)의 내부에 놓이고 유전체 코팅(22)으로 덮여있다. 평면도(제4도를 참조한다)에 동심으로 형성되어 있을 지라도 코일(12)은 또한 챔버(14)의 원형 모양과 일치하는 윤곽을 갖는다. 그래서, 제2도의 상부 평면도는 원(38) 형태의 코일(12)을 도시한다. 코일(12)은 실제로 공간(40과 42)에 의해 분리되어 있다. 제1도와 제2도의 실시예가 두 개의 코일(12)로서 도시되어 있을지라도, 코일의 수는 본 발명의 발명 개념에 영향을 미치지 않고 변할 수 있다는 것을 알 수 있다.
제1도와 제2도에 도시된 플라즈마 소스(10)의 동작에 있어서, 프로세스 가스 즉 아르곤 또는 황산 헥사플로오르화물(sulphur hexaflouride)은 입구(16)와 입구 개구(18)를 통해 챔버(14)로 유입된다. 서로 인접하여 놓인 교번하는 극성을 갖는 영구 자석(34)의 배열은 챔버(14)의 표면을 따라 플라즈마를 국한시키는데 도움이 되는 첨단(cusp) 자계를 설정한다. 전자석(36)은 코일(12)에 의해 발생된 휘슬러파에 전파 방향을 한정하는 가변 정적 축 자계를 발생한다. 비록 본 실시예에서는 단지 한 세트만이 도시되어 있지만 축 자계의 균일성을 향상시키기 위해 부가적인 세트의 전자석(36)이 사용될 수 있다. 또한 플라즈마 균일성을 향상시키기 위해 세 개 이상의 전자계를 사용할 필요가 있다.
공진 유도 플라즈마 발생기에서, 공동내 또는 둘레에 놓인 안테나 길이가 휘슬러파의 파장의 반과 일치할 때 공동안의 휘슬러파는 공진 커플링에 의해 여기된다. RF 에너지의 지배적인 크펄링이 공진 유도를 통해 휘슬러파로 전달되도록, 최 대 커플링에 필요한 안테나의 최적 길이는 플라즈마 소스내의 조건의 함수이다. 휘슬러파의 파장은 식(1)으로 표현될 수 있다.
여기서, Bo는 가우스 단위인 자계이다.
ne는 1/cm3단위인 전자 농도이다.
f는 Hz단위인 주파수이다.
그리하여, 고정된 길이의 안테나에 대해, 만약 식(1)의 변수가 휘슬러파의 파장이 공동내 안테나 길이의 반이 되게 된다면 결국 플라즈마가 발생하게 되는 휘슬러 파의 공진 유도 및 여기가 발생할 것이다. 휘슬러파의 파장(λ)은 파의 자계 (Bo), 전자 농도(ne) 및 챔버내에 있는 주파수(f)에 의존하기 때문에, 처리 가스의 플라즈마 상태를 단일 루프 안테나로 항상 달성하고 유지할 수는 없다.
제1도와 제2도에 도시된 본 발명의 실시예에서, 자계(Bo), 전자 농도(ne) 및 주파수(f)가 챔버(14)에서 변함에 따라 그로 인해 휘슬러 파의 파장(λ)에 영향을 미치고, 코일(12)은 휘슬러 파의 변하는 파장(λ)의 반과 일치하는 데 필요한 복수의 안테나 길이를 제공한다. 그래서 코일(12)은 휘슬러파의 공진 유도 여기를 달성할 수 있게 하여 그로인해 플라즈마 상태 범위에 걸쳐 효과적으로 커플링 효율을 향상시키는 안테나 길이의 범위를 효과적으로 제공한다.
코일(12)은 또한 챔버(14)내에 시간에 따라 변하는 강한 전자계를 유도한다. 일단 시간에 따라 변하는 전자계가 일정한 임계에 도달하면, 프로세스 가스가 이온화되고 플라즈마가 챔버(14)내 발생된다. 가변 길이 안테나, 즉 코일은 또한 다중 모드의 휘슬러파를 발생시키며 보다 많은 균일한 플라즈마를 만들 수 있다. 더욱이, 제1도와 제2도에 도시된 플라즈마 소스(10)의 동작에서, 만약 공진 유도가 발생하지 않는 영역에서 방전이 일어난다면, 이때 복수의 코일(12)에 의해 발생된 챔버(14)내의 시간에 따라 변하는 강한 전자계가 플라즈마를 유지할 것이다. 그리하여, 심지어 휘슬러파의 발생과 공진 유도를 지원하지 않는 조건하에서도 프로세스 가스의 플라즈마 상태를 유지할 수 있다. 또한, 코일(12)로 인한 자계(B)의 구성은 휘슬러 모드를 여기하는데 바람직한 큰 접선 및 반경 성분을 갖는다.
또한 제2도에서 알 수 있는 것은 플라즈마 소스(10)에서 자계를 논의하기 위해 참조되는 데 사용될 점(44)이다. 점(44)은 선(24)을 연결하는 상부 또는 하부 및 코일(12)의 상부 또는 하부 경계선내에 평면(I-I')을 따른 임의의 고정되지 않은 점으로 간주되어야 한다. 제3도에서와 같이 간격(R)을 갖고 떨어진 유한 길이(1)를 갖는 와이어에 흐르는 전류로 인한, 평면(I-I') 상의 점(44)의 자계(B)는 식(2)으로 주어진다.
여기서, I는 와이어(46)의 전류이다.
R은 와이어(46)의 떨어진 간격이다.
l은 와이어(46)의 길이이다.
μo는 파가 이동하는 매체의 투자율이다.
자계(B)는 와이어(46) 근처에 중심이 놓인 원형 경로 상을 이동하는 것으로간주되어야 한다.
각 코일은 종단끼리 이어진 다수의 세그먼트들의 구성으로 간주되어야 한다.이 개념은 제4도에 명확하게 도시되어 있다. 제4도에 도시된 것과 같은 네 개의 루프를 구성하는 코일(12)에서, 코일(12)은 l1, l2, l3, 및 l4로 구별되는 네 개의 와이어 길이가 된다. 그래서, 식(2)에 따른 점(44)의 자계는 식(3)으로 주어진다.
만약 l1=l", l2=3". l3=5", l4=7",μo=4 π×10-7H/m이고, 코일(12)의 전류(I)가 40amps이면, 챔버(14)의 자계(B)는 7.5가우스이다.
챔버(14)의 코일(12)에서 나오는 자계(B)는 시간에 따라 변하는 전계(E)를 유도한다. 챔버(14)내에 시간에 따라 변하는 전계(E)를 근사적으로 구할 수 있다. 제 2도에 있는 코일(12)의 경계선내에서 면(I-I')을 따라 폐쇄 루프(48)를 도시하는 제5도를 고려해보자, 제2도의 면(I-I')을 따른 전계(E)와 자계(B) 간의 관계는 제5도에 도시된 바와 같이 도시될 수 있다. 점(49)의 폐쇄 루프(48)내의 자계(B)는 면(I-I')을 횡단하는 것으로 도시되어 있다. 발생된 시간에 따라 변하는 전계(E)는 자계(B)에 대해 법선이고 점(49)으로부터 간격(r1)에 따라 변한다. 시간에 따라 변하는 전계(E)는 식(5)로서 표현될 수 있다.
여기서 ω는 신호의 라디안 주파수이다.
B는 단위가 가우스인 자계이고
r은 점(49)으로부터 단위가 인치인 간격이다.
ω=2πf=8.5 ×102라디안/초 ; B=7.5가우스 및 r1=1인치에 대해, 전계(E)는 8V/cm이다.
전계(E)의 이 값은 챔버(14)의 중심과 비교하여 챔버(14)의 내부 면을 따라 전계가 보다 강한 것으로 알려져 있기 때문에 과소 평가된 것이다. 평균적으로 전계(E)는 챔버(14)의 중심에서의 그것보다 챔버(14)의 내부 표면을 따른 전계가 3 배 정도 강한 것으로 판단되고 있다. 그리하여, 전계(E)는 챔버(14)의 내부 표면을 따라 약 25V/cm이다.
프로세스 가스로 아르곤을 사용할 때 아르곤에서 플라즈마 상태를 유도하는데 필요한 최소 전계(E)는 식(6)으로 표현된다.
E/N = 4×10-16Vcm250 mTorr (6)
여기서 N은 아르곤의 가스 밀도이고
N=1.7×1015/cm350 mTorr
따라서 E=(E/N)N = 0.67 V/cm
그러므로 식(6)를 적용하면 상기 예에서 플라즈마 상태를 유도하는데 필요한전계(E)는 0.67V/cm이다. 제4도에 도시된 코일(12)에 40 amps의 전류에 의해 7.5 가우스의 자기장 B가 발생하고, 차례로, 이 자기장에 의해 식(5)으로부터 8V/cm의 전기장이 발생한다는 사실을 상술한 바로부터 알고 있으므로, 0.67 볼트의 전기장 E를 발생시키기 위해 요구되는 전류가 계산될 수 있다{[(40amps)(0.67V/cm)]/ (8V/cm)}. 그래서, 약 3amps의 최소 코일 전류로 아르곤 내에 RF 방전을 유지하는것이 가능하다.
헥사플루오르화황(SF6) 프로세스 가스에 대해, 플라즈마 상태를 유도하기 위해 요구되는 최소 전기장 E는 식(7)으로 표현될 수 있다.
E/N = 4 ×10-15Vcm250 mTorr (7)
여기서 N은 헥사플루오르화황(SF6)의 기체 밀도로, N = 1.7 ×1015/cm350mTorr 이다. 그러므로,
N = (E/N)(N) = 6.7 V/cm
그러므로, 식(7)을 응용하면, 헥사플루오르화황 내에 플라즈마 상태를 유도하기 위해 요구되는 전기장 E는 6.7 V/cm 이다. 따라서, 헥사플루오르화황 내에 RF 방전을 유지시키는데 요구되는 최소 코일 전류는 약 33 amps{[(40 amps)(4.7 V/cm)]/(8V/cm)}이다.
이러한 조건 하에서 얻을 수 있는 플라즈마 밀도 ne가 또한 계산될 수 있다. Rf 전원(30)에 의해 공급되는 전원이 500 와트라고 가정한다. 프로세스 가스의 이온화에 사용되는 전력의 비, 즉 이온화 계수는 8 V/cm와 등가인 전기장 E의 경우 0.4임이 공지되어 있다.
아르곤의 이온화 임계값은 16 전자볼트(electronvolt eV)이므로, 체적당 이온화 비는 식 (8 및 9)으로 표현될 수 있다:
플라즈마의 손실 비율은 식(10)으로 표현될 수 있다.
여기서, Da는 전자 및 이온에 대한 확산 계수로서, Da= 7×104cm2/s 50mTorr,
A 는 확산에 대한 스케일 길이로서, A = 5 cm 이다.
그러므로, 플라즈마 밀도 ne는 2 ×1012/cm3이다. 실제 전자 밀도는 전기장 E의 과소 평가가 사용되기 때문에 더 높은 경향이 있음을 알아야 한다.
제1도 및 제2도에 도시된 실시예에는 라인(24)을 접속시킴으로써 서로 접속되는 2개의 코일(12)을 사용한다. 공간(40 및 42) 없이 원(38)을 제공하는 단일 코일(12) 뿐만 아니라 적절히 모양지어진 다수의 코일들이 본 발명의 원리를 벗어나지 않으면서 사용될 수 있다. 덧붙여서, 코일의 형태는 제4도에 도시된 바와 같은 정사각형일 필요는 없고 예를 들어 장방형일 수 있다. 정사각형 코일은 정사각형 코일에 의해 경계지워진 실린더 내의 자기장을 증가시키는 경향이 있지만, 장방형 코일은 챔버 축을 따라 축 위치에 독립적인 자기장 B를 생성하는 경향이 있다. 챔버축을 따라 축 방향에 독립적인 자기장 B는 공명 유도 결합을 달성하기에 더 적합하다.
제6도에 도시된 다른 실시예에서, 본 발명의 플라즈마 소스(48)는 또한 프로 세스 가스 내에 플라즈마 상태를 유도하기 위해 파를 여기시키는 코일을 사용한다.플라즈마 소스(48)는 프라즈마를 담기 위한 챔버(50)를 갖는다. 챔버(50)는 플라즈마를 더 양호하게 담기 위해 일반적으로 석영과 같은 유전체 물질로 만들어 진다. 또한 플라즈마 소스(48)은 제1도 및 제2도에 표시된 것과 유사한 구조의 다수의 코일을 포함한다. 코일부(51)는 챔버(50)의 밑면을 가로지르며, 또 밑면의 밖으로 나간다. 코일부(52)는 챔버(50)의 측벽을 따라 지나가고, 상부를 횡단하여 챔버(50)의 뒷면으로 연장된다.
코일(51)은 물 유입구(56)에 결합되고, 코일(52)은 물 배출구(58)에 결합된다. 물은 열 손상을 방지하도록 코일을 냉각시키기 위해 코일을 따라 펌핑된다. 또한, RF 전원(60)이 코일(51)에 접속되고, 코일(52)은 접지(62)에 접속된다. 중재 정합 네트워크(명확히 도시되지 않음)가 RF 전원(60)으로부터 코일(51)에 RF 전력을 인가하기 위해 요구된다. RF 전원(60)은 횡자기 (TM) 모드 파를 제공한다. 제6도 상의 화살표는 물 흐름과 코일 내의 전류 방향 둘다 나타낸다.
챔버(50) 및 코일(51 및 52) 모두의 외부에 영구 자석(64) 및 솔레노이드 전자석(66)이 배치된다. 제6도의 실시예의 영구 자석(64) 및 솔레노이드 전자기(66)의 배열 및 수는 제1도 및 제2도의 것과 일치한다.
프로세스 가스는 유입구(72)와 유입구 개구(74)를 통해 소스(48) 내로 도입된다. 유입구 개구(74)는 프로세스 가스들이 챔버(50) 내로 균일하게 들어가도록 한다.
제7도는 플라즈마 소스(48)의 평면도를 도시한다. 제7도에 도시된 바와 같 이, 코일(52)은 챔버(50)의 외부이지만, 자석(64 및 66)의 내부에 설치된다.
동작시, 제6도 및 제7도에 도시된 플라즈마 소스(48)는 챔버(50)의 외부에 전체가 배치된 코일(52)과, 전체가 챔버에 속박된 자기장 B를 갖는 유도 결합 RF 플라즈마가 제공된다. 제7도의 평면 I-I'을 따라 점(72)에서의 자기장 B는 식(11)로 표현된다:
여기서,μ 0 는 파가 진행하고 있는 매체의 투자율,
I 는 와이어의 전류이고,
r 은 와이어로부터의 거리이다.
r = 2 인치이고 I = 40 amps이면, B = 1.5 gauss 이다. 그러므로, 제6도 및제7도에 도시된 플라즈마 소스(48)는 프로세스 가스의 플라즈마 상태를 유도하는데 사용되는 시간에 따라 변하는 전기장을 유도하기에 충분한 자기장을 제공한다. 그러나, 등가의 장을 유지하는데 요구되는 전류는 제1도 및 제2도의 플라즈마 소스 (10) 내에 요구되는 것보다 더 높다.
제8도는 제1도의 플라즈마 소스(10)를 사용하는 건습 에칭 프로세싱 챔버 (80)를 도시한다. 플라즈마 소스(10)의 플라즈마에 의해 건식 에칭을 행하는 동안 반도체 웨이퍼(82)는 챔버(80) 내에 있다. 제8도의 실시예에서, 발생기(10)의 동작은 에칭을 행하기 위해 플라즈마가 웨이퍼(82)의 표면을 때리도록 배열된 전자석 (35)으로 전술한 바와 같다. 웨이퍼(82)의 바이어스에 사용된 RF 또는 DC 소스(84)가 제8도에 도시되어 있다. RF 또는 DC 소스(84)와 함께 바이어싱된 웨이퍼(82)는 챔버(80)에서 플라즈마의 이온 에너지를 독립적으로 변화시킬 수 있게 한다. 발생기(10)에 대한 웨이퍼(92)의 방향은 본 발명의 개념에 영향을 미치지 않으면서 반대로 될 수 있다. 또한, 소스(48)가 챔버(80)에서 웨이퍼(82)를 에칭하는데 필요한플라즈마를 유도할 수 있기 때문에, 소스(10)는 제6도의 소스(48)로 대체될 수 있다.
제1,2,4,6,7 및 8도에 도시된 코일은 본 발명이 제시하는 바를 실현시키는 유일한 구성인 것은 아니다. 임의의 다각형 코일이나 최외각이 원형, 반원형, 타원형인 코일도 본 발명의 범주 내에 속한다. 또한, 제1,2,4,6,7 및 8도는 1992년 4월 15일자로 출원되고 본원 발명의 출원인에게 양도된 "플라즈마 소스 및 그 제조 방법"이란 명칭의 미합중국 특허 제07/868818호에 제시된 바와 같이, 코일의 임피던스를 감소시키기 위해 인접하는 세그먼트들 사이에 설치된 캐패시터를 갖는 세그먼트일 수도 있다.
이와 같이, RF에 의해 여기되고 유도적으로 결합되는 플라즈마 소스에 대한 새로운 설계가 기술되었다. 소스를 여기하는 것과 비교하여, 이 소스는 다량의 공간적으로 균일하고 조밀한 플라즈마를 넓은 범위의 동작 조건 상에서 발생시킬 수 있다. 플라즈마의 특성은 많은 이온 선속과 낮은 고유 플라즈마 포텐셜을 갖는다는 것이다. 이러한 소스는 예를 들어 건식 에칭, 플라즈마 증가 피착, 및 자외선 발생과 같은 응용에 적당하다.
본 발명이 상세하게 기술되었지만, 이는 본 발명을 제한하고자 하는 의도가아니다. 본 발명은 본 발명의 원리 및 범주를 벗어나지 않으면서 다양하게 변형 및 수정될 수 있다.
제1도는 챔버(chamber) 내부에 배치된 코일을 갖는 플라즈마 소스의 부분적인 개략도를 도시한 부분적인 단면도.
제2도는 제1도의 플라즈마 소스의 평면도.
제3도는 유한 길이를 갖는 전선에서 전류로 인한 자계를 도시한 도면.
제4도는 4개의 루프로 구성된 코일을 도시한 도면.
제5도는 제2도의 평면 I-I′를 따라 절취한 단면도.
제6도는 소스 챔버 외부에 배치된 코일을 갖는 플라즈마 소스의 제2 실시예의 부분적인 개략도를 도시한 부분적인 단면도.
제7도는 제6도에 도시한 플라즈마 소스의 상부, 하부 평면도.
제8도는 반도체 공정 챔버로 집적화된 제1도의 플라즈마 소스의 부분적인 개락도를 도시한 부분적인 단면도.
도면의 주요 부분에 대한 부호의 설명
10 : 플라즈마 소스
11 : 코일
14 : 챔버
16 : 가스 유입구
18 : 유입구 개구
22 : 유전체 코팅
26, 28 : 물 유입구
34 : 영구 자석
36 : 전자석
46 : 와이어

Claims (2)

  1. 원통형 처리 챔버(14), 휘슬러 파 안테나(12), 상기 챔버의 하부 주위의 전자석 코일(36), 및 상기 챔버(14)의 상부 주위의 영구 자석 어레이(34) -상기 자석들은 상기 챔버내의 플라즈마 한정용임- 을 구비한 유형의 플라즈마 프로세스 장치 (10)에 있어서,
    상기 휘슬러 파 안테나(12)는 일련의 직사각형 루프부이며, 상기 루프부는 축방향으로 연장되는 다른 길이를 갖는 그 측면들의 평행한 부분을 가지며, 상기 루프부는 상기 챔버내에 동심원으로 배열되며 상기 챔버의 윤곽에 부합하도록 형성되는 것을 특징으로 하는 플라즈마 프로세스 장치.
  2. 제1항에 있어서, 상기 측면들 중 연속하는 측면들은 서로에 대해 직각으로 연결된 것을 특징으로 하는 플라즈마 프로세스 장치.
KR1019940018996A 1993-07-30 1994-07-30 플라즈마 프로세싱을 위한 rf 유도 플라즈마 소스 KR100340164B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/100.501 1993-07-30
US8/100.501 1993-07-30
US08/100,501 US5430355A (en) 1993-07-30 1993-07-30 RF induction plasma source for plasma processing

Publications (2)

Publication Number Publication Date
KR950005121A KR950005121A (ko) 1995-02-18
KR100340164B1 true KR100340164B1 (ko) 2002-11-27

Family

ID=22280081

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940018996A KR100340164B1 (ko) 1993-07-30 1994-07-30 플라즈마 프로세싱을 위한 rf 유도 플라즈마 소스

Country Status (6)

Country Link
US (1) US5430355A (ko)
EP (1) EP0648069B1 (ko)
JP (2) JP3837171B2 (ko)
KR (1) KR100340164B1 (ko)
DE (1) DE69421033T2 (ko)
TW (1) TW327267B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011139128A2 (ko) * 2010-05-07 2011-11-10 나노세미콘(주) 웨이퍼 처리를 위한 다중 플라즈마 발생 장치
KR101101364B1 (ko) 2010-05-07 2012-01-02 유정호 웨이퍼 처리를 위한 다중 플라즈마 발생 장치

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW249313B (ko) * 1993-03-06 1995-06-11 Tokyo Electron Co
DE69510427T2 (de) * 1994-10-31 1999-12-30 Applied Materials Inc Plasmareaktoren zur Halbleiterscheibenbehandlung
US5625259A (en) * 1995-02-16 1997-04-29 Applied Science And Technology, Inc. Microwave plasma applicator with a helical fluid cooling channel surrounding a microwave transparent discharge tube
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5962923A (en) 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
US5718795A (en) * 1995-08-21 1998-02-17 Applied Materials, Inc. Radial magnetic field enhancement for plasma processing
US6077787A (en) * 1995-09-25 2000-06-20 Board Of Trustees Operating Michigan State University Method for radiofrequency wave etching
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6367410B1 (en) * 1996-12-16 2002-04-09 Applied Materials, Inc. Closed-loop dome thermal control apparatus for a semiconductor wafer processing system
US6451179B1 (en) 1997-01-30 2002-09-17 Applied Materials, Inc. Method and apparatus for enhancing sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US5902461A (en) * 1997-09-03 1999-05-11 Applied Materials, Inc. Apparatus and method for enhancing uniformity of a metal film formed on a substrate with the aid of an inductively coupled plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US7253109B2 (en) * 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
KR20010032498A (ko) * 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6506287B1 (en) 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
US6132566A (en) * 1998-07-30 2000-10-17 Applied Materials, Inc. Apparatus and method for sputtering ionized material in a plasma
US6231725B1 (en) 1998-08-04 2001-05-15 Applied Materials, Inc. Apparatus for sputtering material onto a workpiece with the aid of a plasma
JP2000099922A (ja) 1998-09-17 2000-04-07 Sony Corp 磁気トンネル素子及びその製造方法
US6238528B1 (en) 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source
JP3608416B2 (ja) * 1999-02-02 2005-01-12 日新電機株式会社 プラズマ源
US6217718B1 (en) 1999-02-17 2001-04-17 Applied Materials, Inc. Method and apparatus for reducing plasma nonuniformity across the surface of a substrate in apparatus for producing an ionized metal plasma
KR100318690B1 (ko) * 1999-03-19 2001-12-28 염근영 자장강화된 유도결합형 플라즈마장치
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
WO2001037314A1 (en) * 1999-11-15 2001-05-25 Lam Research Corporation Materials and gas chemistries for processing systems
US6320320B1 (en) * 1999-11-15 2001-11-20 Lam Research Corporation Method and apparatus for producing uniform process rates
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
TWI241868B (en) 2002-02-06 2005-10-11 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
US7304263B2 (en) * 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US7297892B2 (en) * 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
WO2005094140A1 (ja) 2004-03-26 2005-10-06 Nissin Electric Co., Ltd. プラズマ発生装置
JP2007220600A (ja) * 2006-02-20 2007-08-30 Nissin Electric Co Ltd プラズマ生成方法及びプラズマ生成装置並びにプラズマ処理装置
WO2008100642A2 (en) * 2007-02-16 2008-08-21 Ad Astra Rocket Company Improved plasma source
US7972471B2 (en) * 2007-06-29 2011-07-05 Lam Research Corporation Inductively coupled dual zone processing chamber with single planar antenna
KR100861068B1 (ko) * 2007-07-20 2008-09-30 주식회사 월드툴 래칫 렌치
US8698400B2 (en) 2009-04-28 2014-04-15 Leybold Optics Gmbh Method for producing a plasma beam and plasma source
DE102009018912A1 (de) 2009-04-28 2010-11-18 Leybold Optics Gmbh Verfahren zur Erzeugung eines Plasmastrahls sowie Plasmaquelle
US9520531B2 (en) 2010-07-27 2016-12-13 Amtech Systems, Inc. Systems and methods for depositing and charging solar cell layers
US8338211B2 (en) 2010-07-27 2012-12-25 Amtech Systems, Inc. Systems and methods for charging solar cell layers
US8884526B2 (en) * 2012-01-20 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Coherent multiple side electromagnets
US10249479B2 (en) * 2015-01-30 2019-04-02 Applied Materials, Inc. Magnet configurations for radial uniformity tuning of ICP plasmas
WO2016156496A1 (de) 2015-03-31 2016-10-06 Bühler Alzenau Gmbh Verfahren zur herstellung von beschichteten substraten
SK500322019A3 (sk) * 2019-07-11 2021-01-13 STATON, s. r. o. Zdroj plazmy využívajúci katódový vákuový oblúk s vylepšenou konfiguráciou magnetického poľa a spôsob jeho činnosti

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0740468B2 (ja) * 1984-12-11 1995-05-01 株式会社日立製作所 高周波プラズマ発生装置
DE3629000C1 (de) * 1986-08-27 1987-10-29 Nukem Gmbh Verfahren und Vorrichtung zum Ausbilden einer Schicht durch plasmachemischen Prozess
US5036252A (en) * 1988-04-26 1991-07-30 Hauzer Holding Bv Radio frequency ion beam source
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
JPH03120359A (ja) * 1989-09-29 1991-05-22 Yokogawa Electric Corp スパッタリング装置
JP3071814B2 (ja) * 1990-10-08 2000-07-31 株式会社日立製作所 プラズマ処理装置およびその処理方法
US5225740A (en) * 1992-03-26 1993-07-06 General Atomics Method and apparatus for producing high density plasma using whistler mode excitation
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
DE4235064A1 (de) * 1992-10-17 1994-04-21 Leybold Ag Vorrichtung zum Erzeugen eines Plasmas mittels Kathodenzerstäubung
US5309063A (en) * 1993-03-04 1994-05-03 David Sarnoff Research Center, Inc. Inductive coil for inductively coupled plasma production apparatus

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011139128A2 (ko) * 2010-05-07 2011-11-10 나노세미콘(주) 웨이퍼 처리를 위한 다중 플라즈마 발생 장치
KR101101364B1 (ko) 2010-05-07 2012-01-02 유정호 웨이퍼 처리를 위한 다중 플라즈마 발생 장치
WO2011139128A3 (ko) * 2010-05-07 2012-01-12 나노세미콘(주) 웨이퍼 처리를 위한 다중 플라즈마 발생 장치

Also Published As

Publication number Publication date
JP3837171B2 (ja) 2006-10-25
EP0648069A1 (en) 1995-04-12
DE69421033T2 (de) 2000-05-31
JP3987545B2 (ja) 2007-10-10
DE69421033D1 (de) 1999-11-11
EP0648069B1 (en) 1999-10-06
TW327267B (en) 1998-02-21
JPH088095A (ja) 1996-01-12
JP2005244255A (ja) 2005-09-08
KR950005121A (ko) 1995-02-18
US5430355A (en) 1995-07-04

Similar Documents

Publication Publication Date Title
KR100340164B1 (ko) 플라즈마 프로세싱을 위한 rf 유도 플라즈마 소스
KR100188076B1 (ko) 자기 결합성 플래너 플라즈마 형성 방법 및 장치
US5277751A (en) Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
KR102223658B1 (ko) 플라즈마 소스 내에서 자기장들을 지향시키는 방법들, 및 연관된 시스템들
TWI544837B (zh) 電漿源的設計
JP3653524B2 (ja) プラズマ発生方法、および誘導結合されたプラズマ発生源を含むプラズマ発生装置
US5622635A (en) Method for enhanced inductive coupling to plasmas with reduced sputter contamination
US6451161B1 (en) Method and apparatus for generating high-density uniform plasma
US5435881A (en) Apparatus for producing planar plasma using varying magnetic poles
KR100513163B1 (ko) Icp 안테나 및 이를 사용하는 플라즈마 발생장치
JP2959508B2 (ja) プラズマ発生装置
JP2005514762A (ja) 加工物をプラズマ処理するための磁気フィルタを備える方法および装置
JPH04503589A (ja) 改良された共鳴無線周波数波結合器装置
JPH0696719A (ja) プラズマ源と製造方法
KR100803794B1 (ko) 마그네틱 코어 블록에 매설된 플라즈마 방전 튜브를 구비한유도 결합 플라즈마 소스
KR100805557B1 (ko) 다중 마그네틱 코어가 결합된 유도 결합 플라즈마 소스
US6824363B2 (en) Linear inductive plasma pump for process reactors
WO2001061726A1 (en) Method and apparatus for inductively coupled plasma treatment
KR100743842B1 (ko) 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
KR101585890B1 (ko) 수직 듀얼 챔버로 구성된 대면적 플라즈마 반응기
RU2196395C1 (ru) Плазменный реактор и устройство для генерации плазмы (варианты)
CN109148073B (zh) 线圈组件、等离子体发生装置及等离子体设备
KR101446554B1 (ko) 다중 방전관 어셈블리를 갖는 플라즈마 챔버
KR100464808B1 (ko) 다중 유도 결합 플라즈마 인덕터
KR100297887B1 (ko) 인덕터커플형프라스마발생장치_

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 13

EXPY Expiration of term