JPS61163444A - デ−タ処理装置のエラ−・テスト装置 - Google Patents

デ−タ処理装置のエラ−・テスト装置

Info

Publication number
JPS61163444A
JPS61163444A JP60253011A JP25301185A JPS61163444A JP S61163444 A JPS61163444 A JP S61163444A JP 60253011 A JP60253011 A JP 60253011A JP 25301185 A JP25301185 A JP 25301185A JP S61163444 A JPS61163444 A JP S61163444A
Authority
JP
Japan
Prior art keywords
test
data
error
shift
processor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP60253011A
Other languages
English (en)
Other versions
JPH0548494B2 (ja
Inventor
アーノルド・ブルム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPS61163444A publication Critical patent/JPS61163444A/ja
Publication of JPH0548494B2 publication Critical patent/JPH0548494B2/ja
Granted legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/27Built-in tests

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 以下の順序で本発明を説明する。
A、産業上の利用分野 B、従来技術 C6発明が解決しようとする問題点 り6問題点を解決するための手段 E、実施例 el、システムの概要(第1図) e2.エラー・テスト装置(第2図) e3.署名発生回路の動作(第4図) e4.エラー・テスト装置の例の実施例(第3図) F0発明の効果 A、産業上の利用分野 この発明は、プロセッサのエラーをテストし診断するた
めの装置に関するものである。
B、従来技術 電子制御回路や、プロセッサや、データ処理システムを
構成する。チップ上の超大規模集積論理及び記憶回路の
テストは、相当程度に、チップ上の記憶素子のアクセス
可能度に依存する。ディジタル・システムはきわめて高
いエラーのチェック範囲を必要とし、超大規模集積回路
構造の試験は多くの時間を要し、VLSI(超大規模集
積回路)チップの観点からしてきわめて費用かががる。
マイクロ・プロセッサ等のデータ処理システムは、高度
に複雑化されたチップを有する。そして、それらのチッ
プをテストすることは、双安定状態素子の膨大な数を考
慮すると、プログラム・ルーチンが実行される間にプロ
セッサの記憶をさらに膨大な数の状態シーケンスが通過
することを想定しなくではならないことを意味する。
マイクロ命令が有限の機能的な値であると仮定すると、
ADDマイクロ命令が実行された後の算術論理ユニット
(A L U)の状態を示す双安定スイッチのセット動
作のような一般的な明確に定義された機能が提示する問
題は解決が困難ではない。
しかし、例えば、ADDマイクロ命令の実行の間に生じ
た。バス要求を表示する双安定スイッチの状態が変化し
たか否か、などのような、ADDマイクロ命令の可能な
すべての2次的な機能をテストしようとすると重大な問
題に遭遇する。
2次的な機能は、一般的に、そのデータ流に関連する多
数の双安定スイッチまたは記憶素子と、マイクロプロセ
ッサの制御論理回路とを必要とする。一般的に、そのよ
うな記憶素子には、特殊なマイクロ命令によってさえ、
状態表示に使用される双安定スイッチまたは記憶素子の
現在の個々の状態を変更することなく、テストの目的で
直接アクセスをはかることはできない。
テスト可能なVLSI論理構造及びシステム・アーキテ
クチャは多くの場合L S S D (LevelSe
nsitive 5can Design ニレベル感
知スキャン・デザイン)規則を利用している。LSSD
規則によれば、論理サブシステムは、例えば定常状態で
の入力信号の変化に対する応答が、その論理サブシステ
ム内の回路とバスの遅延とは無関係である場合にのみ信
号レベルに依存する(米国ニューオリンズ州ルイジアナ
で1977年6月20〜22日開催された設計自動化会
議(DesignAutomation Confsr
ence)議事録のpp、462−468、E、B、エ
イケルバーガー(Eichalbarger)による“
LSIテスト可能性のための論理設計構造(A Log
ic Design 5tructurefor LS
I Te5tability)”と題する論文を参照さ
れたい)。
これらのLSSD規則に基づくと、マスター・スレーブ
・フリップ・フロップを連結して論理回路の一部を形成
し、テスト・モードにおいて1つまたは複数のシフト・
レジスタ・チェインとして論理ステージの間に配置する
ことにより、チップ上のさまざまな記憶素子がモニタさ
れ調節される。
そのようなシフト・レジスタ・チェインはまた。
チップまたはモジュールなどのパッケージで画定される
複雑な論理ステージの全体のフリップ・プロップまたは
レジスタの状態情報をシフトすることを可能ならしめる
。そのようなレジスタの概念は、比較的数少ない入出力
接続線しか必要でないこと、及び、もし第ルベルのシフ
ト・レジスタが共通の第2レベルのシフト・レジスタ・
チェイン等に接続されるならば、チップ内の論理デザイ
ンに影響を及ぼすことなくさまざまなパッケージ・レベ
ルの間で高度の融通性が達成されること、というさらな
る長所を有する。
プロセッサの記憶素子はほとんどの場合シフトレジスタ
・ステージとして設計されているので。
それの2次的な機能は、集積されたメンテナンス及びサ
ービス・プロセッサ、あるいは別個に接続されたテスト
装置によりテストすることができる。
これにより、テストすべきマイクロ命令の実行前と実行
後とで、テストの目的でシフト・レジスタとして接続さ
れた双安定記憶素子の内容が、メンテナンス及びサービ
ス・プロセッサ、またはテスト装置中にシフトされ、そ
のシフトされたデータは予定の所望の値と比較される。
処理ユニットとプロセッサの間でデータと命令とを交換
する間のマイクロ命令のテストの診断能力を改善する別
の手段は、テスト手続を、テストすべきマイクロ命令の
クロック・ステップのような、一層緊密な機能的な値に
適用することにより得ることができる。これにより、自
動化されたテストのエラーのチェック範囲が相当に改善
されることになる。
しかし、上記のテスト方法では、きわめて高速で転送さ
れるべき状態のデータを、きわめて多数の双安定回路素
子に記憶する必要がある。この動作はメンテナンス及び
サービス・プロセッサまたはテスト装置により実行され
なくてはならないが、それらのプロセッサまたは装置は
、テスト回路が低速であることと、直列シフト機構が採
用されていることからそのような動作に不適当である。
このことが上記テスト方法の欠点である。これ以外にも
、プロセッサ・チップの高速技術にも拘らずシフト手段
のクロック速度を高めることができないということがあ
る。というのは、知られているシフト・チェインは2個
の低速回路網を有しているからである。その1つの回路
網は、プロセッサまたは処理ユニットからメンテナンス
及びサービス・プロセッサに至るものであり、もう1つ
の回路網は、メンテナンス及びサービス・プロセッサか
らプロセッサに至るものである(第1図のライン14及
び13を参照)。
第1図を参照すると、一般的にデータ処理システムは、
プロセッサ9,10、・・・・nなどのユニットを接続
する並列高速システム・バスと、主記憶3と、主記憶制
御装置4と、入出力(I 10)制御装置5と、(必要
ならば)メンテナンス及びサービス・プロセッサ6とを
具備している。しかし、現在知られているデータ処理シ
ステムにおいては、通常、状態情報をも含むようなプロ
セッサの双安定素子に、メンテナンス及びサービス・プ
ロセッサが直接アクセスするためのシステム・バスが設
けられていない。但し、欧州特許出願第83105.7
号に記載されているように、ディジタル・コンピュータ
のためのテスト及び診断装置は例外である。このデータ
処理システムにおいては、通常の動作の間に論理サブシ
ステムを接続する記憶素子(フリップ−フロップ)が、
エラー・テスト及び診断モードのためのアドレス可能な
アレイとして接続されており、これにより、テストされ
るべきユニットは、高速システム・バス上でメンテナン
ス及びサービス・プロセッサから、アレイの個々の記憶
素子を制御するためのアドレス情報と、記憶素子に記憶
するためのテスト・データと、テスト制御及びクロック
情報とを受は取る。
テスト動作が完了すると、論理サブシステムの得られた
データは、接続された記憶素子に書込まれる。アレイの
かたちで接続された。これらの記憶素子から、その得ら
れたデータがシステム・バス上で、やはりそのバス上に
転送されたアドレス及び制御情報の援助により、メンテ
ナンス及びサービス・プロセッサに供給される。
しかし、そのアレイの記憶素子は、マスター・フリップ
・フロップのみからなるので、それらは通常のように、
マスター・スレーブ・フリップ・フロップを含むシフト
・レジスタのかたちで実現することはできない、このこ
とは、データ処理システムの設計概念にとって、多くの
場合きわめて不利である。
記憶素子がマスター・スレーブ・フリップ・フロップか
ら成っているようなデータ処理システムに対しては、欧
州特許出願第83112339゜3号がシステム・バス
上でのテスト・データの高速交換の概念について記述し
ている。これにおいては、システム・バス1とプロセッ
サ9〜n(第1図参照)の間に配置されたインターフェ
ース・レジスタ・ステージがシフト・レジスタ・チェイ
ンに含まれており、このチェインは花輪状に配置され、
それの先端と終端とは制御スイッチ44(第2図参照)
により、テストの間に接続される。
ナス1−動作の間は、テスト・データの入力と。
得られたデータの出力が、システム・バス上で影響を査
け、花輪状のシフト・レジスタ・チェインの内部シフト
・ステップに重なる。
知られているシステムの別の欠点は、テスト・バス13
.14が唯一のコアしか持っていないことである。従っ
て、ラインが中断すると、それはテスト・バス全体のす
なわちデータ処理システム全体の誤動作につながる。と
いうのは、メンテナンス及びサービス・プロセッサは、
テスト動作に加えて1例えば、表示スクリーン及びキー
ボードからシステムのコンソールを制御することにより
、一般的にシステム・サービス機能を実行するからであ
る。
電子データ処理システムは、とくにシステム環境に依存
する場合に、とりわけ間欠点なエラーにより重大な問題
を被る。この間欠的なエラーの原因となるのは、電源網
の誤動作や、静電気を帯びた床の敷物や、データ処理シ
ステムの近傍に載置された製造装置の高周波発生器から
発生した高周波ノイズなどである。その場合、マイクロ
命令テスト・プログラムは、エラーとその原因をシステ
ム的に検知する装置としては1首尾よく動作しない。
C0発明が解決しようとする問題点 この発明の目的は、データ処理システムのエラーを、間
欠的なエラーに対して高速で且つ高い信頼性でテストで
きるような、比較的簡単な設計構造の装置を提供するこ
とにある。
D。問題点を解決するための手段 本発明の上記の目的は、次のようなエラー・テスト及び
診断装置により達成される。第2図を参照すると、論理
サブシステム2oは記憶素子23.24により相互接続
されている。記憶素子23.24は、エラー・テスト及
び診断モードにおいては、シフ(〜・クロックにより制
御されたテスト・データを与え、それの結果を受は取る
ためのシフト・レジスタ手段のかたちで接続される。こ
のエラー・テスト及び診断装置には、実際の結果のデー
タを所望の結果のデータと比較するための手段58も含
まれている。この手段58は、不一致が生じた場合にさ
らに動作を開始するためにエラー表示器59をセットす
る。動作及びそれの2次的な機能が正しく実行されてい
るかどうかをテストするために、署名発生回路30が設
けられている。
この回路30は、記憶素子23.24からのテスト及び
結果のデータを蓄積する為のテスト・アキュムレータ5
1.52、・・・・5mと、テスト・クロック発生器と
、データの蓄積を制御するためのカウンタ28と、テス
ト・データ、所望の結果データ及びプロセッサ命令セッ
トのテストすべき命令とからなるテスト・プログラムを
与えるテスト・メモリ29とを備えている。署名発生回
路30はインターフェース・レジスタ11.12、・・
:・1mまたはプロセッサのシステム・バスに接続され
、インターフェース・レジスタのステージは、位置21
.22、・・・・nmにおける記憶素子23,24から
なるシフト・レジスタ手段に含まれている6F、実施例 el、システムの概要 第1図は、単一チップの処理ユニットまたはプロセッサ
(P U 1− P U n ) 9− nを有するデ
ータ処理システムのブロック図である6プロセツサの9
−nは、システムバス8によって相互接続され、さらに
主記憶(MS)3、主記憶制御装置(MS−CONT)
4、入出力制御(Ilo−CONT)5と、メンテナン
ス及びサービス・プロセッサ(MSP)6に接続されて
いる。また、上述のシステム要素と、メンテナンス及び
サービス・プロセッサ6の間には接続ライン13が存在
し、このライン13を介して制御信号とクロック信号と
テス1−・データとが転送される。さらに、システム要
素と、メンテナンス及びサービス・プロセッサ6を、ク
ロック発生器(CL)7に接続するクロック・ライン1
5も設けられている。尚、クロック発生器7のような中
央クロック発生器を設けるかわりに1個々のプロセッサ
9−nに、それぞれ個別にクロック発生器を設けてもよ
い。
C2,エラー・テスト装置 単一チップのプロセッサ9− n中で、メンテナンス及
びサービス・プロセッサ6の支援をあまり受けることな
く、エラー・テストが実行される様子が、第2図を参照
することにより説明される。
なお、ここに図示した回路と、それについての説明は、
プロセッサ9に関連するものである6エラー・テスト動
作に関しては、このシステムの他のプロセッサも同様に
設計されている。この実施例では、システム・バス8は
4バイト幅をもつものと仮定する。そして、バス伝送回
路(DR)18とバス受信回路(R)19は、この幅に
適合している。
たいていの場合極性保持フリップ・フロップである記憶
素子23.24は、前述のLSSD規則に基づきマスタ
ースレーブ・フリップ・フロップとして設計されており
、テスト・モードにおいては、シフト・レジスタ・チェ
インとして結合される。
第2図の左下には、スイッチ44 (1)によってメン
テナンス及びサービス・プロセッサ6に接続されたシフ
ト・レジスタの入力ステージが図示されている。このス
イッチ44 (1)は、インバータ37と2つのAND
ゲート38及び39とよりなり、アンドゲート39には
、テスト・バスのライン】3が入力されている。
そのチェインは、シフト・レジスタ・ステージから(n
−1)1、 (n−2)L、・・・・31.21.11
、n2.32.22.12、・・・・nm。
3m、2m、1mという位置的な順序で継続し。
チェインの出力と、位[1mにおけるスレーブ・フリッ
プ・フロップ(SLT)は、テスト・バスの外向ライン
14を介してメンテナンス及びサービス・プロセッサ6
に接続され、チェインの出力は、左側のスイッチ44 
(1)及び入力ステージn1のマスター・フリップ・フ
ロップ(MLT)を介して、テスト・バスの内向ライン
に接続されている。このようにして、メンテナンス及び
サービス・プロセッサ6と、テストされるべき処理ユニ
ット(例えばプロセッサ9)との間に接続がはかられて
いる。
上述のスイッチ44 (1)〜44(m)は、位置n1
.31.21.11またはn2.32.22.12また
はnm、3m、2m、1mで縦方向に配列されたシフト
・レジスタの部分的なチェインの出力を個々の入力に接
続する役目を果たす。
これにより、シフト・レジスタのステージに記憶された
情報がシフト・レジスタ・チェインまたはそれの部分チ
ェイン中で1つのステージから別のステージへ順次循環
し得ることになる。
周知のデータ処理システムにおいては、ライン13上で
メンテナンス及びサービス・プロセッサ6から、シフト
・レジスタ・チェインへ、シフト・クロックを用いて直
列的にテスト・データまたはテスト・パターンがシフト
される。このシフト・クロックは、テスト・シフト・ク
ロック・ライン15を介して、メンテナンス及びサービ
ス・プロセッサ6によって、テストされるべき処理ユニ
ットに与えられる。このシフト・クロックは、図中では
5H−CLIとして示されたものであり、印加されるべ
き第1のシフト・レジスタ・ステージ・クロックに対応
し、さらにデータのマスター・フリップ・フロップ23
への転送を制御する働きがある。そして、シフト・クロ
ックは、チップ上の遅延素子42中で時間Δtだけ遅延
される。5H−CL2として示されたこの遅延クロック
は、シフト・レジスタ・ステージの第2のシフト・クロ
ックに対応し、このクロックは先行するマスター・フリ
ップ・フロップからのスレーブ・フリップ・フロップの
データ受は取りを制御する。
このテスト・データは、通常、ライン13またはシステ
ム・バス8上で、シフト・レジスタ・チェインに入力さ
れる。個々のシフト・レジスタ・ステージに入力されて
しまうと、テスト・データは、テストされるべき論理シ
ブシステム(FCLOG)20に供給される。これらの
論理サブシステムは、一般的には、NAND−NORイ
ンバータ、EXCLUS IVE−OR回路などのさま
ざまな種類の論理ステージから成っている。テスト・デ
ータは、機能クロック信号F−C:Lの制御のもとで、
論理シブシステム20において処理される。機能クロッ
ク信号F−CLは、ライン16上で中央クロック発生器
7からプロセッサ9に転送されるか、またはプロセッサ
に接続されたクロック発生器(図示しない)によって発
生される。
その後、テスト・データに対する論理サブシステム20
の応答、すなわち結果のデータがシフト・レジスタ・ス
テージに記憶され、知られているデータ・処理システム
(例えば欧州特許出願83112339.2)において
、エラーを解析または診断するために、結果のデータは
、クロック5H−CLI及び5H−C:L2によってラ
イン14またはシステム・バス8上でメンテナンス及び
サービス・プロセッサ6中にシフトされる。
しかし2本発明が採用する手段はこれとは異なる。本発
明においては、マイクロ命令の実行前と実行後のフリッ
プ・フロップ情報を、予定の正しいデータと比較するた
めにメンテナンス及びサービス・プロセッサ6に転送す
る代わりに、処理ユニット9〜nのテスト手段、または
メンテナンス及びサービス・プロセッサ6には署名発生
回路30が設けられている。署名発生回路30は、シス
テム・バス8の伝達回路18及び受信回路19と、位置
11.12.・・・・1mにおけるマスター・スレーブ
・フリップ・フロップからなるインターフェース・レジ
スタとの間に配置され、各マイクロ命令に対応して有効
ビットの構成データを発生する。そして、この構成デー
タは、チップ上で1個々のマイクロ命令につき有効な正
しいビット構成と比較される。
これらのテスト・ジョブを実行するために、署名発生回
路30は、システム・バス8を介してロードされるテス
ト・プログラム・メモリ(TM)29と、既述したイン
ターフェース・レジスタ11.12、・・・・1mと、
多重コア・ライン25と、テスト・クロック発生器及び
カウンタ(TCGC)28と、動作レジスタ (OP−
REG)33と、比較口y858と1位置ALL〜AL
mにおけるフリップ・プロップ51〜5mからなるテス
ト・パターン・アキュムレータと、排他的0R(EX−
OR)回路53〜57とから成っている。第2図からさ
らに見てとれることであるが、他の素子と同様にプロセ
ッサ・チップ9上に配置されたテスト・パターン・アキ
ュムレータ及び比較回路58は、テスト・クロック発生
器及びカウンタ28によって制御される。テスト・クロ
ック発生器及びカウンタ28はまた。プロセッサのシフ
ト・レジスタ・チェインと各々の部分チェインにシフト
・クロックを供給する。また、第4図の時間チャートに
特に示されているように、署名発生回路30の動作ステ
ップは、テスト・プログラム・メモリ29中のテスト・
マイクロ命令の第1ビット位置に記憶され動作レジスタ
33及びライン60を介してテスト・クロック発生器及
び力゛ウンタ28に送られる命令停止ビットによる、テ
ストされるべき命令の実行と同期されている。
テストされるべき命令の実行の前に、データ流と制御論
理回路を形成する、プロセッサのすべての双安定記憶素
子の内容が、シフト・レジスタ・チェーン中で循環的に
署名発生回路30にシフトされ、これにより有効な初期
値が発生される。尚。
双安定素子の花輪状の相互接続、テスト・パターンの転
送、テスト・データ及び結果データのクロック制御転送
については、欧州特許出願第83112339.3号に
詳細に記載されている。この欧州特許出願に述べられて
いる概念は、プロセッサ9のさまざまな双安定記憶素子
の状態を、テスト装置に転送しないで署名発生回路30
によってモニタし処理することを可能ならしめ、以てエ
ラー・テスト及び診断に要する時間が低減される。
多くの場合、双安定記憶素子は、署名発生回路30’□
によってモニタされた後は、テスト動作の間にもとの状
態に復元されなくてはならないので、第2図に示すよう
な別の並列シフト経路を使用することもできる。すなわ
ち、第2図の場合、スイッチ44 (1)〜44(m)
と、それに接続されたステージn1、n2、・・・・n
mが、花輪状のシフト・レジスタ・チェインを、例えば
、テスト・パターンと結果のパターンが並列にシフトさ
れるような並列で互いに独立の部分的なチェインに細分
割する。原理的には、スイッチ44のセット状態に応じ
て、別のシフト・レジスタの構成も考えの られる。そVような細分割は、テスト時間の相当な低減
につながる。エラー・テスト動作は、同一のチップ上で
シフト・クロックを発生することにより一段と高速化さ
れる。というのは、これにより、きわめて高い周波数で
シフト動作を行うことができるからである。
すべての双安定記憶素子の内容を循環的にシフトし、関
連する値(例えば異なる状態)を蓄積した後は、単一ス
テップ(単一命令ステップ)または単一命令・サイクル
・ステップにおいて、テストされるべき命令が実行され
、その後双安定記憶素子の内容の更新された循環シフト
が実行される。
循環シフトが完了すると、テスト・パターン・アキュム
レータは、上述の命令の全体または一部実行後のすべて
の双安定記憶素子の状態における有効データを含むこと
になる。
このように、双安定記憶素子の状態は、開始状態と、テ
ストすべき命令の実行の間に設けられたステップの時間
で、プロセッサ論理回路に与えられたテスト・パターン
の結果である。
テスト動作終了の時点で、署名発生回路30は、従来の
機能的なマイクロ命命テスト・プログラム・サイクルの
間には無視されていた副次的なプロセッサ回路機能の状
態の一部をも含んでいる。この署名情報、すなわち命令
の全体または一部を実行する間に蓄積された双安定記憶
素子の状態が、通常の方法で、前以って計算された所望
の期待される値と比較される。この所望の値は、例えば
、テストされるべき命令のオペレーション・コードとと
もにテスト・プログラム・メモリ29から読み出される
。尚、他の回路構造と同様に、テスト・プログラム・メ
モリ29もまた同一のプロセッサ・チップ上に配置して
もよい。
上述の所望の値は、例えば命令のアドレスと相互に関連
づけられているが、それらの値は各命令毎にテスト・プ
ログラム・メモリ29に記憶する必要はない。というの
は、もし双安定記憶素子の状態がデータに依存しないな
ら、アドレス変換機端を利用することができるからであ
る1次に、この所望の値は高速で自動的に供給される。
尚、比較ステップは、署名発生回路30で実行しないで
、メンテナンス及びサービス・プロセッサ6または、並
列システム・バス8に連結することのできるテスト装置
で実行するようにしてもよい。
a3.署名発生回路の動作 署名発生回路30の動作は、第4図の制御パルス波形の
タイム・チャートに関連して最もよく理解される。この
図の最上位のラインはマイクロ命令#1及び#2がテス
ト・プログラム・メモリ29から読み出される場合のマ
イクロ命命シーケンスをあられしている6図示されてい
るマイクロ命令は5サイクル長である。すなわち、その
命令は5サイクルの時間パルスTO1T1、T2、T3
及びTL (Lは最後のサイクル時間のパルスであるこ
とを示す)によって制御される。これらのパルスは、第
4図において、順次の5つのラインとして示されている
テスト・クロック発生器及びカウンタ28は、初期状態
で信号■(ライン7)を供給し、これにより内部カウン
タがリセット(RC)され、動作カウンタ33がライン
61を介してセットされる(SOR)。このことは、セ
ット命令#1の、テスト・プログラム・メモリ29から
動作レジスタ33への転送をひき起こし、こうしてセッ
ト命令#1は蓄積処理に含まれる。必要とされる制御動
作は、動作レジスタ33からトリガされる。テスト・プ
ログラム・メモリ29のマイクロ命令#1は、その最初
のビット位置に、1にセットされたストップ・ビットを
含むセット命令(SI)である。このストップ・ビット
は、ライン60上でテスト・クロック発生器及びカウン
タ28に供給される。そしてテスト・クロック発生器及
びカウンタ28は、最初の命令サイクル70のうちにテ
ス1−・モード・ビット■CMBをセットし、このビッ
トは、テストされるべき次のマイクロ命令#2までセッ
ト状態にとどまる。
最後の命令サイクルTLにおいては、テスト・クロック
発生器及びカウンタ8において信号SNPが発生され、
この信号は1次のマイクロ命令の開始までセット状態に
とどまる。次のマイクロ命命の開始は通常の命令処理サ
イクルを停止し、これにより、その命令に必要な制御ユ
ニットが制御される。信号SNPと同時に1例えば、テ
スト・クロック発生器及びカウンタ28が7キユムレー
タ・クロックACCU  CLIをスタートされ、その
クロックには、特定の遅延時間の後、アキュムレータ・
クロックACCU  CL2が追従する。
その結果、アキュムレータは、プロセッサの双安定記憶
素子からデータを受は取る状態となる。アキュムレータ
・クロックACCU  CLI及びACCU  CL2
は、第2図のライン10及び11における■及び■とし
て図示されている。
次に、アキュムレータ・クロックACCU  CL2と
ほぼ同期して同相で、シフト・クロック5H−CLIが
ライン15a上で、テスト・クロック発生器及びカウン
タ28から、ライン15によって接続されたメンテナン
ス及びサービス・プロセッサまたはテスト装置に転送さ
れる(信号の)。
遅延素子(八t)42によって、第3図で■として示さ
れた第2のシフト・クロック5H−CL2が、位W21
〜nmにおける双安定記憶素子に対してのみ発生される
。位置11〜1mの双安定記憶素子に対しては、AND
ゲート41により切り換えられる遅延素子(Δt)40
によって、■と表示される第2のシフト・クロックが発
生される。
ANDゲー1−41用の制御信号は、ライン17a上で
、メンテナンス及びサービス・プロセッサ6から転送さ
れる。ANDゲート41の他の2つのゲートは、シフト
・クロック5H−C:LLを転送するライン15に接続
されている。
第4図のA (11,12、” ” 1 m) 〜A 
(nl、n2.・・・・nm)は、シフト・パルスの対
5H−CLL及び5H−CL2が、ステージ11゜12
、・・・・、1m;21.22.・・・・2m、31゜
32、・・・、・3m;41.42、・・・・4m;の
内容がアキュムレータのステージ51.52、・・・・
、5mに供給される様子を明瞭に図示している。値A 
(i、j)が利用できる時期は、第4図における上述の
A(11,12、・・・・1m)〜A(nl、n2、・
・・・、nm)によって示されている。
n個のシフト・パルス対S’H−CLI、5H−CL2
の転送の終了後、シフト・レジスタ部分チェイン内に配
列されている。プロセッサ9のすべての双安定記憶素子
の状態または内容が署名発生回路30に送られ、そこで
それらの状態または内容は、予定の所望の値と比較する
ために、双安定回路素子50〜52において蓄積された
値として利用可能となる。その値の蓄積は、テスト・パ
ターン・アキュムレータのシフト・クロック対ACCU
  CLI及びACCU  CL2によって作用を受け
る。
第2図のテスト・パターン・アキュムレータの構造によ
って図示されるように、双安定記憶素子50〜52のス
レーブ・フリップ・フロップに記憶されたビットは、ど
の場合にも、プロセッサのチップの双安定回路素子から
受は取ったビットとEX−○R演算される。蓄積するた
め、それらのビットはAND演算によって結合される。
アキュムレータ・クロックACCU  CL2の最後の
パルスnが加えられた後、その時点でテスト°パターン
・アキュムレータに記憶されている署名が、比較論理回
路(VL)5.8中で、予定の所望の値と比較され、不
一致の場合テスト・フリップ・フロップ(TFF)59
がセットされる。
この処理は、テスト・クロック発生器及びカウンタ28
によって発生されライン63上でテスト・フリップ・フ
ロップ59に供給される信号SCLによってタイミング
制御される。その発生タイミングは第4図の信号■で示
されている。
任意の2次的な機能にまで拡張される第2図及び第4図
のエラー・テストによって、機能的なマイクロ命令テス
ト・プログラム上で相当に増大したエラー検出範囲が得
られる。このことは、技術的及び設計に起因するエラー
をも含む、静的のみならずあらゆる種類の動的なエラー
をカバーし、以て機能的なテスト動作の改善をはかる。
この改善されたエラー検出範囲は、本質的に、命令の一
部または全体の実行後に、プロセッサ内部の双安定記憶
メ!子の状態を回路的に制御して診断比較する機能に帰
される。これらのテストは、(マイクロ)命令のチェイ
ンの連続的実行のみならず、マシン命令にも使用するこ
とができる。また、ここで述べられた機構は手操作で生
成された確定的なテスト・プログラムに限定されず、擬
似生成テスト・プログラム(擬似乱数オペレーション・
コード及びデータ操作)にも適用可能である。
上述したエラー・テストは1間欠的なエラーを有する応
用プログラムにも使用することができる。
これらのテストと、特殊なマイクロ命令テスト・プログ
ラムとの相違は、後者が、マイクロ命令テスト・プログ
ラムから知られる状態データのみを発生するのに対して
、応用プログラムが、予め知られておらず本質的に使用
者のデータに依存する状態情報を発生するということで
ある。蓄積されたテスト・データと比較するのに必要な
所望のデータは、完全に乱されることなく保持されるマ
シンによって経験的に決定されなくてはならない。
しかし、応用プログラムは、エラーの生じる環境が変化
するのを防止するため、通常の動作モードで実行されな
くてはならない。
テストされるべき応用プログラムを同一のデータ境界で
繰りかえし走らせることにより、プロセッサの状態パタ
ーンの列が各走査行毎に同一であることが保証される。
というのは、はとんどすべての場合、応用プログラムの
処理は同期的且つ決定的であり、このことは特にプロセ
ッサ・データ流の回路にあてはまる。
そのようなテスト機構を実現するために、所望の値のフ
ィールドが、各マイクロ命令あるいは各部分マイクロ命
令でなく、応用プログラムの各マシン命令と関連づけら
れる。そして、所望の値及び実際の値は各命令毎に直接
比較する必要はない。
このことは、その代わりに、命令のチェイン(部分プロ
グラム)が実行された後に行なわれる。これの利点は、
所望の値を記憶するために必要なスペースが最小限に低
減されることである。この所望の値のための記憶箇所は
、好ましくは、署名発生回路30及びそれに属する処理
手段と同じチップ上に配置される。
この所望の値は使用者のデータに依存するので、それは
データ処理システムの製造者によって予め決定すること
はできない。ハードウェア的エラーの間欠的な性質によ
って、使用者プログラムの走行には、エラーのない場合
とそうでない場合とがある。エラーのない走査の間は、
使用者に依存する所望の値は、応用プログラムの命令に
対応して署名発生回路30によって自動的に発生され、
関連する所望の値のフィールドに自動的に記憶される。
間欠的にエラーを発生するプログラムは、所望の値の発
生モードではなくテストにおける同一の初期データによ
り再始動され得る。このことは、エラーが生じるまで何
度も実行することができる。
この目的のために必要な手続は、診断機能をも実行し得
るメンテナンス及びサービス・プロセッサによって自動
的に実行することができる。そのようなテスト手段は、
処理回路を動作させるために。
人工的に発生したテスト・パターンのみならず応用プロ
グラムの実際のパターンが使用者依存データに基づき使
用されるゆえに好ましい。擬似乱数パターンや、固着し
たあるいは一定の誤りをテストするためのパターンには
、知られているように、いくつかの欠点がある。すなわ
ち、それらは特定のエラー・シナリオにおいては利用で
きないし、それらはすべての場合を尽くさないし、パタ
ーンの数が多すぎるので間欠的なハードウェアのエラー
と一致する確率が低いのである6 e4.エラー・テスト装置の他の実施例第2図に示すテ
スト装置と同様に、第3図の回路装置はマイクロ命令ま
たはそのような命令(例えば排他的OR累算)の単一サ
ブサイクルの実行後にプロセッサの内部記憶素子の状態
を蓄積する働きを有する。
こうして、以前に実行されたマイクロ命令またはそのよ
うな命令のサブサイクルによって、テストまたはテスト
入カバターンが発生される。そして、次のマイクロ命令
の実行後に、個々の記憶素子中に結果のパターンが得ら
れる。このパターンは、次の1つのみのマイクロ命令を
実行するための入力テストまたはテスト・パターンとし
て働き、第2のマイクロ命令の実行後発生される。第3
のマイクロ命令が実行される前の蓄積後に、正しい入力
テストまたはテスト・パターンがプロセッサの内部記憶
素子に維持されることを保証するために、蓄積の間にス
イッチ44 (i)が付勢される。
これにより内部記憶素子の状態情報が循環的にシフトさ
れ、以てもとの情報が保持される。
命令によって発生されたテスト・パターンとランダム・
テスト・パターンを結合することによりテスト・パター
ンを改善するために、第2図に示した回路装置が第3図
に原理として示すかたちに拡張される。この拡張された
装置は、第2のスイッチ71.72、・・・・7mと接
続ライン70.74.75.76.77.78.79と
を追加されてなり、これらは循環的シフトの間に、内部
記憶素子からなるシフト・リングの上端(記憶素子11
.12、・・・・1m)の記憶素子の内容ではなく、ア
キュムレータの個々の蓄積された中間値がフィードバッ
クされることを保証する働きがある6蓄積された値はス
レーブ・ラッチ(SLT)51からライン74上に供給
され、スイッチ71を経て位置n1におけるマスター・
ラッチ(M L T)に至る。さらなるフィードバック
動作は、最終的に、スレーブ・ラッチ(SLT)5mか
らスイッチ7mを経由する最後のフィードバック動作が
、位置nmにおけるマスター・ラッチ(MLT)に及ぶ
まで、スレーブ・ラッチ(SLT)52からライン75
上でスイッチ72を経由して位置n2等におけるマスタ
ー・ラッチ等に実行される。これらのスイッチは、ライ
ン70及び信号■GRPを介して、テスト・クロック発
生器及びカウンタ28によって制御される。
テスト・パターン・アキュムレータの中間的な値による
循環的なシフト動作は、内部記憶素子に、第2のマイク
ロ命令実行後のテスト・パターンとは異なる新しいテス
ト・パターンをつくり出す。
そして、機能的クロックF−CLの印加後、蓄積された
新しい結果パターンが得られ、これによりテスI〜・ア
キュムレータの個々の中間的な値による部分的なシフト
・チェインにおける循環シストが別の新しいテスト・パ
ターンをもたらす。
部分的なシフト・チェインにおける2つの循環シフトを
結合することによって、スペースを節約できる方法によ
り、きわめて多様なテスト・パターンが得られる。そし
て、このことは、マイクロ命令テスト・プログラムの実
行の間の広いエラー検出範囲につながる。また、マイク
ロ命令テストプログラム実行の間の時期に実行されたマ
イクロ命令が、第3図に基づき変更されたテスト・パタ
ーンのランダムな性質を決定する。
ランダム・テスト・パターンの時間的なシーケンスが第
4図のGPR■と、5E(nl、n2=++、nm) 
〜SE (11,12,=1m)により示されている。
この特殊なテスト・モードは、信号■GRPによりセッ
トされる。この信号■は、テスト・クロック発生器及び
カウンタ28がライン70上でスイッチ71〜7mに加
えるものであり、蓄積されたデータが、個々の段のステ
ージのスレーブ・ラッチSLTから、それに対応する部
分シフト・チェインの第1のステージのマスター・ラッ
チに供給されるように、スイッチ71〜7mをセットす
る。このように、信号■に応答して、すなわちスイッチ
71〜7mがシフト・クロック5H−CLIの個別の次
のパルスによって切換えられると、テスト・アキュムレ
ータのスレーブ・ラッチ・ステージからの最初の蓄積値
がすべての部分チェイン、すなわちステージn1、n2
− ・・・・、nmの最下底のシフト・レジスタに入力
される。そして、さらにシフト・パルス対5H−CLl
、5H−CL2を加えることにより、シフト・レジスタ
部分チェインのすべてのステージにランダム・テスト・
データが加えられることになる。
尚、テスト信号の印加は結果データの発生と並行的に行
なわれることを再度指摘しておこう。
10発明の効果 以上のように、この発明によれば、エラー診断装置にお
いて、命令のマシン・サイクルの実行毎に予定のデータ
と結果データを比較するようにしたので、結果データを
保持するためのフリップ・フロップが少なくて済み、よ
ってエラー診断装置を、テス1へすべきプロセッサと同
一のチップ上に形成することができる。このことは、エ
ラー診断動作を高速で実行できることを意味する。また
、マシン・サイクル毎の比較により、命令の2次的な機
能をも診断できるという効果が得られる。
【図面の簡単な説明】
第1図は1本発明が適用されるシステムの概要ブロック
図、 第2図は、本発明のエラー・テスト装置のブロック回路
図。 第3図は、第2図の構成を一部変更したエラー・テスト
装置のブロック回路図、 第4図は、第2図及び第3図の回路の動作を示す信号の
タイムチャートである。 9・・・・データ処理装置(プロセッサ)、2o・・・
・論理回路、23.24・・・・記憶素子、58・・・
・比較手段、59・・・・エラー表示手段、30・・・
・署名発生回路、51.52、・・・・、5m・・・・
テスト・アキュムレータ、28・・・・テスト・クロッ
ク発生器及びカウンタ、29・・・・テスト・メモリ、
11.12、・・・・1m・・・・インターフェース・
レジスタ、9・・・・システム°バス・ 出願人  インターナショナル・ビジネス・マシーンズ
・コーポレーション 代理人  弁理士  山  本  仁  朗(外1名)

Claims (1)

  1. 【特許請求の範囲】 論理回路と、 上記論理回路に接続され、エラー・テスト・モードにお
    いてテスト・データと結果のデータをシフト・クロック
    により制御されて順次記憶するためにシフト・レジスタ
    ・チェインとして接続された記憶素子と、 上記実際の結果のデータと所望の結果のデータを比較し
    不一致の場合にエラー表示手段をセットするための比較
    手段とを有するデータ処理装置のエラー・テスト装置に
    おいて、 (a)上記記憶素子からのテスト及び結果のデータを蓄
    積するためのテスト・アキュムレータと、(b)上記蓄
    積を制御するためのテスト・クロック発生器及びカウン
    タと、 (c)テスト・データ、所望の結果のデータ及びテスト
    されるべき命令を有するテスト・プログラムを供給する
    テスト・メモリ、 とを具備する署名発生回路が、上記データ処理装置のシ
    ステム・バスと、上記記憶素子からなるシフト・レジス
    タ・チェインの一部のステージをなすインターフェース
    ・レジスタとに接続されてなることを特徴とするデータ
    処理装置のエラー・テスト装置。
JP60253011A 1985-01-04 1985-11-13 デ−タ処理装置のエラ−・テスト装置 Granted JPS61163444A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP85100083.6 1985-01-04
EP85100083A EP0186724B1 (de) 1985-01-04 1985-01-04 Prüf- und Diagnoseeinrichtung für Digitalrechner

Publications (2)

Publication Number Publication Date
JPS61163444A true JPS61163444A (ja) 1986-07-24
JPH0548494B2 JPH0548494B2 (ja) 1993-07-21

Family

ID=8193212

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60253011A Granted JPS61163444A (ja) 1985-01-04 1985-11-13 デ−タ処理装置のエラ−・テスト装置

Country Status (5)

Country Link
US (1) US4688222A (ja)
EP (1) EP0186724B1 (ja)
JP (1) JPS61163444A (ja)
CA (1) CA1233906A (ja)
DE (1) DE3580909D1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009169897A (ja) * 2008-01-21 2009-07-30 Internatl Business Mach Corp <Ibm> メモリのテストを実行する方法、コンピュータ・プログラム、およびシステム

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63121934A (ja) * 1986-11-10 1988-05-26 Oki Electric Ind Co Ltd 評価用ワンチツプマイクロコンピユ−タ
JPS63182585A (ja) * 1987-01-26 1988-07-27 Toshiba Corp テスト容易化機能を備えた論理回路
US4829520A (en) * 1987-03-16 1989-05-09 American Telephone And Telegraph Company, At&T Bell Laboratories In-place diagnosable electronic circuit board
US4947395A (en) * 1989-02-10 1990-08-07 Ncr Corporation Bus executed scan testing method and apparatus
US5115435A (en) * 1989-10-19 1992-05-19 Ncr Corporation Method and apparatus for bus executed boundary scanning
EP0483437A1 (en) * 1990-10-31 1992-05-06 International Business Machines Corporation Processing system having device for testing the correct execution of instructions
EP0584917A3 (en) * 1992-08-27 1996-08-07 Advanced Micro Devices Inc System and method for testing a programmable logic
US5444722A (en) * 1993-02-17 1995-08-22 Unisys Corporation Memory module with address error detection
US5951703A (en) * 1993-06-28 1999-09-14 Tandem Computers Incorporated System and method for performing improved pseudo-random testing of systems having multi driver buses
US5583786A (en) * 1993-12-30 1996-12-10 Intel Corporation Apparatus and method for testing integrated circuits
EP0721166A1 (en) * 1995-01-03 1996-07-10 International Business Machines Corporation Method and system for the design verification of logic units and use in different environments
US5598421A (en) * 1995-02-17 1997-01-28 Unisys Corporation Method and system for tracking the state of each one of multiple JTAG chains used in testing the logic of intergrated circuits
US5636165A (en) * 1995-04-14 1997-06-03 Martin Marietta Corporation Apparatus for and method of facilitating proper data transfer between two or more digital memory elements
US5668947A (en) * 1996-04-18 1997-09-16 Allen-Bradley Company, Inc. Microprocessor self-test apparatus and method
US5905744A (en) * 1997-09-30 1999-05-18 Lsi Logic Corporation Test mode for multifunction PCI device
US6314540B1 (en) 1999-04-12 2001-11-06 International Business Machines Corporation Partitioned pseudo-random logic test for improved manufacturability of semiconductor chips
US6496946B2 (en) * 1999-05-10 2002-12-17 Motorola, Inc. Electronic control apparatus with memory validation and method
US6327685B1 (en) 1999-05-12 2001-12-04 International Business Machines Corporation Logic built-in self test
US6920402B1 (en) 2001-03-07 2005-07-19 Rambus Inc. Technique for determining performance characteristics of electronic devices and systems
US20030105758A1 (en) * 2001-12-05 2003-06-05 International Business Machines Corporation System and method for testing and promoting database update code
US7325165B2 (en) * 2003-05-30 2008-01-29 Broadcom Corporation Instruction sequence verification to protect secured data
US7777330B2 (en) * 2008-02-05 2010-08-17 Freescale Semiconductor, Inc. High bandwidth cache-to-processing unit communication in a multiple processor/cache system
US10613142B2 (en) 2017-02-22 2020-04-07 International Business Machines Corporation Non-destructive recirculation test support for integrated circuits
US10585142B2 (en) * 2017-09-28 2020-03-10 International Business Machines Corporation Functional diagnostics based on dynamic selection of alternate clocking
CN117278694B (zh) * 2023-06-08 2024-05-17 浙江瑞测科技有限公司 一种时钟同步的方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976864A (en) * 1974-09-03 1976-08-24 Hewlett-Packard Company Apparatus and method for testing digital circuits

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4322812A (en) * 1979-10-16 1982-03-30 Burroughs Corporation Digital data processor providing for monitoring, changing and loading of RAM instruction data
US4320509A (en) * 1979-10-19 1982-03-16 Bell Telephone Laboratories, Incorporated LSI Circuit logic structure including data compression circuitry
DE2948159C2 (de) * 1979-11-29 1983-10-27 Siemens AG, 1000 Berlin und 8000 München Integrierter Speicherbaustein mit wählbaren Betriebsfunktionen
DE3029883A1 (de) * 1980-08-07 1982-03-11 Ibm Deutschland Gmbh, 7000 Stuttgart Schieberegister fuer pruef- und test-zwecke
DE3135368A1 (de) * 1981-09-07 1983-03-31 Siemens AG, 1000 Berlin und 8000 München Verfahren und anordnung zur funktionspruefung einer programmierbare logikanordnung
US4498172A (en) * 1982-07-26 1985-02-05 General Electric Company System for polynomial division self-testing of digital networks
US4493078A (en) * 1982-09-29 1985-01-08 Siemens Corporation Method and apparatus for testing a digital computer
US4503537A (en) * 1982-11-08 1985-03-05 International Business Machines Corporation Parallel path self-testing system
US4513418A (en) * 1982-11-08 1985-04-23 International Business Machines Corporation Simultaneous self-testing system
US4553236A (en) * 1983-01-25 1985-11-12 Storage Technology Partners System for detecting and correcting errors in a CMOS computer system
EP0135009A2 (de) * 1983-08-12 1985-03-27 Siemens Aktiengesellschaft Die Erfindung bezieht sich auf eine Anordnung und ein Verfahren zum Prüfen eines Mikroprozessorsystem
US4554664A (en) * 1983-10-06 1985-11-19 Sperry Corporation Static memory cell with dynamic scan test latch
US4534028A (en) * 1983-12-01 1985-08-06 Siemens Corporate Research & Support, Inc. Random testing using scan path technique

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976864A (en) * 1974-09-03 1976-08-24 Hewlett-Packard Company Apparatus and method for testing digital circuits

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009169897A (ja) * 2008-01-21 2009-07-30 Internatl Business Mach Corp <Ibm> メモリのテストを実行する方法、コンピュータ・プログラム、およびシステム

Also Published As

Publication number Publication date
JPH0548494B2 (ja) 1993-07-21
CA1233906A (en) 1988-03-08
DE3580909D1 (de) 1991-01-24
EP0186724B1 (de) 1990-12-12
US4688222A (en) 1987-08-18
EP0186724A1 (de) 1986-07-09

Similar Documents

Publication Publication Date Title
JPS61163444A (ja) デ−タ処理装置のエラ−・テスト装置
US4720811A (en) Microprocessor capable of stopping its operation at any cycle time
US4621363A (en) Testing and diagnostic device for digital computers
US4860290A (en) Logic circuit having individually testable logic modules
TWI403744B (zh) 測試資料處理系統之方法及裝置
US7644333B2 (en) Restartable logic BIST controller
US5173904A (en) Logic circuits systems, and methods having individually testable logic modules
US7908536B2 (en) Testing functional boundary logic at asynchronous clock boundaries of an integrated circuit device
EP0272036A2 (en) Methods and apparatus for providing a microprocessor test interface
US7500164B2 (en) Method for testing an integrated circuit device having elements with asynchronous clocks or dissimilar design methodologies
EP0111053A2 (en) On-chip monitor
WO2004003967A2 (en) Scan test method providing real time identification of failing test patterns and test controller for use therewith
JPH06230088A (ja) 順序回路素子のアレイを含む集積回路および論理素子を含む集積回路
US6954886B2 (en) Deterministic hardware reset for FRC machine
JPS5833576B2 (ja) 計算機システムの故障診断装置
US5568407A (en) Method and system for the design verification of logic units and use in different environments
US6950963B1 (en) Control method and apparatus for testing of multiple processor integrated circuits and other digital systems
JP2937326B2 (ja) 論理回路のテスト容易化回路
JP2002181903A (ja) 試験アーキテクチャ
US5689634A (en) Three purpose shadow register attached to the output of storage devices
US20240061041A1 (en) System and method for access control of a plurality of instruments embedded in a semiconductor device
US20040030976A1 (en) Partial BIST with recording of the connections between individual blocks
Al-Azzeh Distributed Mutual Inter-unit Test Method for D-Dimensional Mesh-connected Multiprocessors with Round-Robin Collision Resolution
Ueda et al. Automated system level functional test program generation on ATE from EDA using Functional Test Abstraction
JPH077345B2 (ja) 論理回路ブロックの自己診断回路