JPH11340332A - 信頼性を増強するための金属プラグの可変不純物添加 - Google Patents

信頼性を増強するための金属プラグの可変不純物添加

Info

Publication number
JPH11340332A
JPH11340332A JP11130427A JP13042799A JPH11340332A JP H11340332 A JPH11340332 A JP H11340332A JP 11130427 A JP11130427 A JP 11130427A JP 13042799 A JP13042799 A JP 13042799A JP H11340332 A JPH11340332 A JP H11340332A
Authority
JP
Japan
Prior art keywords
layer
copper
aluminum
metal
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP11130427A
Other languages
English (en)
Inventor
Robert H Havemann
エイチ.ヘイブマン ロバート
A Dijitt Girish
エイ.ディジット ギリッシュ
W Russell Stephen
ダブリュ.ラッセル スチーブン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH11340332A publication Critical patent/JPH11340332A/ja
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53219Aluminium alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 製造が容易でかつ信頼度の高い相互接続金属
プラグの製造法を提供する。 【解決手段】 導電性の相互接続体の第1層3がまず備
えられ、前記第1層3にまで延長された壁により定めら
れた孔7が作成される。前記導電性相互接続体と導電性
金属の第1層11との間にチタンの層9が作成され、そ
して前記導電性金属の第1層11の上に、好ましくは前
記孔7の中にまで延長されて、導電性金属の第2層13
が作成される。前記第2層13は、特定のエッチング剤
に対して、前記第1層よりも大きなエッチング速度を有
する。前記導電性相互接続体の第1層3はアルミニウム
であることが好ましく、前記第1層11は重量で1パー
セントから 100パーセントの銅を含みそして残りはアル
ミニウムの金属であることが好ましく、前記第2層13
は第1層よりも銅の量が少ない銅添加アルミニウムであ
ることが好ましい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、相互接続体の層と
内部にはめ込まれた金属相互接続体とを相互に接続する
孔の中に配置されたプラグの信頼度と製造可能度との両
方を最適化する目的で、金属の中に添加物を可変に導入
する方法に関する。
【0002】
【発明が解決しようとする課題】半導体デバイスの小型
化が進んでいることにより、厳格な設計規則が要求され
ている。これらの厳格な設計規則の結果、金属構造体の
重なりに対する面積領域がますます小さくなってきてい
る。先行技術では、孔の上側のレベルと下側のレベルと
の両方において、接触を行わなければなければならない
金属相互接続体によって孔が確実に取り囲まれるという
のが規則であった。この規則により、多数個のレベルの
相互接続体が正しく接触することができた。このような
贅沢な接続は今日ではほとんど利用できなくなってきて
いる、または多くの構造体において利用不可能になって
きている。したがって今日では、接触を行わなければな
らない金属相互接続体が孔の一部分だけを取り囲むまた
は孔を取り囲む部分が全くなくて孔と接触を行うという
いずれかの構造体において、重なりがゼロである構造を
用いることが必要である。このことは1つの問題点を生
ずる。それは不整合があると、孔の中の相互接続構造体
が隣接するレベルの相互接続体と電気的に結合すること
ができないことがあるからである。この問題点は先行技
術の製造技術においても存在しており、したがって、金
属層の間のインタフェースである金属構造体と孔の信頼
度においてますます深刻になってきている。
【0003】
【課題を解決するための手段】本発明により、先行技術
が固有に有する前記で説明した問題点が最小限になり、
そして金属層の中に添加物を可変に導入する方法が得ら
れる。しかしこの方法は、例えばアルミニウム層のよう
な化学蒸気沈着(CVD、chemical vapor deposite)さ
れた金属層に限定されないことが好ましい。
【0004】概略的にいえば、第2レベルの金属相互接
続体に接続されるべき第1レベルの金属相互接続体の上
に、パターンに作成された金属相互接続体が備えられ
る。相互接続構造体を形成する金属は標準的な金属であ
り、そして通常はアルミニウム、銅、タングステンなど
の金属である。例えば酸化物、SOG/酸化物などのよ
うな標準的な誘電体が下側に配置された金属相互接続体
層の上に沈着され、そして化学的/機械的研磨(CM
P、chemical/mechanical polish)のような方法で平坦
化される。そしてその後、孔がパターンに作成され、そ
してこのパターンに作成された孔に対して誘電体の中に
おいて下側に配置されたパターンに作成された金属相互
接続体層にまで達するエッチングが行われる。次にこの
孔に対して注意深く清浄化が行われて、すべての炭素が
除去される。この清浄化は、アルゴン・水素の雰囲気の
中で孔の底部に対しスパッタ・エッチングによって行わ
れることが好ましい。その後、孔の中にチタン(Ti)
のライナが沈着される。孔の底部におけるこのライナの
厚さは約50オングストロームないし約 200オングストロ
ームであり、そして好ましい厚さは約 100オングストロ
ームである。下側に配置された金属相互接続体の上に形
成されることがあるすべての酸化物を減少させるため
に、誘電体の露出された上側表面の上および孔の1個ま
たは複数個の壁に沿って、チタンがまた沈着され、そし
てそれにより、孔を通しての経路の抵抗値をさらに小さ
くすることができる。チタンの層の上に、例えば窒化チ
タン(TiN)、タンタル(Ta)、窒化タンタル(T
aN)、窒化チタン・シリコン(TiSiN)および窒
化タングステン(WN)のような障壁層をまた備えるこ
とができる。この障壁層の厚さは、孔の底部において、
約50オングストロームないし約200オングストロームで
あり、そしてその好ましい厚さは 100オングストローム
である。チタンは好ましい金属であるが、チタンの代わ
りに他の金属、例えばW、WSiN、WN、Ta、Ta
N、TiSiNを用いることができる。チタンはアルミ
ニウムと反応して、抵抗率の大きい合金を形成する。窒
化チタン層はチタンがアルミニウムと相互作用すること
を防止し、合金が形成されるのを抑制する。もし用いら
れるチタン層の厚さが十分に小さく、それにより合金が
形成されることによる抵抗率の増大が問題点を引き起こ
すことがないならば、窒化チタン層を省略することがで
きる。次に孔の中、およびもし用いられるならばチタン
および窒化チタンの上、および製造されるデバイスの上
に、第1層が好ましくはCVDにより沈着される。この
第1層はアルミニウムの層であることが好ましいが、他
の材料例えばAlCu、Cu、耐熱金属などを用いた層
であることもできる。このアルミニウム層はその場で銅
が添加される。この銅の量は重量でゼロに近い有限の量
から約2パーセントまでであり、残りの部分は本質的に
アルミニウムである。次に、銅が添加されたアルミニウ
ムのこの第1層の上に、第2アルミニウム層が沈着され
る。この沈着はCVDで行われることが好ましい。この
第2アルミニウム層のその場で銅の添加が行われるが、
しかしその添加量は第1アルミニウム層よりも少ない。
この銅の添加量は、ゼロに近い有限の量から重量で約1
パーセントまでの量であり、さらに少ない量であること
が好ましい。またはそれとは異なって、CVDによる銅
の層が存在することができ、そして次にCVDによるア
ルミニウムの層が存在することができる(第1金属と第
2金属とが異なることができる)。その後、第2アルミ
ニウム層の上に金属の第3層がPVDによりスパッタ沈
着される。この第3層の金属はAlCuであることが好
ましい。次にこのPVD層の上に、例えばTiNのよう
な反射防止被覆体が沈着される。もし第2アルミニウム
層が第2相互接続構造体として用いられるべきであるな
らば、第3PVD層を省略することができる。または逆
に、もし第1アルミニウム層が孔を完全に充填するなら
ば第2アルミニウム層を省略することができ、そして第
3層を主要な相互接続体層として用いることができる。
例えば、第1層は銅であることができ、そして第3層は
PVDアルミニウムであることができる。
【0005】アルミニウムの第1層は、アルミニウムの
中に銅が拡散した材料、アルミニウムの中にチタンが拡
散した材料、銅の中にアルミニウムが拡散した材料、銅
の中にマグネシウムが拡散した材料およびその他の多数
の組み合わせの材料のいずれか1つにより置き換えるこ
とができる。第2層は、特定の種類のエッチング剤に関
して、第1層よりも大幅に速い速度でエッチングか能で
なければならない。アルミニウムのエッチングの場合、
第1層は(耐エッチング性の)銅の濃度が大きくなけれ
ばならなく、そして第2層はエッチングが容易に行われ
るために(耐エッチング性の)銅の濃度が小さくなけれ
ばならない。
【0006】リソグラフィによるパターン作成の後、前
記で説明した積層体に対し、例えば標準的なCl+BC
3のアルミニウムのエッチング剤でエッチングを行う
ことができる。このエッチング処理工程の期間中におい
て、(耐エッチング性の)銅の添加量が大きい領域でエ
ッチングが停止するであろう、またはエッチング速度が
低下するであろう。もし第1金属層が純粋な銅であるな
らば、銅の層に到達した時にエッチングはほぼ完全に停
止するであろう。このことにより、上側に配置された第
2金属層および第3金属層がパターンに作成される。そ
の後、異なるプラズマ・エッチングを用いることによ
り、第1金属層を除去することができる、またはそれと
は異なって、第2金属層および第3金属層に対して選択
的である湿式エッチングを用いることができる。
【0007】第1金属層および第2金属層(または2個
の金属層だけの場合には層1)に対する初期のプラズマ
・エッチングが選択的であることにより、孔の上の金属
導線のパターンに対する整合の要請が簡単になる。それ
は、第1アルミニウム層の内側端部と少なくとも一致す
るように、第2金属層(およびもし用いられるならば第
3金属層)が孔と重なる限り、孔のエッチングが防止さ
れるからである。もし第1金属層が孔を完全に充填する
ならば、十分な電気的接続を得るために上側の金属導線
は孔と重なるだけでなければならない。このことによ
り、厳格な設計規則が得られる。それは孔の全体的な重
なりが要求されないからである。
【0008】第2実施例による工程では、孔の作成およ
び清浄化に関しては、工程は前記で説明された工程と同
じである。この工程ではさらに、孔の壁および底に沿っ
て、孔ライナまたは障壁層および添加された層の沈着が
行われる。添加された層に対して重量で5パーセントま
での添加物のレベルを用いることができる、またはそれ
とは異なって、銅添加のためのスズまたはマグネシウ
ム、またはアルミニウム添加のための銅のような純粋な
添加物層を、添加された層として沈着することができ
る。その上のアルミニウムの第2層にはさらに少量の
(重量で1パーセント以下の)添加が行われる、または
(CVD銅またはCVDアルミニウムのように)添加が
行われない。一方第3層は、沈着技術が電気メッキ、P
VDまたはCVDであるかに応じて、少量の(重量で1
パーセント以下の)添加が行われるまたは多量の(重量
で5パーセント以下の)添加が行われる、のいずれかで
あることができる。電気メッキされた銅およびCVD銅
にその場で添加を行うことが困難であることに注意され
たい。したがって、第2層および/または第3層の中の
添加物は、上側層または下側層からの添加物の拡散から
生ずることが可能である。結晶粒界に沿っての自己拡散
が遅くなることにより、電気移動に耐えるような信頼度
の改善が、この添加物により得られる。それぞれの層が
沈着された後、この構造体がCMPを用いて再び研磨さ
れ、それにより平坦な表面が得られる。前記で説明した
ように3個の層が用いられるよりもむしろもし2個の層
だけが備えられるならば、その場合には第1層は(アル
ミニウム添加に対する銅、または銅添加に対するスズま
たはマグネシウムのような)純粋な添加物であるであろ
う。この第1層はCVDで沈着されることが好ましい。
トレンチを完全に充填するであろう第2層は、少量の
(1パーセント以下の)添加が行われたまたは添加が行
われない銅またはアルミニウムのPVD層またはCVD
層であるであろう。
【0009】前記で説明した方法により得られる利点
は、相互接続体層に添加を行う手段を提供することによ
り、信頼度が増大することである。さらに別の利点は、
(アルミニウム研磨に対する銅のような)研磨停止層を
備えることにより、添加された層に対するCMPの選択
度が改良されることである。
【0010】
【発明の実施の形態】図1aに示されている下側に配置
された金属は誘電体の表面1の上に沈着され、そしてパ
ターンに作成されおよびエッチングが行われて、下側に
配置された相互接続体3が作成される。この下側に配置
された金属はAlCuであることが好ましい。酸化物の
ような誘電体5が下側に配置された金属相互接続体3と
露出した誘電体表面1との上に沈着され、そして化学的
/機械的研磨(CMP)のような方法で平坦化される。
そしてその後、誘電体5にパターン作成を行ない、そし
て下側に配置された金属相互接続体3に達するまでエッ
チングを行なうことにより、孔7が作成される。孔7の
底部は十分に清浄化されなければならない。この清浄化
は、アルゴン・水素の雰囲気の中で孔の底部におけるス
パッタ・エッチングにより行われることが好ましい。こ
のスパッタ・エッチングにより、誘電体5をエッチング
する際に孔の底部に堆積することがある炭素残留物を除
去することがさらに得られる。その後、孔7の中にチタ
ン(Ti)のライナ9が沈着される。このライナ9は孔
の底部において 100オングストロームの厚さを有し、そ
れにより下側に配置された金属相互接続体3の上に形成
される可能性のあるすべての酸化物を減少させることが
できる。このことにより、孔抵抗値をさらに小さくする
ことができる。チタン9の層の上に、窒化チタン(Ti
N)の層(図1aおよび図1bには示されていない)が
100オングストロームの厚さに沈着される。チタン9は
アルミニウムと反応して、抵抗率の大きな合金を形成す
る。窒化チタン層は、後で沈着されるアルミニウムとチ
タン9とが反応するのを防止し、それにより合金が形成
されるのが抑制される。もしチタン層9の厚さが抵抗率
の問題点を引き起す原因とならないように十分に小さい
ならば、窒化チタン層を省略することができる。次に、
孔7の中に、およびもし用いられるならば層9および窒
化チタン層の上に、さらにこのデバイスの露出した表面
の上に、CVDアルミニウム11が沈着される。アルミ
ニウム層11にはその場で銅の添加が行われる。銅のこ
の添加量は、重量で約1パーセントよりも大きい。アル
ミニウム層11の上に、CVDアルミニウム13が沈着
される。アルミニウム層13にはその場で銅の添加が行
われるが、しかしその添加量は層11に対するよりはさ
らに少量である。すなわち、重量で約1パーセント以下
であり、そして通常は 0.5パーセントである。またはそ
れとは異なって、CVD銅の層11が存在することがで
き、そして次にCVDアルミニウム層13が存在するこ
とができる(第1金属と第2金属とが異なることができ
る)。次に層13の上に、アルミニウムの層15がPV
Dによりスパッタ沈着され、その後、このPVD層(図
示されていない)の上に反射防止被覆体が沈着される。
この積層体に対し、BCl3およびCl2のプラズマのよ
うな塩素エッチングでエッチングを行うことができる。
このエッチングの期間中、多量の銅が添加された領域1
1においてエッチングが停止するまたは遅くなるであろ
う。もし金属11が純粋な銅であるならば、その場合に
は銅に到達した時にエッチングが停止するであろう。こ
のことにより、上側の第2金属層13および第3金属層
15がパターンに作成される。次に、第1金属層11を
異なるプラズマ・エッチングを用いて除去することがで
きる、またはそれとは異なって、第2金属層および第3
金属層に対して選択的である湿式エッチングを用いるこ
とができる。
【0011】第1金属層11および第2金属層13(ま
たは2個の金属層だけが用いられる場合には第1層)に
対する初期のプラズマ・エッチングの選択度により、孔
の上の金属導線のパターンに対する整合の要請が簡単に
なる。それは、第1アルミニウム層11の内側端部と少
なくとも一致するように第2金属層13(およびもし用
いられるならば第3金属層15)が孔7と重なっている
限り、孔のエッチングが防止されるからである。もし第
1金属層11が孔7を完全に充填するならば、その場合
には上側金属導線13は、適切な電気的接続を十分に得
るために、孔と重なるだけでなければならない。このこ
とにより、厳しい設計規則が得られる。それは孔7の全
体の重なりが要求されないからである。
【0012】層11および層13のような2個の層をそ
の中に沈着することができるためには、孔7が細すぎる
場合があることが考えられる。この場合には、添加レベ
ルの大きい1個の層だけを用いることができるであろ
う。
【0013】図2aおよび図2bは、本発明に従う好ま
しい第2の実施例の図である。
【0014】図2aに示されているように、誘電体表面
21の上に下側に配置された金属が沈着され、そしてパ
ターンに作成されおよびエッチングが行われて、下側に
配置された相互接続体23が作成される。この下側に配
置された金属は、AlCuまたはCuであることが好ま
しい。下側に配置された金属相互接続体23の上および
露出した誘電体表面21の上に、酸化物のような誘電体
25が沈着され、そして化学的/機械的研磨(CMP)
のような方法で平坦化され、そしてその後、パターンに
作成され、そして下側に配置された金属相互接続体23
に達するまで誘電体25にエッチングが行われて孔27
が作成される。孔27の底部は十分に清浄化されなけれ
ばならない。この清浄化は、アルゴン・水素の雰囲気の
中で孔の底部にスパッタ・エッチングを行うことで実施
されることが好ましい。誘電体25のエッチングにより
孔の底部に堆積することがある炭素残留物の除去が、こ
のスパッタ・エッチングによりさらに得られる。この処
理工程は、孔の壁および底部に沿って形成された障壁金
属層28および添加された層29の形成と共に継続され
る。この障壁層は、例えば、窒化チタン、タンタル、窒
化タンタル、窒化チタン・シリコンまたは窒化タングス
テンの1つまたはいくつかの層であることができる。添
加された層は、(銅の場合のスズのような)純粋な添加
物であることができる、またはPVDまたはCVDによ
り沈着された(銅と(3%)スズのような)合金である
ことができる。次に、添加された層29の上の孔27の
中に、銅の種層である第2層31が作成される。その
後、銅の第3層33がPVD、CVDまたは電気メッキ
のいずれかの方法により沈着される。PVDまたはCV
Dの場合、第3層はまた、例えば重量で1パーセントな
いし3パーセントのスズが添加されることができるが、
しかし電気メッキの場合には、第3層は主として添加さ
れないであろう。次に、この構造体はCMPを用いて再
び研磨され、それにより図2bに示された平坦な表面が
得られる。銅の相互接続体の場合には、処理工程をさら
に進める前に、窒化シリコン(図示されていない)のよ
うな誘電体拡散障壁体で導線に蓋がされる。もし前記で
説明されたように3個の層よりも2個の層だけが備えら
れるならば、孔を完全に充填するであろう第1層29
は、CVDにより沈着されることが好ましい純粋な銅で
あるであろう。トレンチを完全に充填するであろう第2
層31は、重量で1パーセントないし3パーセントのス
ズが添加された銅のPVD層であることができるであろ
う。後で行われる熱処理の期間中に、添加不純物が孔領
域の中に拡散するであろう。
【0015】図2cに示されたような2重ダマシーン技
術を用いた本発明の第3実施例に従い、トレンチ部分3
5が作成される。トレンチ部分35は、トレンチ部分3
5により定められる予め定められた経路に沿って電流を
運ぶであろう。図2cでは、図2bの構造体と同じまた
は同等の構造体には同じ参照番号が付されている。相互
接続体が要請されている下側レベルの1個または複数個
の相互接続体23の上のトレンチ35の1個または複数
個の領域において、相互接続体層23の上の誘電体25
に標準的なパターン作成およびエッチングを行うことに
より、相互接続体23への途中の残りの部分にさらに別
の孔部分37が作成される。典型的な場合には、孔37
がまず作成され、その後トレンチ35が形成されるが、
しかし処理工程の方式に応じて、この順序を逆にするこ
とができる。その後、トレンチ部分35と孔部分37と
の壁を被覆する障壁金属層28が作成され、そしてその
後、前記のトレンチと孔との壁に沿って添加された層2
9が作成されて、製造が完了する。この添加された層は
(銅の場合におけるスズのような)純粋な添加物である
ことができる、またはPVDまたはCVDにより沈着さ
れた(銅と3%スズのような)合金であることができ
る。次に、添加された層29の上のトレンチ35および
孔37の中に、銅の種層である第2層31が作成され
る。次に、トレンチ35および孔37を充填するため
に、銅の第3層33が沈着される。第3層33はまた、
例えば重量で1パーセントないし3パーセントのスズを
添加することができるが、しかし電気メッキの場合に
は、第3層は主として添加されないであろう。この時点
において、層28、29、31および33はすべて誘電
体25の上に延長されていることに注目されたい。次
に、これらの層はCMPを用いて再び研磨され、それに
より図2cに示されたような平坦な表面が得られる。銅
相互接続体の場合には、処理工程をさらに進める前に、
窒化シリコン(図示されていない)のような誘電体拡散
障壁体で導線が蓋をされる。もし前記で説明したように
3個の層よりもむしろ2個の層だけが備えられるなら
ば、その場合には第1層29は好ましくはCVDで沈着
された純粋な銅であるであろう。トレンチを完全に満た
すであろう第2層31は、重量で1パーセントないし3
パーセントのスズが添加された銅のPVD層であること
ができるであろう。後で行われる熱処理の期間中に、添
加物が孔領域の中に拡散するであろう。
【0016】好ましい特定の実施例について本発明が説
明されたが、多くの変更実施例および修正実施例が可能
であることは、当業者にはすぐに分かるであろう。した
がって、請求項は先行技術の観点において、このような
変更実施例および修正実施例をすべて包含するようにで
きる限り広く解釈されるべきである。
【図面の簡単な説明】
【図1】本発明の第1実施例に従う製造の処理工程の流
れを示した図であって、aは初期の段階の図、bはaの
次の段階の図、cはbの次の段階の図。
【図2】本発明の第2実施例に従う製造の処理工程の流
れの一部分を示した図であって、aは初期の段階の図、
bはaの次の段階の図、cはaおよびbと同じ処理工程
の流れであるがしかし2重ダマシーン構造体を備えた処
理工程の図。
【符号の説明】
3 導電性相互接続体の第1層 7 孔 9 ライナ 11 導電性金属の第1層 13 導電性金属の第2層
フロントページの続き (72)発明者 スチーブン ダブリュ.ラッセル アメリカ合衆国 テキサス州ダラス,フォ ールミードウ レーン 7659

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 (イ) 導電性の相互接続体の第1層を
    備える段階と、(ロ) 前記第1層にまで延長された壁
    により定められる孔を作成する段階と、(ハ) 前記孔
    の壁の上に特定の種類のエッチング剤に対して予め定め
    られたエッチング速度を有する導電性金属の第1層を作
    成する段階と、(ニ) 前記第1層の上に前記特定の種
    類のエッチング剤に対して前記第1層よりは大きなエッ
    チング速度を有する導電性金属の第2層を作成する段階
    と、を有する、相互接続体を製造する方法。
  2. 【請求項2】 請求項1記載の方法において、前記第2
    層が前記孔の中に延長されている、前記方法。
  3. 【請求項3】 請求項1記載の方法において、前記第1
    層よりも大きな速度で前記第2層にエッチングを行うエ
    ッチング剤で前記第1層および前記第2層のエッチング
    を行う段階をさらに有する、前記方法。
  4. 【請求項4】 請求項1記載の方法において、前記導電
    性相互接続体と導電性金属の前記第1層との間にチタン
    の層を備える段階をさらに有する、前記方法。
  5. 【請求項5】 請求項1記載の方法において、導電性相
    互接続体の前記第1層がAlCuであり、導電性金属の
    前記第1層が重量で約1パーセントから 100パーセント
    までの銅およびもしあるとすれば残余の元素として本質
    的にアルミニウムを含む金属であり、導電性金属の前記
    第2層が前記第1導電性層よりは小さな銅の量を有する
    銅添加アルミニウムである、前記方法。
  6. 【請求項6】 請求項1記載の方法において、導電性金
    属の前記第1層が重量で約 0.5パーセントから 100パー
    セントまでの銅およびもしあるとすれば残余の元素とし
    てスズおよびマグネシウムの中の本質的に少なくとも1
    つを含む金属であり、導電性金属の前記第2層が重量で
    約 0.1パーセントから 100パーセント以下までの量のス
    ズおよびマグネシウムの中の少なくとも1つが添加され
    た銅である、前記方法。
  7. 【請求項7】 (イ) 導電性の相互接続体の第1層を
    備える段階と、(ロ) 前記第1層にまで延長された壁
    により定められる孔を作成する段階と、(ハ) 前記孔
    の壁の上に純粋な添加物層を沈着する段階と、(ニ)
    前記添加物層の上に前記添加物層よりはさらに少量が添
    加されたまたは添加されていない銅またはアルミニウム
    の第2層を作成する段階と、(ホ) 前記第2層の上に
    添加された銅またはアルミニウムの1つの第3層を作成
    する段階と、を有する、相互接続体を製造する方法。
  8. 【請求項8】 請求項7記載の方法において、銅添加の
    ためのスズまたはマグネシウムまたはアルミニウム添加
    のための銅からなる部類から取り出された材料で前記添
    加物層が作成される、前記方法。
  9. 【請求項9】 (イ) 導電性の相互接続体の第1層を
    備える段階と、(ロ) 前記第1層にまで延長された壁
    により定められる孔を作成する段階と、(ハ) 前記孔
    の壁の上にアルミニウム添加のための銅または銅添加の
    ためのスズまたはマグネシウムからなる部類から取り出
    された導電性金属の第1層を作成する段階と、(ニ)
    添加された銅または添加されない銅または前記第1層よ
    りは添加のレベルが低いアルミニウムの前記第1層の上
    に導電性金属の第2層を作成する段階と、を有する、相
    互接続体を製造する方法。
  10. 【請求項10】 (イ) 導電性の相互接続体の第1層
    を備える段階と、(ロ) 壁により定められおよび前記
    第1層にまで延長された開口部を作成する段階と、
    (ハ) 前記相互接続体および前記壁の上に延長された
    障壁層を作成する段階と、(ニ) 前記障壁層の上およ
    び前記開口部の中に添加物層または導電性金属の添加さ
    れた層の1つを作成する段階と、を有する、相互接続体
    を製造する方法。
  11. 【請求項11】 請求項10の方法において、第1横断
    面よりは小さな面積領域の第2横断面を有する孔の上に
    前記第1横断面を備えたトレンチを前記開口部が有し、
    前記孔が前記相互接続体と接触する、前記方法。
JP11130427A 1998-04-01 1999-04-01 信頼性を増強するための金属プラグの可変不純物添加 Abandoned JPH11340332A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8028998P 1998-04-01 1998-04-01
US080289 1998-04-01

Publications (1)

Publication Number Publication Date
JPH11340332A true JPH11340332A (ja) 1999-12-10

Family

ID=22156436

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11130427A Abandoned JPH11340332A (ja) 1998-04-01 1999-04-01 信頼性を増強するための金属プラグの可変不純物添加

Country Status (2)

Country Link
US (1) US6130156A (ja)
JP (1) JPH11340332A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11948805B2 (en) 2019-11-05 2024-04-02 Beijing Naura Microelectronics Equipment Co., Ltd. Etching method, air-gap dielectric layer, and dynamic random-access memory

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
JP2000049116A (ja) * 1998-07-30 2000-02-18 Toshiba Corp 半導体装置及びその製造方法
US6150268A (en) * 1998-11-04 2000-11-21 Advanced Micro Devices, Inc. Barrier materials for metal interconnect
US6768198B1 (en) * 1998-11-17 2004-07-27 Advanced Micro Devices, Inc. Method and system for removing conductive lines during deprocessing
TW400619B (en) * 1999-03-05 2000-08-01 United Microelectronics Corp The manufacture method of dual damascene structure
US6433429B1 (en) * 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US6432819B1 (en) * 1999-09-27 2002-08-13 Applied Materials, Inc. Method and apparatus of forming a sputtered doped seed layer
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6440849B1 (en) * 1999-10-18 2002-08-27 Agere Systems Guardian Corp. Microstructure control of copper interconnects
US7037830B1 (en) * 2000-02-16 2006-05-02 Novellus Systems, Inc. PVD deposition process for enhanced properties of metal films
US6228759B1 (en) * 2000-05-02 2001-05-08 Advanced Micro Devices, Inc. Method of forming an alloy precipitate to surround interconnect to minimize electromigration
US6346479B1 (en) 2000-06-14 2002-02-12 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device having copper interconnects
US6525425B1 (en) * 2000-06-14 2003-02-25 Advanced Micro Devices, Inc. Copper interconnects with improved electromigration resistance and low resistivity
JP2002075995A (ja) * 2000-08-24 2002-03-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6498397B1 (en) * 2000-11-06 2002-12-24 Advanced Micro Devices, Inc. Seed layer with annealed region for integrated circuit interconnects
US6800554B2 (en) * 2000-12-18 2004-10-05 Intel Corporation Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US6740221B2 (en) 2001-03-15 2004-05-25 Applied Materials Inc. Method of forming copper interconnects
KR100499557B1 (ko) * 2001-06-11 2005-07-07 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US6593222B2 (en) * 2001-09-07 2003-07-15 Lattice Corporation Method to improve the reliability of thermosonic gold to aluminum wire bonds
JP3648480B2 (ja) * 2001-12-26 2005-05-18 株式会社東芝 半導体装置およびその製造方法
WO2003063067A1 (en) * 2002-01-24 2003-07-31 Chatterbox Systems, Inc. Method and system for locating positions in printed texts and delivering multimedia information
WO2003085713A1 (en) * 2002-04-03 2003-10-16 Applied Materials, Inc. Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects
US6887786B2 (en) * 2002-05-14 2005-05-03 Applied Materials, Inc. Method and apparatus for forming a barrier layer on a substrate
US6861349B1 (en) * 2002-05-15 2005-03-01 Advanced Micro Devices, Inc. Method of forming an adhesion layer with an element reactive with a barrier layer
US20040048468A1 (en) * 2002-09-10 2004-03-11 Chartered Semiconductor Manufacturing Ltd. Barrier metal cap structure on copper lines and vias
US20040118699A1 (en) * 2002-10-02 2004-06-24 Applied Materials, Inc. Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects
JP4202091B2 (ja) * 2002-11-05 2008-12-24 株式会社半導体エネルギー研究所 アクティブマトリクス型液晶表示装置の作製方法
US6806192B2 (en) * 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
US20050006770A1 (en) * 2003-07-08 2005-01-13 Valeriy Sukharev Copper-low-K dual damascene interconnect with improved reliability
US7169706B2 (en) * 2003-10-16 2007-01-30 Advanced Micro Devices, Inc. Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
US7056820B2 (en) * 2003-11-20 2006-06-06 International Business Machines Corporation Bond pad
US6878919B1 (en) * 2004-04-28 2005-04-12 Eastman Kodak Company Thin lightshield process for solid-state image sensors
JP2006019708A (ja) * 2004-06-04 2006-01-19 Toshiba Corp 半導体装置の製造方法及び半導体装置
US7169700B2 (en) * 2004-08-06 2007-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Metal interconnect features with a doping gradient
US7820026B2 (en) * 2005-04-13 2010-10-26 Applied Materials, Inc. Method to deposit organic grafted film on barrier layer
US7504335B2 (en) * 2005-04-13 2009-03-17 Applied Materials, Inc. Grafted seed layer for electrochemical plating
US7144808B1 (en) * 2005-06-13 2006-12-05 Texas Instruments Incorporated Integration flow to prevent delamination from copper
DE102007046851B4 (de) * 2007-09-29 2019-01-10 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Halbleiterstruktur mit einem elektrisch leitfähigen Strukturelement und Verfahren zum Ausbilden einer Halbleiterstruktur
CN101593723B (zh) * 2008-05-30 2010-09-22 中芯国际集成电路制造(北京)有限公司 通孔形成方法
KR20140021628A (ko) * 2011-03-30 2014-02-20 도쿄엘렉트론가부시키가이샤 Cu 배선의 형성 방법
US8525339B2 (en) * 2011-07-27 2013-09-03 International Business Machines Corporation Hybrid copper interconnect structure and method of fabricating same
US10304773B2 (en) * 2015-10-21 2019-05-28 International Business Machines Corporation Low resistance contact structures including a copper fill for trench structures
US9960240B2 (en) 2015-10-21 2018-05-01 International Business Machines Corporation Low resistance contact structures for trench structures

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1211563A (en) * 1967-02-27 1970-11-11 Fulmer Res Inst Ltd Improvements relating to aluminium-base alloys
FR2529909B1 (fr) * 1982-07-06 1986-12-12 Centre Nat Rech Scient Alliages amorphes ou microcristallins a base d'aluminium
US5356836A (en) * 1993-08-19 1994-10-18 Industrial Technology Research Institute Aluminum plug process
US5443995A (en) * 1993-09-17 1995-08-22 Applied Materials, Inc. Method for metallizing a semiconductor wafer
US5804251A (en) * 1995-12-29 1998-09-08 Intel Corporation Low temperature aluminum alloy plug technology
US5893752A (en) * 1997-12-22 1999-04-13 Motorola, Inc. Process for forming a semiconductor device
US5980657A (en) * 1998-03-10 1999-11-09 Micron Technology, Inc. Alloy for enhanced filling of high aspect ratio dual damascene structures

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11948805B2 (en) 2019-11-05 2024-04-02 Beijing Naura Microelectronics Equipment Co., Ltd. Etching method, air-gap dielectric layer, and dynamic random-access memory

Also Published As

Publication number Publication date
US6130156A (en) 2000-10-10

Similar Documents

Publication Publication Date Title
JPH11340332A (ja) 信頼性を増強するための金属プラグの可変不純物添加
US7051934B2 (en) Methods of forming metal layers in integrated circuit devices using selective deposition on edges of recesses
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
CN100442474C (zh) 制造半导体器件的方法
US6821879B2 (en) Copper interconnect by immersion/electroless plating in dual damascene process
US6528884B1 (en) Conformal atomic liner layer in an integrated circuit interconnect
US6245663B1 (en) IC interconnect structures and methods for making same
KR100389174B1 (ko) 금속 캐패시터 및 이의 형성 방법
US7381637B2 (en) Metal spacer in single and dual damascence processing
US6261950B1 (en) Self-aligned metal caps for interlevel metal connections
EP1753020A1 (en) Semiconductor device and method for fabricating the same
US20080174018A1 (en) Semiconductor device and method for fabricating the same
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
US6083842A (en) Fabrication of a via plug having high aspect ratio with a diffusion barrier layer effectively surrounding the via plug
CN104253108A (zh) 互连结构及其形成方法
WO2002061823A1 (en) Integration of organic fill for dual damascene process
JP2004040101A (ja) 配線性能改善用メタライゼーションの合金化及び/又は局部ドーピング
KR20010076659A (ko) 반도체 소자의 배선형성 방법
US6339029B1 (en) Method to form copper interconnects
US6682999B1 (en) Semiconductor device having multilevel interconnections and method of manufacture thereof
US6200890B1 (en) Method of fabricating copper damascene
US6577009B1 (en) Use of sic for preventing copper contamination of dielectric layer
KR101138113B1 (ko) 반도체 소자의 금속 배선 형성 방법
US20040061237A1 (en) Method of reducing voiding in copper interconnects with copper alloys in the seed layer
US20050184288A1 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060314

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080131

A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20081210