JPH11238793A - 多孔質珪素SiCパターン化法 - Google Patents
多孔質珪素SiCパターン化法Info
- Publication number
- JPH11238793A JPH11238793A JP10354810A JP35481098A JPH11238793A JP H11238793 A JPH11238793 A JP H11238793A JP 10354810 A JP10354810 A JP 10354810A JP 35481098 A JP35481098 A JP 35481098A JP H11238793 A JPH11238793 A JP H11238793A
- Authority
- JP
- Japan
- Prior art keywords
- region
- substrate
- porous silicon
- layer
- sic
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 229910021426 porous silicon Inorganic materials 0.000 title claims abstract description 81
- 238000000059 patterning Methods 0.000 title claims description 11
- 239000000758 substrate Substances 0.000 claims abstract description 52
- 238000000034 method Methods 0.000 claims abstract description 50
- 239000003792 electrolyte Substances 0.000 claims abstract description 18
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims abstract description 9
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 52
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 51
- 238000005530 etching Methods 0.000 claims description 22
- 238000000151 deposition Methods 0.000 claims description 8
- 230000008021 deposition Effects 0.000 claims description 5
- 239000002243 precursor Substances 0.000 claims description 5
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 abstract description 15
- 229910052710 silicon Inorganic materials 0.000 abstract description 15
- 239000010703 silicon Substances 0.000 abstract description 15
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 abstract description 10
- 238000002048 anodisation reaction Methods 0.000 abstract description 9
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 abstract description 7
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 abstract description 5
- 229910000077 silane Inorganic materials 0.000 abstract description 5
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 abstract description 5
- 239000012686 silicon precursor Substances 0.000 abstract description 3
- 238000005229 chemical vapour deposition Methods 0.000 abstract 1
- 239000007789 gas Substances 0.000 description 28
- 238000004519 manufacturing process Methods 0.000 description 23
- 238000007743 anodising Methods 0.000 description 14
- 239000000463 material Substances 0.000 description 11
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 10
- 239000011148 porous material Substances 0.000 description 10
- 229910021332 silicide Inorganic materials 0.000 description 10
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 10
- 238000009413 insulation Methods 0.000 description 7
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 239000002019 doping agent Substances 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- 239000004094 surface-active agent Substances 0.000 description 5
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- 239000012159 carrier gas Substances 0.000 description 4
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 4
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 101100269850 Caenorhabditis elegans mask-1 gene Proteins 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 230000001678 irradiating effect Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 2
- 150000002926 oxygen Chemical class 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 229910052697 platinum Inorganic materials 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 238000001289 rapid thermal chemical vapour deposition Methods 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 241000233855 Orchidaceae Species 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 150000001485 argon Chemical class 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000005868 electrolysis reaction Methods 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 239000007943 implant Substances 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 230000004807 localization Effects 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 230000005693 optoelectronics Effects 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 238000005424 photoluminescence Methods 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76202—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/0445—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Element Separation (AREA)
- Drying Of Semiconductors (AREA)
- Formation Of Insulating Films (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
- Local Oxidation Of Silicon (AREA)
Abstract
06)を選択的に形成する方法を提供する。 【解決手段】 SiCのマスク層(104)を、トリメ
チルシラン、シラン/メタン、又はテトラメチルシラン
のような有機珪素前駆物質ガスを用いて200〜500
℃の温度でPECVDにより基体(100)上に蒸着す
る。次にSiCのマスク層(104)をパターン化しエ
ッチングして基体(100)の多孔質珪素が望まれる領
域を露出する。アノード処理工程を行い、基体の領域を
多孔質珪素(106)へ転化する。SiCマスク層(1
04)は、殆ど又は全く劣化することなくアノード処理
工程のHF電解質に耐える。
Description
(半導体素子)中に多孔質珪素領域を形成する分野に関
し、詳しくは多孔質珪素領域を形成するためのパターン
化法に関する。
途に有用なものにする低い誘電率及び大きな抵抗率を有
する。報告されている幾つかの用途には、電力装置を他
の回路及びオプトエレクトロニクス/ホトルミネッセン
スから絶縁することが含まれる。PSはフッ化水素酸及
びメタノールの溶液中で珪素ウェーハをアノード酸化す
ることにより形成する。鏡面状表面を有する均一で厚い
(100μm)フイルムは、15分で製造し得る。PS
フイルム構造体は、珪素ウェーハの配向を維持する単結
晶珪素マトリックス中の相互に連続した気孔を有する。
気孔分率は、アノード処理条件の関数であり、典型的に
は30%〜50%の範囲である。
ーピング量により決定される。変質する程ドープしたn
−型又はp−型珪素では、その構造は珪素ウェーハ表面
に対し垂直に走る多くの長い気孔からなり、それら気孔
の側面には多くの小さな「バッド(bud,芽)」が存在
し、それらが分岐を生ずる結果になることがある。僅か
にドープしたn−型又はp−型珪素では、気孔構造は、
相互に連続した空洞が明らかに無作為的に分布したもの
からなる。気孔分率が50%の場合、僅かにドープした
材料中の気孔の大きさは50Åの範囲にあるが、変質す
るほどドープした材料の気孔の大きさは150Åであ
る。気孔の側壁が一度び形成されると、それらは更にア
ノード処理されることに対し、著しい抵抗性をもつよう
になる。アノード処理の開始時には、半導体・電解質界
面領域の不均質性が、電流の流れの局在化及び気孔の発
生をもたらす。気孔間の珪素は欠乏し、従って、電解質
及び本体珪素と比較して抵抗性が高くなる(>105 Ω
・cm)。これは、気孔中の電解質を通って優先的に電
流が流れる結果になり、気孔先端でのみ反応を起こすこ
とになる。
例示してある。珪素ウェーハ10は前半電池12と後電
池14とを分離し、夫々は白金電極16及びポンプ供給
電解質18を有する。PSフイルムはウェーハのアノー
ド側に形成され、珪素は後電池中のカソードであり、反
応しない。PS構造体に影響を与える最も重要な変数
は、(1)基体のドーピング型及びドーピング量、
(2)HF濃度(10〜48%)、及び(3)電流密度
(典型的には1〜100mA/cm2 )である。基体抵
抗率を一定とした場合、HF濃度と電流密度との種々の
組合せを用いて同様なフイルム気孔率を生じさせること
ができる。気孔率は一般に電流密度の増大及びHF濃度
の減少と共に増大する。多孔質珪素は大きな内部表面積
を有するが、これらの表面上に見出される不純物(酸
素、炭素、フッ素及び水素)は、後の前端処理に対し、
大きな問題を与えるべきではない。
処理が行われてきた。PS領域を定めるのに二つの方法
が用いられてきた:(1)アノード処理を阻止するため
のイオン照射及び(2)種々のマスク層と組合せた慣用
的ホトリトグラフ。イオン照射を用いると単結晶珪素領
域を損傷する。この損傷は後の処理により除去しなけれ
ばならない。慣用的ホトリトグラフ及びマスク層につい
ては、15分程度継続するアノード処理工程中、HFの
存在化で腐食せず、標準的処理と両立するマスク材料を
見つけることは困難である。幾つかのマスク材料が提案
されてきた。これらには窒化珪素、MOCVD GaA
s、RTCVD SiC、及びLPCVD SiCが含
まれる。合理的な厚さの窒化珪素が、厚い多孔質珪素領
域のために必要な時間の間、HFに耐えることができる
かどうかは明らかではない。GaAs及びSiCはHF
に耐えることができるが、提案されたMOCVD Ga
As、RTCVD SiC、及びLPCVD SiC法
は高温(600〜1300℃)で行われる。これらの高
温は工程連続化問題を与える。従って、大量生産と両立
することができる選択的アノード処理法を実証すること
が依然として求められている。
域を選択的に形成する方法をここに開示する。本発明
は、多孔質珪素領域を形成するための低温法を与えるこ
とに、その長所がある。本発明の別の長所は、アノード
処理工程と両立することができ、アノード処理工程で用
いるHFにより除去されないマスク材料を与えることで
ある。
細書を参照した当業者には明らかになるであろう。
チルシラン、テトラメチルシラン、又は他の有機珪素前
駆物質ガスを用いて200〜500℃の温度でRECV
Dを行うことにより基体上にSiCの層を蒸着する。次
にSiC層をパターン化し、基体の多孔質珪素が望まれ
る領域を露出する。アノード処理工程を行って基体のそ
の領域を多孔質珪素へ転化する。
形成した後、基体に多孔質珪素の領域を選択的に形成す
る方法にある。そのようなものとして、本発明は下に論
ずるように、IC及び集積SiPC板の両方で受動RF
(無線周波)部品を基体から絶縁することにより、RF
用途に対し非常に有利になると考えられる。
の電解質浴中での湿式アノードエッチング処理を含む。
電解質は、典型的にはHF、H2 O、及びエタノール又
はメタノールのような表面活性剤の組合せである。pH
レベルは屡々1より小さい。選択的PS形成の場合、予
め成長させた構造体(即ち、トランジスタ)をアノード
処理中表面から除去されないようにするため、マスキン
グ工程が必要である。
形成である。問題は、ホトレジスト、窒化珪素等の典型
的なマスク材料が、HFの存在化で安定性が悪いことで
ある。従って、本発明は、製造段階でのIC処理と両立
することができる新しい方法を用いて蒸着した炭化珪素
(SiC)を用いる。
れた基体100の断面を示す。マスク層104は、PS
106が望まれる領域を除き、基体100及びトランジ
スタ102の上に配置されている。マスク層104は、
シラン/メタン、トリメチルシラン、又はテトラメチル
シランのような有機珪素ガスを用いたPECVD(プラ
ズマ増速化学蒸着)により蒸着した無定形SiC:H層
からなる。マスク層104は炭素に富み、500Åの厚
さのフイルムは、観察できるような劣化を起こすことな
く、少なくとも18時間HF電解質環境に耐えることが
できる。基体温度は350℃を越えないことが必要であ
り、典型的には希望のフイルム特性により250℃〜3
50℃である。蒸着速度は200Å/分より大きく、良
好なステップカバレージ(step coverage)を与える。フ
イルム応力は、周囲圧力を変えることにより−100〜
+200MPaに調節することができる。従って、有機
珪素前駆物質ガスPECVD法を用いて蒸着した無定形
SiC:H層からなるマスク層は、他の従来法のマスク
材料で起きるHF環境に耐える問題を解決し、一層低い
蒸着温度を用いることにより、従来法のSiC蒸着法で
起きる工程連続化問題を解決する。
を形成するための方法を次に更に詳細に論ずる。この態
様では、SiCマスク層104をトランジスタ珪化物工
程後に蒸着し、接点を作ろうとする領域から除去されな
いようにする。図3Aに関し、装置を処理して絶縁領域
108及びトランジスタ102を形成する。トランジス
タ102は珪化物(シリサイド)110の形成及びアニ
ーリング(Ti又はW)により製造する。絶縁領域10
8はフィールド酸化膜領域として示されている。しか
し、それらは別法として、浅いトレンチ絶縁STIのよ
うな別の型の絶縁でもよい。STIの場合、STI平面
化による処理及び研磨停止層をエッチングして下の酸化
物層を残す。
れていない)を、この時点で蒸着してもよい。この酸化
物層は、例えばPETEOS又はLPCVD TEOS
により5,000〜10,000Åの程度の厚さまで蒸
着する。
112を表面上に形成する。レジスト層112は、図3
Cに示すようにパターン化し、絶縁領域108の領域1
14を露出する。領域114は希望するPS部位の上に
位置する。次に絶縁領域108の酸化物をエッチング
し、図3Dに示すように、45°の程度の傾斜をした側
壁を残す。これは、例えば、次の二つのやり方の一方で
達成することができる: (1)CF4/O2 又はそれと同等なものを用いた等方性
プラズマエッチング、又は(2)HF脱グレーズ(degla
ze,つや消し処理)。レジスト層112を、次に標準的
アッシュ/クリーンナップ(ash/cleanup)法を用いて除
去する。
は、別法として、トランジスタ形成前に行ってもよいこ
とは当業者に明らかであろう。
トランジスタ形成前に行い、p-epiをp+w(0.01
Ω・cmの程度の抵抗率)に転化する。もし必要なら
ば、次に硼素又は同様なドーパントで汚染された酸化物
を除去する脱グレーズを行い、パッド酸化物を100〜
500Åの程度の厚さまで再び成長させる。
4を構造体の上に蒸着する。層104は、典型的には1
000Å〜5000Åの範囲の厚さを有する。次の方法
を用いることができる:シラン/メタン、トリメチルシ
ラン、テトラメチルシラン、他の有機珪素前駆物質ガ
ス、及びAr又はHeをキャリヤーガスとして用いたP
ECVD。圧力は5トールの程度でよい。ガス流量は、
500〜5000sccmの範囲でよい。RF電力密度
は2W/cm2 (13.56MHz)の程度でよい。基
体温度は、200〜500℃の範囲にある。もし望むな
らば、二重の蒸着工程を用い(同じ条件を用いて)欠陥
を減少させるようにしてもよい。
マスク層104の上に形成する。第二レジストマスク1
16は、図3Fに示したように、PS領域が望まれる場
所のほぼ中心部にSiCマスク層104の一部分118
を露出する。露出した部分118は、希望のPS領域の
幅よりもかなり小さい。部分118の大きさと希望のP
S領域との間の関係は、PS形成工程のパラメータに基
づき最適にする。
出部分118を除去する。SiCを除去する方法は当分
野で知られている。SiCマスク層104の部分118
を除去するための幾つかの方法の例は次の通りである。
(1)約300ミリトール(ガス流量10〜50scc
m)の室圧力及び約0.5〜1W/cm2 (13.56
MHz)のRF電力密度を用いたCl2 によるエッチン
グ[このガスによる酸化物まで達するエッチングの選択
性は問題にならないであろう。10:1のSiO2 に対
するSiCの除去速度が達成されている];(2)0〜
90%のO2 分率、0〜20sccmのH2 流量(フッ
素化O2 ガス流量10〜50sccm)、約10〜50
ミリトールの室圧力、及び約0.5〜1W/cm2 (1
3.56MHz)のRF電力密度を用いたCF4/O2/H
2 によるエッチング;(3)0〜90%のO2 分率、0
〜20sccmのH2 流量(フッ素化O2 ガス流量10
〜50sccm)、約10〜50ミリトールの室圧力、
及び約0.5〜1W/cm 2 (13.56MHz)のR
F電力密度を用いたSF6/O2/H2 によるエッチング;
及び(4)0〜50%のO2 分率、0〜100sccm
のH2 流量(フッ素化Arガス流量50〜200scc
m)、約10〜50ミリトールの室圧力、及び約0.5
〜1W/cm2 (13.56MHz)のRF電力密度を
用いたCHF 3/CF4/Ar /O2/H2 によるエッチン
グ。次に第二レジスト層118を除去する。
6をアノード処理により形成する。PS領域106の厚
さは10μmと恐らくウエーハの厚さとの間で用途によ
り変化する。典型的には、PS領域106は10〜20
0μmの範囲にある。適当なアノード処理法は当分野で
知られている。例えば、一定の気孔率は45〜70%の
範囲にあり、電流密度は30〜100mA/cm2 の範
囲にある。電解質は〔49%HF〕+〔エタノール等の
表面活性剤〕でもよい。
S層106a及び下のPS層106bを形成するのに二
つの連続電流工程を用いる。PS層106aは、0.2
〜1μmの範囲の厚さを有し、25〜40%の範囲の気
孔率を有する。これは、1〜5mA/cm2 の範囲の電
流密度を用いて達成することができる。PS層106b
は、10μmから恐らくウエーハの大きな厚さまでの範
囲の厚さを有し、45〜70%の範囲の一層大きな気孔
率を有する。これは30〜100mA/cm2の範囲の
電流密度を用いて達成することができる。両方とも電解
質は同じでもよい。例えば、〔49%HF〕+〔エタノ
ール等の表面活性剤〕(夫々約50%の溶液)である。
ド処理工程は、禁止帯幅より大きな光学的放射線でウエ
ーハの後側を照射しながら行う。ウエーハを光に露出す
ることによりSiの抵抗率を低下する。
電体(PMD)120を蒸着する。PMD120は、P
ECVD TEOSからなるのが典型的である。しか
し、別法として、当分野で知られている他のPMD材料
を窒化珪素又はSiCと同様に用いることもできる。S
iCを用いた場合、その工程も、トリメチルシラン(又
は他の有機珪素ガス)及びAr又はHeキャリヤーガス
を用い、約5トールの圧力(ガス流量500〜5000
sccm)、約2W/cm2 (13.56MHz)のR
F電力密度、200〜500℃の基体温度を用いたPE
CVDであるのがよい。
に示すように、PMD120、SiCマスク層104、
及びもし存在するならば任意的酸化物層を通って珪化物
110までエッチングすることにより接触エッチングを
行う。SiC及びSiO2 の両方を除去するエッチング
は、恐らく誘電体と珪化物との間の選択性が悪く、非常
に珪化物中までカットされ易くなるので、多段階エッチ
ングを用いるのが好ましい。多段階エッチングを用いな
いと、工程制御は悪くなることがある。
意の受動部品を形成する。基体から絶縁するため、これ
らの受動部品はPS領域106の上に形成する。
の形成方法を、次に更に詳細に論ずる。この態様では、
SiCマスク層104をトランジスタ珪化物工程の後に
蒸着し、接点を作ろうとする領域から除去する。第一の
態様の場合と同様に、装置を処理し、絶縁領域108及
びトランジスタ102を形成する。トランジスタ102
は珪化物110の形成及びアニーリング(Ti又はW)
により製造する。絶縁領域108はフィールド酸化膜領
域として示されている。しかし、それらは別法として、
浅いトレンチ絶縁のような別の型の絶縁でもよい。
111を蒸着する。この態様では、この酸化物層は任意
的なものではなく、この方法で後でSiCを除去するた
めに存在していなければならない。この酸化物層は、例
えばPETEOS又はLPCVD TEOSにより10
00Åの程度の厚さまで蒸着する。
する。レジスト層112は、図4Bに示すようにパター
ン化し、絶縁領域108の領域114を露出する。領域
114は希望するPS部位の上に位置する。次に絶縁領
域108の酸化物をエッチングし、図4Cに示すよう
に、45°の程度の傾斜をした側壁を残す。これは、例
えば、次の二つのやり方の一方で達成することができ
る:(1)CF4/O2 又はそれと同等なものを用いた等
方性プラズマエッチング、又は(2)HF脱グレーズ。
レジスト層112を、次に標準的アッシュ/クリーンナ
ップ法を用いて除去する。
合、p+インプラント/拡散工程が、トランジスタ形成
前に必要であり、多孔質珪素が望まれる場合、p-epiを
p+(抵抗率0.01Ω・cmの程度)に転化する。次
に脱グレーズ/酸化物エッチングを行い、ドーパント汚
染酸化物を除去し、パッド酸化物を100〜500Åの
程度の厚さまで再び成長させる。
4を構造体の上に蒸着する。層104は、典型的には1
000Å〜5000Åの範囲の厚さを有する。次の方法
を用いることができる:トリメチルシラン及びAr又は
Heをキャリヤーガスとして用いたPECVD。圧力は
5トールの程度でよい。ガス流量は、500〜2000
sccmの範囲でよい。RF電力密度は2W/cm
2 (13.56MHz)の程度でよい。基体温度は、2
00〜500℃の範囲にある。もし望むならば、二重の
蒸着工程を用い(同じ条件を用いて)欠陥を減少させる
ようにしてもよい。
マスク層104の上に形成する。第二レジストマスク1
16は、図4Eに示したように、PS領域が望まれる場
所のほぼ中心部にSiCマスク層104の一部分118
を露出する。露出した部分118は、希望のPS領域の
幅よりもかなり小さい。部分118の大きさと希望のP
S領域との間の関係は、PS形成工程のパラメータに基
づき最適にする。
除去する。SiCを除去する方法は当分野で知られてい
る。SiCマスク層104の部分118を除去するため
の幾つかの方法の例は次の通りである。(1)約300
ミリトール(ガス流量10〜50sccm)の室圧力及
び約0.5〜1W/cm2 (13.56MHz)のRF
電力密度を用いたCl2 によるエッチング[このガスに
よる酸化物まで達するエッチングの選択性は問題になら
ないであろう。10:1のSiO2 に対するSiCの除
去速度が達成されている];(2)0〜90%のO2 分
率、0〜20sccmのH2 流量(フッ素化O2 ガス流
量10〜50sccm)、約10〜50ミリトールの室
圧力、及び約0.5〜1W/cm2 (13.56MH
z)のRF電力密度を用いたCF4/O2/H2 によるエッ
チング;(3)0〜90%のO2 分率、0〜20scc
mのH2 流量(フッ素化O2 ガス流量10〜50scc
m)、約10〜50ミリトールの室圧力、及び約0.5
〜1W/cm2 (13.56MHz)のRF電力密度を
用いたSF6/O2/H2 によるエッチング;及び(4)0
〜50%のO2 分率、0〜100sccmのH2 流量
(フッ素化Arガス流量50〜200sccm)、約1
0〜50ミリトールの室圧力、及び約0.5〜1W/c
m2 (13.56MHz)のRF電力密度を用いたCH
F3/CF4/Ar /O2/H2 によるエッチング。次に第二
レジスト層118を除去する。
6をアノード処理により形成する。PS領域106の厚
さは10μmと恐らくウエーハの厚さとの間で用途によ
り変化する。典型的には、PS領域106は10〜20
0μmの範囲にある。一定の気孔率は45〜70%の範
囲にあり、電流密度は30〜100mA/cm2 の範囲
にある。電解質は〔49%HF〕+〔エタノール等の表
面活性剤〕でもよい。
上のPS層106a及び下のPS層106bを形成する
のに二つの連続電流工程を用いる。PS層106aは、
0.2〜1μmの範囲の厚さを有し、25〜40%の範
囲の気孔率を有する。これは、1〜5mA/cm2 の範
囲の電流密度を用いて達成することができる。PS層1
06bは、10μmから恐らくウエーハの大きな厚さま
での範囲の厚さを有し、45〜70%の範囲の一層大き
な気孔率を有する。これは30〜100mA/cm2 の
範囲の電流密度を用いて達成することができる。両方と
も電解質は同じでもよい。例えば、〔49%HF〕+
〔エタノール等の表面活性剤〕(夫々約50%の溶液)
である。
ド処理工程は、禁止帯幅より大きな光学的放射線でウエ
ーハの後側を照射しながら行う。ウエーハを光に露出す
ることによりSiの抵抗率を低下する。
連続工程を行なってもよい。第一に、図4Gに示すよう
に、キャッピング(capping)層122をSiCマスク層
の上に蒸着する。キャッピング層はSiC又は窒化珪素
からなり、1500Åの程度の厚さを有する。もしSi
Cを用いるならば、本方法の前の場合と同じ蒸着法を用
いるのがよい。第二に、キャッピング層122の上に第
三レジストマスク124を形成し、アノード処理された
領域を被覆して残し、残りの領域を露出する。
なく、SiCマスク層104、及び存在するならばキャ
ッピング層122をエッチングし、酸化物層111で止
める。もし任意的第三レジストマスク124を用いるな
らば、SiCマスク層104の一部分がPS領域106
の上に残る。さもなければSiCマスク層104の全部
が除去される。もし存在するならば、第三レジストマス
クを除去する。
0はPECVD TEOSからなるのが典型的である。
しかし、当分野で知られている他のPMD材料を、別法
として用いてもよい。次にPMD120をパターン化
し、図4Hに示すように、PMD120及び酸化物層1
11を通って珪化物110までエッチングすることによ
り接触エッチング(contact etch)を行う。この態様で
は、SiCを除去するための多段階エッチングは不必要
である。次に金属相互接続層及び受動部品を形成するた
めの処理を継続する。基体から絶縁するため、これらの
受動成分はPS領域106の上に形成する。
記述してきたが、この記載は限定的意味を持つものと解
釈されるべきではない。本発明の他の態様と同様、例示
した態様の種々の修正及び組合せが、本記載を参照する
ことにより当業者には明らかになるであろう。例えば、
本発明の低温特徴は特にトランジスタ製造後に有利であ
るが、本発明の方法を、別法として、トランジスタ製造
前に行う(一部分又は全部)こともできる。硼素又は同
様なドーパントのp+拡散をトランジスタ形成前に行
い、p-epiをp+w(0.01Ω・cm程度の抵抗率)
に変換してもよい。もし必要ならば、硼素又は同様なド
ーパントで汚染された酸化物を除去する脱グレーズを次
に行ない、パッド酸化物を100〜500Åの程度の厚
さまで再び成長させる。従って、特許請求の範囲はその
ような修正又は態様も包含するものである。
る。 (1) 絶縁領域が中に形成されている基体に多孔質珪
素領域を形成する方法において前記絶縁領域をパターン
化しエッチッングし、前記基体の第一領域を露出し、有
機珪素前駆物質ガスを用いてプラズマ増速化学蒸着によ
り、前記第一領域を含む前記基体上に炭化珪素の層を蒸
着し、前記蒸着工程を200〜500℃の範囲内の温度
で行ない、前記炭化珪素層をパターン化しエッチッング
し、前記第一領域内に前記基体の第二領域を露出し、次
いでHF電解質を用いて前記第一領域内に多孔質珪素領
域を形成し、然も前記炭化珪素層がトランジスタをHF
電解質から保護する、諸工程からなる多孔質珪素領域形
成方法。 (2) 有機珪素前駆物質ガスがトリメチルシランから
なる、第1項記載の方法。 (3) 絶縁領域をパターン化しエッチッングする工程
が、45°の程度の傾斜を有する角度の付いた側壁を形
成する、第1項記載の方法。 (4) 炭化珪素層が1000〜5000Åの範囲の厚
さを有する、第1項記載の方法。 (5) 炭化珪素層を蒸着する工程が次の処理パラメー
タ:4トールの程度のキャリヤーガス供給圧力;500
〜5000sccmの範囲のガス流量;及び13.56
MHzの程度の周波数で2W/cm2 の程度のRF電力
密度;を有する、第1項記載の方法。 (6) 炭化珪素層をパターン化しエッチッングする工
程が、300ミリトールの程度の室圧力、10〜50s
ccmの範囲のガス流量、及び13.56MHzの程度
の周波数で0.5〜1W/cm2 の範囲のRF電力密度
で塩素中でエッチッングする工程からなる、第1項記載
の方法。 (7) 炭化珪素層をパターン化しエッチッングする工
程が、10〜50ミリトールの程度の室圧力、0〜20
sccmの範囲のH2 のためのガス流量及び10〜50
sccmの範囲のフッ素化酸素のためのガス流量、及び
13.56MHzの程度の周波数で0.5〜1W/cm
2 の範囲のRF電力密度でCF4/O2/H 2 中でエッチッ
ングする工程からなる、第1項記載の方法。 (8) 炭化珪素層をパターン化しエッチッングする工
程が、10〜50ミリトールの程度の室圧力、0〜20
sccmの範囲のH2 のためのガス流量及び10〜50
sccmの範囲のフッ素化酸素のためのガス流量、及び
13.56MHzの程度の周波数で0.5〜1W/cm
2 の範囲のRF電力密度でSF6/O2/H 2 中でエッチッ
ングする工程からなる、第1項記載の方法。 (9) 炭化珪素層をパターン化しエッチッングする工
程が、10〜50ミリトールの程度の室圧力、0〜10
0sccmの範囲のH2 のためのガス流量及び50〜2
00sccmの範囲のフッ素化酸アルゴンのためのガス
流量、及び13.56MHzの程度の周波数で0.5〜
1W/cm2 の範囲のRF電力密度でCHF3/CF4/A
r /O2/H2 中でエッチッングする工程からなる、第1
項記載の方法。 (10) 多孔質珪素領域を形成する工程が、HF電解
質中で、30〜100mA/cm2 の範囲の電流密度で
アノード処理することからなる、第1項記載の方法。 (11) 珪素基体100中に多孔質珪素領域106を
選択的に形成する方法。SiCのマスク層104を、ト
リメチルシラン、シラン/メタン、又はテトラメチルシ
ランのような有機珪素前駆物質ガスを用いて200〜5
00℃の温度でPECVDにより基体100上に蒸着す
る。次にSiCのマスク層104をパターン化しエッチ
ングして基体100の多孔質珪素が望まれる領域を露出
する。アノード処理工程を行い、基体の領域を多孔質珪
素106へ転化する。SiCマスク層104は、殆ど又
は全く劣化することなくアノード処理工程のHF電解質
に耐える。
のアノード処理セルの模式図である。
面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
の多孔質珪素領域を有する基体の断面図である。
Claims (1)
- 【請求項1】 絶縁領域が中に形成されている基体に多
孔質珪素領域を形成する方法において前記絶縁領域をパ
ターン化しエッチッングし、前記基体の第一領域を露出
し、 有機珪素前駆物質ガスを用いてプラズマ増速化学蒸着に
より、前記第一領域を含む前記基体上に炭化珪素の層を
蒸着し、前記蒸着工程を200〜500℃の範囲内の温
度で行ない、 前記炭化珪素層をパターン化しエッチッングし、前記第
一領域内に前記基体の第二領域を露出し、次いでHF電
解質を用いて前記第一領域内に多孔質珪素領域を形成
し、然も前記炭化珪素層がトランジスタをHF電解質か
ら保護する、 諸工程からなる多孔質珪素領域形成方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US6952297P | 1997-12-12 | 1997-12-12 | |
US069522 | 1997-12-12 |
Publications (1)
Publication Number | Publication Date |
---|---|
JPH11238793A true JPH11238793A (ja) | 1999-08-31 |
Family
ID=22089550
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP10354810A Pending JPH11238793A (ja) | 1997-12-12 | 1998-12-14 | 多孔質珪素SiCパターン化法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US6103590A (ja) |
EP (1) | EP0926724B1 (ja) |
JP (1) | JPH11238793A (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2009508323A (ja) * | 2005-06-27 | 2009-02-26 | ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア | 浅溝を形成するための方法 |
Families Citing this family (40)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6287990B1 (en) | 1998-02-11 | 2001-09-11 | Applied Materials, Inc. | CVD plasma assisted low dielectric constant films |
US6593247B1 (en) | 1998-02-11 | 2003-07-15 | Applied Materials, Inc. | Method of depositing low k films using an oxidizing plasma |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6054379A (en) | 1998-02-11 | 2000-04-25 | Applied Materials, Inc. | Method of depositing a low k dielectric with organo silane |
US6660656B2 (en) | 1998-02-11 | 2003-12-09 | Applied Materials Inc. | Plasma processes for depositing low dielectric constant films |
US6262445B1 (en) * | 1998-03-30 | 2001-07-17 | Texas Instruments Incorporated | SiC sidewall process |
FR2779006B1 (fr) * | 1998-05-19 | 2003-01-24 | St Microelectronics Sa | Procede de formation de silicium poreux dans un substrat de silicium, en particulier pour l'amelioration des performances d'un circuit inductif |
US6667553B2 (en) | 1998-05-29 | 2003-12-23 | Dow Corning Corporation | H:SiOC coated substrates |
US6159871A (en) | 1998-05-29 | 2000-12-12 | Dow Corning Corporation | Method for producing hydrogenated silicon oxycarbide films having low dielectric constant |
US6277662B1 (en) * | 1999-06-03 | 2001-08-21 | Seiichi Nagata | Silicon substrate and forming method thereof |
JP3430091B2 (ja) * | 1999-12-01 | 2003-07-28 | Necエレクトロニクス株式会社 | エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置 |
US6261892B1 (en) * | 1999-12-31 | 2001-07-17 | Texas Instruments Incorporated | Intra-chip AC isolation of RF passive components |
US6414333B1 (en) * | 2000-03-10 | 2002-07-02 | Samsung Electronics Co., Ltd. | Single electron transistor using porous silicon |
US6429129B1 (en) * | 2000-06-16 | 2002-08-06 | Chartered Semiconductor Manufacturing Ltd. | Method of using silicon rich carbide as a barrier material for fluorinated materials |
US6764958B1 (en) | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
US6465366B1 (en) * | 2000-09-12 | 2002-10-15 | Applied Materials, Inc. | Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers |
US6531398B1 (en) | 2000-10-30 | 2003-03-11 | Applied Materials, Inc. | Method of depositing organosillicate layers |
US6537733B2 (en) | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
US6709721B2 (en) | 2001-03-28 | 2004-03-23 | Applied Materials Inc. | Purge heater design and process development for the improvement of low k film properties |
US6670278B2 (en) * | 2001-03-30 | 2003-12-30 | Lam Research Corporation | Method of plasma etching of silicon carbide |
US20020177321A1 (en) | 2001-03-30 | 2002-11-28 | Li Si Yi | Plasma etching of silicon carbide |
US7084070B1 (en) | 2001-03-30 | 2006-08-01 | Lam Research Corporation | Treatment for corrosion in substrate processing |
US6486082B1 (en) | 2001-06-18 | 2002-11-26 | Applied Materials, Inc. | CVD plasma assisted lower dielectric constant sicoh film |
US6743725B1 (en) * | 2001-08-13 | 2004-06-01 | Lsi Logic Corporation | High selectivity SiC etch in integrated circuit fabrication |
US6723653B1 (en) * | 2001-08-17 | 2004-04-20 | Lsi Logic Corporation | Process for reducing defects in copper-filled vias and/or trenches formed in porous low-k dielectric material |
WO2003021652A1 (en) * | 2001-08-31 | 2003-03-13 | Tokyo Electron Limited | Method for etching object to be processed |
US6936309B2 (en) | 2002-04-02 | 2005-08-30 | Applied Materials, Inc. | Hardness improvement of silicon carboxy films |
US6815373B2 (en) | 2002-04-16 | 2004-11-09 | Applied Materials Inc. | Use of cyclic siloxanes for hardness improvement of low k dielectric films |
US6613637B1 (en) * | 2002-05-31 | 2003-09-02 | Lsi Logic Corporation | Composite spacer scheme with low overlapped parasitic capacitance |
US7749563B2 (en) * | 2002-10-07 | 2010-07-06 | Applied Materials, Inc. | Two-layer film for next generation damascene barrier application with good oxidation resistance |
JP4119726B2 (ja) * | 2002-10-15 | 2008-07-16 | 東京エレクトロン株式会社 | プラズマ処理方法 |
JP2004296835A (ja) * | 2003-03-27 | 2004-10-21 | Applied Materials Inc | ダマシン構造を形成する方法 |
US7261919B2 (en) * | 2003-11-18 | 2007-08-28 | Flx Micro, Inc. | Silicon carbide and other films and method of deposition |
FR2870978B1 (fr) | 2004-05-28 | 2007-02-02 | Commissariat Energie Atomique | Dispositif d'enregistrement a barriere thermique poreuse |
US20070210421A1 (en) * | 2006-03-13 | 2007-09-13 | Texas Instruments Inc. | Semiconductor device fabricated using a carbon-containing film as a contact etch stop layer |
DE102007012061A1 (de) * | 2007-03-13 | 2008-09-18 | Robert Bosch Gmbh | Verfahren und Vorrichtung zum Erzeugen einer porösen Schicht auf einem Halbleiterträger |
FR2926396B1 (fr) * | 2008-01-16 | 2010-03-19 | Commissariat Energie Atomique | Procede de fabrication de films de carbure de silicium hydrogene amorphe munis de pores traversants et films ainsi obtenus |
US8778465B2 (en) | 2011-05-15 | 2014-07-15 | Varian Semiconductor Equipment Associates, Inc. | Ion-assisted direct growth of porous materials |
US11355340B2 (en) * | 2019-07-19 | 2022-06-07 | Iqe Plc | Semiconductor material having tunable permittivity and tunable thermal conductivity |
CN116092922B (zh) * | 2023-02-02 | 2024-01-23 | 江苏昕感科技有限责任公司 | 碳化硅晶圆沟槽刻蚀方法 |
Family Cites Families (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS52119186A (en) * | 1976-03-31 | 1977-10-06 | Nec Corp | Manufacture of semiconductor |
GB2038548B (en) * | 1978-10-27 | 1983-03-23 | Nippon Telegraph & Telephone | Isolating semiconductor device by porous silicon oxide |
US5023200A (en) * | 1988-11-22 | 1991-06-11 | The United States Of America As Represented By The United States Department Of Energy | Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies |
JP3402635B2 (ja) * | 1992-12-08 | 2003-05-06 | キヤノン株式会社 | 微小流路素子 |
DE4310345C2 (de) * | 1993-03-31 | 1995-07-27 | Daimler Benz Ag | Verfahren zum Trockenätzen von SiC |
US6017773A (en) * | 1997-04-04 | 2000-01-25 | University Of Rochester | Stabilizing process for porous silicon and resulting light emitting device |
-
1998
- 1998-12-09 US US09/207,939 patent/US6103590A/en not_active Expired - Lifetime
- 1998-12-11 EP EP98204296A patent/EP0926724B1/en not_active Expired - Lifetime
- 1998-12-14 JP JP10354810A patent/JPH11238793A/ja active Pending
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2009508323A (ja) * | 2005-06-27 | 2009-02-26 | ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア | 浅溝を形成するための方法 |
Also Published As
Publication number | Publication date |
---|---|
EP0926724B1 (en) | 2012-06-13 |
EP0926724A3 (en) | 1999-07-07 |
US6103590A (en) | 2000-08-15 |
EP0926724A2 (en) | 1999-06-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JPH11238793A (ja) | 多孔質珪素SiCパターン化法 | |
JP3659933B2 (ja) | 高アスペクト比の開口をエッチングする方法 | |
US7470606B2 (en) | Masking methods | |
TW201025499A (en) | Gapfill improvement with low etch rate dielectric liners | |
KR100390919B1 (ko) | 반도체소자의 제조방법 | |
JP2002543610A (ja) | SiCの除去法 | |
KR100406580B1 (ko) | 반도체 소자의 콘택 플러그 형성방법 | |
US7514331B2 (en) | Method of manufacturing gate sidewalls that avoids recessing | |
CA2015891C (en) | Method for forming variable width isolation structures | |
US5234861A (en) | Method for forming variable width isolation structures | |
KR20040008724A (ko) | 반도체소자의 제조방법 | |
US6194286B1 (en) | Method of etching thermally grown oxide substantially selectively relative to deposited oxide | |
KR100517328B1 (ko) | 선택적 에피택셜 성장법을 이용한 콘택플러그를 갖는반도체소자 및 그의 제조 방법 | |
US9217209B2 (en) | Methods for epitaxial silicon growth | |
KR19980071537A (ko) | 도핑된 폴리실리콘층 및 폴리실리콘층 구조물의 제조 방법 및 폴리실리콘층을 포함하는 층 및 층 구조물의 구조화 방법 | |
KR100629606B1 (ko) | 고전압 소자 영역의 게이트 산화막 질 개선방법 | |
US6900104B1 (en) | Method of forming offset spacer manufacturing for critical dimension precision | |
TW200414415A (en) | Semiconductor device fabricating method | |
KR20040048019A (ko) | 실리콘 에피텍셜층 형성방법 | |
KR100524802B1 (ko) | 이중 선택적 에피택셜 성장법을 이용한 콘택플러그를 갖는반도체소자 및 그의 제조 방법 | |
US7026250B2 (en) | Method for reducing contact resistance of a semiconductor device | |
KR100525912B1 (ko) | 반도체 소자의 제조 방법 | |
TWI267914B (en) | Method of manufacturing semiconductor device | |
KR101062290B1 (ko) | 반도체 소자의 콘택 플러그 형성방법 | |
CN115799062A (zh) | 一种刻蚀方法及半导体器件的制备方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20051102 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20080129 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080215 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080515 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080520 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080616 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080619 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20080715 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20080718 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20081021 |