JPH10130846A - 平坦で高反射性の層を堆積する方法とその方法により生成された基板 - Google Patents

平坦で高反射性の層を堆積する方法とその方法により生成された基板

Info

Publication number
JPH10130846A
JPH10130846A JP9290210A JP29021097A JPH10130846A JP H10130846 A JPH10130846 A JP H10130846A JP 9290210 A JP9290210 A JP 9290210A JP 29021097 A JP29021097 A JP 29021097A JP H10130846 A JPH10130846 A JP H10130846A
Authority
JP
Japan
Prior art keywords
substrate
seeding
layer
deposition
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP9290210A
Other languages
English (en)
Inventor
Ted Tie Guo
ティエ グオ テッド
Mehul Bhagubhai Naik
ブハグブハイ ナイク メフル
Lian-Yu Chen
ユー チェン リーアン
Roderick Craig Mosely
クレイグ モーゼリー ローデリック
Israel Beinglass
ベイングラス イスラエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10130846A publication Critical patent/JPH10130846A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/0281Deposition of sub-layers, e.g. to promote the adhesion of the main coating of metallic sub-layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • C23C16/20Deposition of aluminium only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 基板20上に実質的に平坦な高反射性の層を
堆積し、特に、基板20にある高アスペクト比のホール
22を金属含有材料で充填する際に有用な化学気相成長
法を提供する。 【解決手段】 この基板20は、処理領域95中に配置
され、連続するシーディング段階及び方位結晶成長段階
が、基板に対して行われる。シーディング段階におい
て、基板20は、より低い第1の温度範囲ΔTS 内の温
度TS に加熱され、シーディングガスが処理領域95に
導入される。シーディングガスは、基板20上に実質的
に連続した非粒状の平坦なシーディング層30を堆積す
る。その後、方位結晶成長段階において、基板20が、
より高い第2の温度範囲ΔTD 内の堆積温度Td に維持
され、堆積ガスが処理領域95に導入される。この堆積
ガスは、シーディング層30上に成長する高方位性の比
較的大きい結晶により生成される高反射性の表面を有す
る方位結晶成長層32を、シーディング層30上に形成
する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、化学気相成長法に
よって基板上に材料を堆積する装置及び方法に関する。
【0002】
【従来の技術】集積回路の製造において、導電性金属含
有材料が基板上に堆積され、基板上に形成される能動デ
バイスに電気的に接続するために用いられる接続線及び
/又は充填された接触ホール及びバイアを形成する。金
属含有接続線は、典型的には、(i) 基板上にエレクトロ
マイグレーション、拡散バリヤ、導電体及び/又は非反
射層のスタックを堆積し、(ii)堆積層上にレジスト層の
パターンを形成し、また(iii) 、堆積層の保護されてな
い部分をエッチングして、接続線を形成することによっ
て作られる。二酸化シリコンのような電気絶縁層が、接
続線を電気的に絶縁するために接続線の上方に堆積され
る。接触ホール又はバイアが、絶縁層を通してエッチン
グされ、下層の接続線を露出させ、又はゲートのような
半導体装置を露出させる。接触ホール又はバイアは、付
加的な金属含有材料により充填されて、基板上に形成さ
れる装置と接続線を接続するプラグを形成する。
【0003】従来の化学気相成長法(CVD)及び物理
気相成長法(PVD)が、基板上に形成されるバイア及
び接触ホールに導電性材料を堆積するために用いられ
る。接触ホール又はバイア(便宜上、本明細書では「ホ
ール」と呼ぶことにする)が、高アスペクト比、すなわ
ちその幅または径に対する高さの比が1よりも大きい比
を有することがあるため、従来のプロセスに関して1つ
の問題が生じる。ホールのアスペクト比は、技術の進歩
がより密な間隔の特徴部を生成するにつれて大きくな
る。図1を参照すると、基板10が、例えば二酸化シリ
コン又はシリコン窒化物のような電気的絶縁層12内に
形成されるホール11を有する。金属含有層13がしば
しばホールの側壁14に優先的に堆積し、粒子が成長し
て最終的に一点に集まり金属含有材料13内にボイド及
び不連続性15を形成するために、化学気相成長法を用
いて均一な金属含有層13を高アスペクト比のホール1
1に堆積することは困難である。ボイドの回りのアルミ
ニウム原子などの金属原子の高い変動性により、この原
子が拡散して、ボイドの表面積を最小にし、図1に示さ
れるような円形のボイド15を形成する。これらのボイ
ド及び不連続部は、性能の劣った信頼性の低い電気的接
触を生成することになる。
【0004】従来の堆積プロセスにおいて、このような
方法がランダムな結晶方位を有する結晶粒子16を堆積
するために、別の問題が生じる。高度な方位性をもつ
(高方位性)結晶が、結晶内の原子のエレクトロマイグ
レーションを低減できる。エレクトロマイグレーション
は拡散性のプロセスであって、基板にわたる電界の影響
下にある堆積層内で原子がある領域から別の領域に拡散
し、「開いた」接合部および信頼性の低い導電性を生成
するボイドを生じさせる。ある結晶方位において、導電
層が小さい拡散係数を有するために、高方位性結晶構造
を有する導電層が、低減された原子のエレクトロマイグ
レーションを示す。例えば、<111>ミラー指数を有
する結晶面の高い方位性をもつ堆積アルミニウム粒子
が、エレクトロマイグレーション拡散に高い抵抗を示す
ことが見いだされる。しかしながら、従来の堆積プロセ
スは、通常は基板上に電流密度を制限するランダムな方
位をもつ結晶粒子16を堆積し、導電性プラグ又は接続
線が典型的にはおよそ106A/cm2を通すことができ、これ
を越えると、過度なエレクトロマイグレーションが生じ
る。
【0005】従来のCVD及びPVDプロセスにより生
成されるランダムな方位をもつ結晶粒子により、平坦で
ない表面を有する層が堆積され、このことは、高速回路
を形成するためにサブミクロンのサイズの特徴部層を複
数利用する現代のVLSI回路に望ましくない。このよ
うな回路の充填されたホール11の表面17は、従来の
フォトリソグラフィー技術を用いて高密度の小型化した
特徴部を正確に製造するために、平坦である必要があ
る。従来のCVD堆積技術は、図1に示されるように、
平坦でない不規則な表面17を生じさせるホール11に
隣接する特徴部上に、不規則な隆起部18を有する堆積
層を形成する。平坦でない堆積層は、フォトリソグラフ
ィープロセス中にレジストパターンを焦点がずれたも
の、又は不鮮明なものとし、エッチングするときには、
不規則な線幅を有する特徴部を形成する。
【0006】スパッタリングのような従来のPVD法に
は、励起プラズマイオンによりスパッタリングターゲッ
トからスパッタされた材料が比較的直線の経路で基板1
0に飛び、ターゲットの見える範囲内の基板部に主とし
て堆積するため、別の問題が生じる。目視線(line-of-s
ight) の堆積は、1を超える高アスペクト比の接触ホー
ル又はバイアを充填することを困難にする。従来技術の
PVDプロセスではよく、堆積される材料の5%よりも
少ない材料が、ホール11内に形成され、残りの堆積
が、ホール11に隣接する特徴部の頂部に形成される。
アルミニウム層の堆積においては、再流動プロセスがP
VDプロセスに関連して用いられ、基板10が再流動温
度に加熱されて、堆積されたアルミニウムが、接触ホー
ル及び/又はバイアの底部に流動するようにする。しか
しながら、PVDアルミニウム層が基板10上にのみ堆
積するため、基板10内のホール11に流動する十分に
低い粘度を有するアルミニウム層を形成するために、こ
の層がおよそ400 から550 ℃に加熱されなければならな
い。このような高い温度は、PVD及び再流動技術を、
高温によって損傷を受けない基板だけに限定する。例え
ば、400 ℃を超える温度は、ドーパント材料の拡散及び
/又は基板の崩壊を生じさせる。小型化した集積回路を
新しく生成するには、低い処理温度を必要とし、所望の
レベルの小型化を可能とし、400 ℃を超える温度で不安
定となる低温材料が使用できるようになる。
【0007】従って、堆積材料中にボイド又は欠陥を形
成することなく、金属含有材料で基板の高アスペクト比
ホールを充填するプロセスに対するニーズが存在する。
堆積プロセスが、特定の結晶面において高い方向性をも
つ粒子を堆積することが望ましい。また、堆積プロセス
が、実質的に平坦な表面を有する層を堆積するのが望ま
しい。また、堆積プロセスが、高い温度に影響を受けや
すい特徴部に対する熱損傷を低減するために、低温で作
動するのが望ましい。
【0008】
【発明の概要】本発明は、基板上に実質的に平坦で高反
射性の層を堆積する化学気相成長法に関し、特に基板の
高アスペクト比ホールを充填するのに有用である。この
基板は処理領域に配置され、次のシーディング(seedin
g) 及び方位結晶成長の段階が基板上で実現される。シ
ーディング段階において、基板は、第1の低い温度範囲
ΔTs 内で温度Ts に加熱され、シーディングガスが処
理領域に導かれる。シーディングガスは、基板上で実質
的に連続して非粒状のシーディング層を堆積する。その
後、次の方位結晶成長段階で、基板が、第2の高い温度
範囲ΔTD 内で堆積温度Td に維持され、堆積ガスが処
理領域に導かれる。この堆積ガスは、シーディング層上
に方位結晶成長層を形成し、この方位結晶成長層は、シ
ーディング層上に成長する高い方位性をもつ大きな結晶
に起因した高反射性表面を有する。
【0009】この処理は、およそ3°θよりも小さい半
値全幅を有するx線回折ピークを示す<111>ミラー
指数結晶面を有する実質的に平坦で高反射性のアルミニ
ウム含有層を含む基板を形成するために有用である。基
板の反射率は、単結晶シリコンウェハの反射率を100 %
とすると、少なくとも190 %である。基板の高い反射性
をもつ平坦な表面は、基板上に非常に小さい回路を正確
に、再現性よく形成することを可能にする。本発明の別
の態様が、実質的に平坦で高反射性の層を基板に堆積す
る処理チャンバを作動するコンピュータプログラムプロ
ダクトを備える。このコンピュータプロダクトは、本明
細書で具体化されたコンピュータ読取可能プログラムコ
ード手段を有するコンピュータ利用可能媒体を備える。
このコンピュータプログラムコード手段は、 (a)処理チャンバに基板を配置する基板配置コード、 (b)(i) 基板が第1の低い温度範囲ΔTs 内の温度T
s に維持されるシーディング段階と、(ii)基板が第2の
高い温度範囲ΔTD 内の堆積温度Td に維持される方位
結晶成長段階とにおいてヒータを作動するヒータ制御コ
ード、 (c)(i) シーディングモードにおいて、シーディング
段階中にシーディングガスを処理領域に導入し、基板上
に実質的に連続したシーディング層を堆積し、(ii)堆積
モードにおいて、方位結晶成長段階中に堆積ガスを処理
領域に導入し、シーディング層上に、実質的に互いに方
位性をもつ大きな結晶を有し、実質的に平坦で高反射性
面を有する方位結晶成長層を成長させる、プロセスガス
制御コード、を含む。
【0010】別の態様においては、本発明は、処理チャ
ンバに基板を配置する配置プログラムコード手段を有す
る処理チャンバを作動するコンピュータ読取可能プログ
ラムプロダクトを備える。シーディングプログラムコー
ド手段が、(i) 基板を温度範囲ΔTs 内の温度Ts に加
熱し、(ii)シーディングガスを処理領域に導入し、基板
の一部に実質的に連続したシーディング層を堆積するた
めに設けられる。方位結晶成長プログラムコード手段
が、(i) 基板を温度範囲ΔTD 内の堆積温度Tdに維持
し、(ii)堆積ガスを処理領域に導入して、シーディング
層上で成長される方位結晶成長層を形成し、実質的に平
坦で高反射性層を基板に形成するために用いられる。
【0011】
【発明の実施の形態】本発明は、図2〜3に示されるよ
うに、化学気相成長(CVD)法によって、結晶学的に
高い方位性をもった実質的に平坦な材料層を基板20上
に堆積する装置及び方法に関する。基板20は、例えば
単結晶シリコン、ガリウムヒ素又はポリシリコン基板を
含んだ、ガラス、ポリマ、金属及び半導体基板を含む。
この方法は、絶縁層24(例えば、シリコン酸化物、ホ
スホシリケートガラス(PSG)、又はボロホスホシリ
ケートガラス(BPSG)層)を通ってエッチングされ
たホール22に、導電性材料の高い方位性をもつ結晶層
を堆積するのに特に適している。この絶縁層24は、基
板上に絶縁層を堆積するための従来の化学気相成長法を
用いておよそ3000から15,000Åの厚さに堆積されるのが
通常であり、(i)例えばSiH4又はSiCl2H2 であるシリコ
ンソースガスと、CO2 及びH2、又はN2O のような酸素ソ
ースガス、(ii)Si(OC2H5)4のようにシリコン及び酸素の
両方を含んだ単一のガス、あるいは(iii)SiH4 と、NH3
又はSiN4のようにSi3N4 を堆積するガスが用いられる。
その後、0.25から1μmの径と、およそ0.3 μmからお
よそ10μmの高さ、典型的にはおよそ0.3 から1.2 μm
の高さを有するホール22が、図2に示されるように、
ハロゲン含有ガスを用いる従来の反応性イオンエッチン
グ法によって絶縁層24内でエッチングされる。ホール
22の間の間隔は、少なくともおよそ0.15μmであり、
典型的には少なくともおよそ0.5 μmである。いくつか
のホール22は、コーナ及び縁26を有し、少なくとも
およそ1、典型的にはおよそ2の高アスペクト比を有す
る。
【0012】基板20上に堆積された材料は、通常は、
例えばアルミニウム、銅、及びオプションとしてシリコ
ンからなる合金であるアルミニウム含有材料のような金
属含有材料である。典型的にこのアルミニウム合金は、
95〜98重量%のアルミニウムと、0〜3重量%のシリコ
ンと、0.5 〜1重量%の銅とを含む。銅は、アルミニウ
ム原子のエレクトロマイグレーションを阻止するために
加えられ、導電性特徴部の寿命を長くし、シリコンは、
アルミニウム層と下方にあるシリコン基板の間の原子の
内部拡散を低減するために加えられる。アルミニウム合
金の各コンポーネントは、別の処理ステップにおいて堆
積されてもよいが、複数のコンポーネントからなる合金
が、単一のプロセスステップで堆積されてもよい。金属
含有材料の代わりに、本発明は、例えばポリシリコン、
シリコン酸化物及びシリコン窒化物を含む酸化物、窒化
物及びシリサイドのような別の材料を堆積するために用
いられることができる。
【0013】図2及び3を参照すると、金属含有材料
が、典型的には50から500 Åの厚さを有するチタン含有
層を備える核形成サブレイヤー28の上方に堆積され、
堆積された金属含有層の核形成を高めるために役立つ。
このプロセスにおいて、材料が基板20上に堆積され、
ホール内にボイドを形成することなく、最初にシーディ
ング段階を行い、それから少なくとも1回の方位結晶成
長堆積段階を含む複数の段階からなるプロセスを用い
て、高アスペクト比のホール22を均一に充填する。最
初のシーディング段階は、基板20上に実質的に連続し
た非粒状の平坦なシーディング層30を堆積するために
用いられる。このシーディング層30は、基板を均一に
湿潤し、およそ300 Åよりも小さい薄い厚さで実質的に
連続した非粒状の層を形成し、この層は、基板の小さい
接触ホール及びバイアを均一に堆積するために特に好ま
しい。続く方位結晶成長段階において、実質的に互いに
方位づけられている比較的大きい結晶を含み、実質的に
平坦で高反射性面34を有する高い方位性をもつエピタ
キシャル成長層32が、連続する薄いシーディング層3
0上に成長する。この方位結晶成長層30は、完全にホ
ールを充填するために用いられる。代わりに、CVD法
は、部分的にホールを充填することができ、後に比較的
低温のPVDプロセスを行なうことによって、ホールを
完全に充填する。
【0014】本発明のCVDプロセスを実行するのに適
したマルチチャンバ処理装置35の概略図が図4に示さ
れている。この装置は、カリフォルニア州サンタクララ
のアプライドマテリアルズから商業的に入手可能な "EN
DURA" システムである。本明細書で示される装置35の
特定の具体例は、半導体基板のような平坦な基板20を
処理するのに適するものであり、本発明を例示するため
にのみ示されるのであって、本発明の範囲を制限するも
のとして用いられるべきではない。通常、この装置35
は、例えば、CVD及びPVD堆積チャンバ、短時間ア
ニールチャンバ等の相互接続された処理チャンバのクラ
スタを有する。装置35は、スパッタリングのようなP
VDプロセスを実現するための少なくとも1つの閉鎖形
PVD堆積チャンバ36を備える。PVDチャンバ36
は、基板20に面したスパッタリング材料のスパッタリ
ングターゲット(図示せず)を備える。このターゲット
は、チャンバから電気的に絶縁され、スパッタリングプ
ラズマを発生する処理電極として役立つ。スパッタリン
グプロセス中に、アルゴン又はキセノンのようなスパッ
タリングガスが、チャンバ36に導かれる。RFバイア
ス電流がスパッタリングターゲットに与えられ、チャン
バ内の基板支持部が電気的に接地される。チャンバ36
内で結果的に生成される電界が、スパッタリングガスを
イオン化し、ターゲットをスパッタするスパッタリング
プラズマを形成し、基板上に材料を堆積させる。スパッ
タリングプロセスにおいて、プラズマは、およそ100 か
ら20,000ワットのパワーレベル、典型的には100 から1
0,000ワットのパワーレベルのDC又はRF電圧をスパ
ッタリングターゲットに印加することによって生成され
るのが通常である。
【0015】この装置は、周りを囲む側壁45と、天井
50を備えたCVD堆積チャンバ40を有する。このチ
ャンバ40は、プロセスガスを分散するプロセスガスデ
ィストリビュータ55を備える。マスフローコントロー
ラ及びエア作動型バルブが、堆積チャンバ40へのプロ
セスガス流を制御するために用いられる。このガスディ
ストリビュータ55は、通常は(図示されるように)基
板の上方に取り付けられるか、基板20の周囲に取り付
けられる(図示なし)。支持部65は、堆積チャンバ4
0に基板を支持するために設けられる。この基板20
は、チャンバ40の側壁45にある基板装填入口を通し
てチャンバ40内に挿入され、支持部65上に配置され
る。この支持部65は、基板20とガスディストリビュ
ータ55の間のギャップを調節することができるよう
に、支持リフトベローズ70により持ち上げられ又は下
げられることが可能である。支持部65のホールを通じ
て挿入されるリフトフィンガを備えたリフトフィンガ組
立体75が、基板20を支持部上で持ち上げたり下げた
りするために用いられ、チャンバ40の内部にまたは外
部に基板20を容易に搬送できるようにする。加熱ヒー
タ80が、基板20を急速に加熱するためにチャンバ内
に設けられる。基板の急速加熱及び冷却は、処理スルー
プットを大きくし、同一チャンバ65内の別の温度で作
動する連続したプロセス間で短時間のサイクルを可能に
するために好ましい。基板20の温度は、基板20を用
いて測定される支持部65の温度から一般には評価され
る。
【0016】基板20は、水平方向の有孔バリヤプレー
ト105の上方の処理領域95で処理される。このバリ
ヤプレート105は、排気システム115に流体連通す
る排気ホール110を有し、送られたきたプロセスガス
をチャンバ40から排気する。典型的な排気システム1
15は、およそ10ミリトルの最小の真空を生成できる回
転翼板真空ポンプ(図示せず)と、オプションとして副
生成物であるガスを洗浄するスクラバシステムを備え
る。チャンバ40内の圧力が、基板20の側方で検知さ
れ、排気システム115のスロットルバルブを調節する
ことによって制御される。プラズマ発生器116は、プ
ラズマ改良型化学気相成長法に対してチャンバ40の処
理領域95においてプラズマを生成するために設けられ
る。プラズマ発生器116は、(i) 堆積チャンバを囲ん
でいる誘導コイル(図示せず)にRF電流を与えること
によって誘導的に、又は(ii)チャンバ内の処理電極にR
F電流を与えることによって容量的に、又は(iii) 誘導
的に、更には容量的に、プラズマを生成することができ
る。およそ750 ワットから2000ワットのパワーレベルの
DC又はRF電流が、誘導コイル(図示せず)に印加さ
れ、エネルギを堆積チャンバに誘導的に結合して、処理
領域95内でプラズマを生成することができる。RF電
流を用いる場合には、RF電流の周波数は、およそ400k
Hzから16MHz の範囲であって、典型的にはおよそ13.56M
Hzである。オプションとして、アルミニウム酸化物又は
石英によって典型的には生成されるガス収納すなわちプ
ラズマ収束リング(図示せず)が、基板20の周りでプ
ロセスガス流又はプラズマを収納するために用いられる
ことができる。
【0017】この処理は、カリフォルニア州の Synener
gy Microsystems から商業的に販売されている68400 マ
イクロプロセッサのような周辺制御コンポーネントによ
りメモリシステムにつなげられた中央処理装置(CP
U)を含む従来のコンピュータシステム上でランするコ
ンピュータプログラムプロダクト141を用いて実行さ
れることができる。このコンピュータプログラムコード
は、例えば68000 アセンブリ言語、C、C++、又はパ
スカルのような従来からあるコンピュータ読取可能なプ
ログラミング言語で書かれることができる。適切なプロ
グラムコードが、従来よりあるテキストエディタを用い
て1つのファイル又は複数のファイルに入力され、コン
ピュータのメモリシステムのようなコンピュータ利用可
能媒体に記憶され又は実施される。入力されたコードテ
キストが高級言語である場合には、コードはコンパイル
され、結果のコンパイラコードが、プリコンパイルされ
たウィンドウズライブラリルーチンのオブジェクトコー
ドでリンクされる。リンクされたコンパイルオブジェク
トコードを実行するために、システムユーザは、オブジ
ェクトコードを呼び出し、コンピュータシステムがコー
ドをメモリにロードするようにし、そこからCPUがそ
のコードを読み出して実行し、プログラム内で識別され
るタスクを実行する。
【0018】図6は、コンピュータプログラム141の
階層制御構造のブロック図を例示する。ユーザは、処理
集合と処理チャンバ数を、処理選択サブルーチン142
に入力する。この処理集合は、特定の処理チャンバで特
定の処理を行なうために必要な処理パラメータの予め定
められた集合で、予め決められている集合数で識別され
る。この処理集合は、所望の処理チャンバと、(ii)特定
の処理を実現する処理チャンバを作動させるのに必要な
処理パラメータの所望の集合である。この処理パラメー
タは、例えば、処理ガス及び流速などの処理状態、温
度、圧力、RFバイアスパワーレベル及び磁場パワーレ
ベルのようなプラズマ状態、冷却ガス圧、及びチャンバ
壁温度に関係する。プロセスシーケンササブルーチン1
43が、識別された処理チャンバと、プロセスパラメー
タの集合をプロセス選択サブルーチン142から受理
し、様々な処理チャンバの動作を制御するプログラムコ
ードを備える。多くのユーザが、プロセス集合数とプロ
セスチャンバ数とを入力することができ、又はユーザ
が、複数のプロセス集合数とプロセスチャンバ数とを入
力することができ、そして、シーケンササブルーチン1
43が、所望のシーケンスに選択された処理をスケジュ
ールするために作動する。好ましくは、シーケンササブ
ルーチン143が、(i) プロセスチャンバの動作をモニ
タして、チャンバが使用されているかどうかを判定し、
(ii)使用されているチャンバ内で何のプロセスが実行さ
れているかを判定し、(iii) プロセスチャンバの利用性
と、実行されるべきプロセスの種類によって、所望のプ
ロセスを実行する、ステップを行なうプログラムコード
を含む。プロセスチャンバをモニタする、ポーリング等
の従来の方法を用いることができる。どのプロセスを実
行するかをスケジューリングするとき、シーケンササブ
ルーチン143は、選択されたプロセスに対する所望の
プロセス状態と比較した使用中のプロセスチャンバの現
在の状態、又は各々の特定のユーザが入力した要求の
「エージ(age) 」、又はスケジューリング優先順位を定
めるためにシステムプログラマが含むことを望む別の関
連するファクタを考慮に入れて設計される。
【0019】シーケンササブルーチン143が、どのプ
ロセスチャンバとプロセスセットの組合せが次に実行さ
れるのかを判定すると、シーケンササブルーチン143
が、シーケンササブルーチン143内で定められたプロ
セスセットに従って、異なるプロセスチャンバ内で多く
のプロセスタスクを制御するチャンバマネージャサブル
ーチン144a〜cに特定のプロセスセットパラメータ
を送ることによって、プロセスセットの実行が行なわれ
る。例えば、チャンバマネージャサブルーチン144a
は、説明されたプロセスチャンバ40内でCVDプロセ
スを制御するためのプログラムコードを備える。このチ
ャンバマネージャサブルーチン144は、選択されたプ
ロセスセットを実現するために必要なチャンバコンポー
ネントの動作を制御する様々なチャンバコンポーネント
サブルーチン又はプログラムコードモジュールの実行も
制御する。チャンバコンポーネントサブルーチンの例と
して、基板配置サブルーチン145、プロセスガス制御
サブルーチン146、圧力制御サブルーチン147、ヒ
ータ制御サブルーチン148、及びプラズマ制御サブル
ーチン149がある。これらの様々なサブルーチンは、
(i) 基板20を温度ΔTS の範囲内の温度TS に加熱
し、(ii)シーディングガスを処理領域に導入して、基板
上に実質的に連続したシーディング層を堆積するシーデ
ィングプログラムコード手段として、及び(i) 基板20
を温度ΔTD の範囲内の堆積温度Td に維持し、(ii)堆
積ガスを処理領域に導入して、シーディング層上で成長
する方位性を有する結晶成長層を形成する堆積成長プロ
グラムコード手段として機能する。別のチャンバ制御サ
ブルーチンが、プロセスチャンバ40内で実行されるこ
とが望ましいプロセスに関連して備えられることができ
ることを、当業者であれば容易に理解するであろう。
【0020】作動中、チャンバマネージャサブルーチン
144aは、実行される特定のプロセスセットによっ
て、プロセスコンポーネントサブルーチンを選択的にス
ケジュールし又は呼び出す。このチャンバマネージャサ
ブルーチン144aは、シーケンササブルーチン143
が、どのプロセスチャンバ40及びプロセスセットが次
に実行されるべきかを如何にしてスケジュールするかと
いう方法と同様に、プロセスコンポーネントサブルーチ
ンをスケジュールする。典型的には、チャンバマネージ
ャサブルーチン144aが、様々なチャンバコンポーネ
ントをモニタするステップと、実行されるべきプロセス
セットに対してどのコンポーネントがプロセスパラメー
タに基づいて作動されることが必要か決定するステップ
と、モニタリングステップと決定ステップに応答してチ
ャンバコンポーネントサブルーチンを実行させるステッ
プとを備える。
【0021】特定のチャンバコンポーネントサブルーチ
ンの動作を以下に示す。基板配置コードすなわちサブル
ーチン145は、基板20をチャンバ支持部65上にロ
ードし、任意的にチャンバ40内で基板を所望の高さに
リフトして、基板とガスディストリビュータ55の間の
間隔を制御するために用いられるチャンバコンポーネン
トを制御するプログラムコードを備える。基板20をプ
ロセスチャンバ40内にロードするとき、基板支持部6
5は基板を受け取るために下方に下げられ、その後、支
持部はチャンバ内で所望の高さに上昇する。この基板配
置サブルーチン145は、チャンバマネージャサブルー
チン144aから伝達される支持部高さに関連したプロ
セスセットパラメータに応答して、支持部65の動きを
制御する。
【0022】プロセスガス制御サブルーチン146は、
プロセスガスの組成及び流量を制御するプログラムコー
ドを有する。一般に、各プロセスガス用のプロセスガス
供給ラインは、(i) チャンバへのプロセスガスの流れを
自動的に又は手動で遮断するために用いられる安全遮断
弁(図示せず)、及び(ii)ガス供給ラインを通る特定の
ガス流を測定するマスフローコントローラ(図示せず)
を備える。有毒ガスをプロセスに用いる場合には、いく
つかの安全遮断弁が、従来の構成で各ガス供給ラインに
配置される。このプロセスガス制御サブルーチン146
は、安全遮断弁の開/閉位置を制御し、所望のガス流量
を得るためにマスフローコントローラを上方/下方に勾
配させる。プロセスガス制御サブルーチン146は、全
てのチャンバコンポーネントサブルーチンと同様に、チ
ャンバマネージャサブルーチン144aによって呼び出
され、チャンバマネージャサブルーチンから所望のガス
流量に関連するプロセスパラメータを受け取る。典型的
に、プロセスガス制御サブルーチン146は、ガス供給
ラインを開き、(i) 必要なマスフローコントローラを読
み取り、(ii)この読取値を、チャンバマネージャサブル
ーチン144aから受け取った所望の流量と比較し、(i
ii) 必要に応じてガス供給ラインの流量を調節すること
を繰り返し行なうことによって作動する。さらに、プロ
セスガス制御サブルーチン146は、危険流量に対して
ガス流量をモニタし、危険な状態が検出されるときに安
全遮断弁を作動することによるステップを備える。
【0023】プロセスガス制御サブルーチン146が、
シーディングモード又は方位結晶成長モードにおいてチ
ャンバ内で作動するプログラムコードを備える。シーデ
ィング段階において、シーディングガスプログラムコー
ド152が、基板20上にシーディング層を形成するの
に必要な時間だけシーディングガスをチャンバ40に流
す。その後、堆積成長段階において、堆積ガスプログラ
ムコード154が、シーディング層上に所望の厚さの方
位結晶成長層を成長させるのに必要な時間だけ堆積ガス
をチャンバ40内に流す。スパッタリングガスプログラ
ムコード156が、PVDプロセスステップ実行中に、
スパッタリングガスをPVDチャンバ36に導入するた
めに設けられることができる。プロセスガスが、ガス又
は液体先駆物質から形成されることができる。プロセス
ガスが、例えばジメチルアルミニウムハイドライド(D
MAH)である液体先駆物質から気化されるとき、プロ
セスガス制御サブルーチン146が、水素、アルゴン又
はヘリウムのようなキャリヤガスを、バブラ組立体内の
液体先駆物質中に気泡として通過させるステップを備え
るように書き込まれる。このタイプのプロセスに対し
て、プロセスガス制御サブルーチン146が、所望のプ
ロセスガス流量を得るために、キャリヤガスの流量、バ
ブラ中の圧力、及びバブラ温度を調節する。上述したよ
うに、この所望のプロセスガス流量は、プロセスパラメ
ータとしてプロセスガス制御サブルーチン146に送ら
れる。さらに、プロセスガス制御サブルーチン146
は、あるプロセスガス流量に対して必要な値を含む記憶
テーブルにアクセスすることによって、所望のプロセス
ガス流量に必要なキャリヤガス流量、バブラ圧力及びバ
ブラ温度を得るステップを有する。必要な値が得られる
と、キャリヤガス流量、バブラ圧力及びバブラ温度がモ
ニタされて、必要な値と比較され、チャンバの排気シス
テム115におけるスロットルバルブの開口サイズを調
節することによって、チャンバ40内の圧力が調節され
る。スロットルバルブの開口サイズは、総プロセスガス
流、プロセスチャンバのサイズ、及び排気システム11
5に対するポンピング整定圧力に関連して、チャンバ圧
力を所望のレベルに制御するように設定される。
【0024】圧力制御サブルーチン147が呼び出され
ると、所望のすなわち目標圧力レベルが、チャンバマネ
ージャサブルーチン144aからパラメータとして受け
取られる。圧力制御サブルーチン147が、チャンバに
接続された1つ以上の従来の圧力ナノメータを読み取る
ことによってチャンバ40内の圧力を測定し、測定値を
目標圧力と比較し、記憶圧力テーブルから目標圧力に相
当するPID(比例、積分、微分)値を得て、圧力テー
ブルから得られるPID値に応じてスロットルバブルを
調節するように作動する。代わりに、圧力制御サブルー
チン147が、特定の開口サイズにスロットルバルブを
開く又は閉じることを書き込まれ、チャンバ40を所望
の圧力に調節する。ヒータ制御サブルーチン148が、
基板20を加熱するために用いるヒータ80の温度を制
御するためのプログラムコードを備える。このヒータ制
御サブルーチン148は、基板が温度ΔTS の範囲内の
所望のシーディング温度TS に加熱されるシーディング
段階で動作するシーディング段階加熱プログラムコード
158を有する。典型的には、サブルーチン148が、
周囲のチャンバ温度から整定温度に支持部の温度を上げ
る(ramp up) するようにプログラムされる。基板がシー
ディング温度TS に達するとき、プロセスガス制御サブ
ルーチン146が、上述したようにシーディングガスを
チャンバに導入するようにプログラムされる。ヒータ制
御サブルーチン148が、シーディング層上に方位結晶
成長層を成長させるのに適した温度ΔTD の範囲内で基
板を堆積温度Td に急速に加熱する方位結晶成長すなわ
ちエピタキシャル成長加熱プログラムコード160を備
える。このステップにおいて、ヒータ制御サブルーチン
148は、チャンバマネージャサブルーチン144aに
よって呼び出され、少なくともおよそ50℃/分の傾斜速
度(ramp rate) 温度パラメータを受け取る。
【0025】ヒータ制御サブルーチン148は、支持部
に配置した熱電対の電圧出力を測定することによって温
度を測定し、測定された温度を整定温度と比較し、ヒー
タ80に与えられる電流を増減して、所望の傾斜速度又
は整定温度を得る。この温度は、記憶された変換テーブ
ルの対応する温度をルックアップして、又は4次元多項
式を用いて温度を計算することによって、測定された電
圧から得られる。輻射ランプがヒータ80として用いら
れると、ヒータ制御サブルーチン148が、ランプに与
えられる電流の傾斜の上げ/下げを段階的に制御し、ラ
ンプの寿命及び信頼性を高める。さらに、内蔵型フェー
ルセーフモードが設けられ、プロセス安全コンプライア
ンスを検出し、プロセスチャンバ40が適切にセットア
ップされない場合には、ヒータ80の動作を停止するこ
とも可能である。
【0026】プラズマ制御サブルーチン149が、化学
気相成長モードにおけるチャンバの動作中に、チャンバ
内に堆積プラズマを形成するプラグラムコードを有す
る。このサブルーチン149は、チャンバ40内でプロ
セス電極60、65に与えられるRFバイアス電圧パワ
ーレベルを設定し、オプションとしてチャンバ内で生成
された磁場のレベルを設定して堆積プラズマを形成す
る。前述したチャンバコンポーネントサブルーチンと同
様に、プラズマ制御サブルーチン149が、チャンバマ
ネージャサブルーチン144aより呼び出される。動作
中、プラズマ調整149は、プラズマ発生器116に与
えられる「前進(forward) 」パワーと、チャンバ40を
通る「反射」パワーの両方を読み取るステップを有す
る。過度に高く反射されたパワーの読取値は、プラズマ
が生成されなかったことを示し、プラズマ制御サブルー
チン149がプロセスをリスタートまたは停止する。こ
の読取パワーレベルは目標レベルと比較され、電流が調
節されて、チャンバ40中に回転磁場を形成するため
に、正弦波電流を発生器に与えるようにプラズマを制御
する。所望の磁場を生成するために必要とされる正弦波
は、磁場強度に対応する正弦波の値の記憶されたテーブ
ルから、又は正弦関数を用いて計算することによって得
ることができる。
【0027】少なくとも第1及び第2の連続する段階を
含んだマルチ段階プロセスは、異なるチャンバ内で実行
されることができ、ホール内にボイドを形成することな
く高アスペクト比のホール22を均一に充填することが
できる。本発明のマルチ段階プロセスは、別のCVD及
びPVDチャンバ内で実行されるプロセスによって示さ
れるが、このプロセスは、CVD及びPVDプロセスを
実行することができる単一の堆積チャンバにおいても実
現可能であることを理解されたい。従って、本発明の範
囲は、発明の詳細な説明で例示されるチャンバに限定さ
れるべきではない。マルチ段階プロセスは、一般に、最
初のシーディング段階と、続く方位結晶成長段階とを含
む。最初のシーディング段階において、シーディングガ
スが処理領域に導入され、処理領域が、実質的に連続し
た非粒状の平坦なシーディング層30を基板上に堆積す
るのに適した処理状態に保たれる。連続する少なくとも
1つの方位結晶成長段階において、堆積ガスが処理領域
に導かれ、処理領域が、実質的に平坦で高反射性の表面
34を有して実質的に互いに方位性を有する大きな結晶
からなる方位結晶成長層32を堆積するのに適した処理
状態に維持される。シーディングガス及び堆積ガスは、
異なるガスを含んでもよいが、同じ先駆物質ガスからな
ってもよい。
【0028】薄い核形成サブレイヤー28は、本プロセ
スを実行する前に基板上に堆積されるのが好ましい。こ
の薄いサブレイヤーは、基板上のシーディング層30の
堆積を向上させる活性部位を提供し、下方の層から堆積
層へのシリコンの拡散を低減する拡散バリヤとして役立
つ。適切な核形成サブレイヤー28は、例えば、チタ
ン、チタン窒化物、及びそれらを組み合わせたもののよ
うなチタン含有材料を備える。サブレイヤー28は、ス
パッタリング又は蒸着等の従来の技術である化学気相成
長または物理気相成長法によって堆積することができ
る。サブレイヤー28は、およそ50から1000Åの厚さに
堆積されるのが好ましく、Guo 等に譲渡された特許出願
に説明されるように、PVD堆積されたチタンと、CV
D又はPVD堆積されたチタン窒化物を組み合わせたも
のからなるのが最も好ましい。例えば、サブレイヤー
は、200 から400 Åのチタンの上方に堆積された50Åの
TiN で構成される。
【0029】本プロセスの第1シーディング段階におい
て、薄い実質的に連続したシーディング層30が、基板
を第1の低い温度範囲ΔTS 内の比較的低い温度TS
保ちながら、シーディングガスをチャンバに短く噴出(s
hort) して導くことによってサブレイヤー28上に堆積
される。理論に縛られることなく、シーディングガス
は、チタン含有サブレイヤーを不動態化する低い温度で
シーディング薄膜を堆積し、シーディング層30上に堆
積層を成長させるために基板が続く高い温度に加熱され
るときに、基板の表面上の炭素及び/又は酸素含有種の
吸収又は堆積を低減することができると考えられる。さ
らに、シーディング薄膜30は、従来の膜よりも基板を
「湿潤」し、40°より小さく、典型的には30°よりも小
さいθ湿潤角度を有する結晶粒子を含んだ実質的に連続
した非粒状の層を形成する。一様に湿潤するシーディン
グ層30が、300 Åよりも薄い厚さで、基板20の実質
的に連続した表面カバレージを提供する。対照的に、従
来の堆積プロセスは、70°よりも大きい、典型的には90
°よりも大きい湿潤角を有する結晶粒子を堆積し、厚さ
が400 から500 Åあるいはそれよりも高くなるまで、こ
の堆積プロセスは基板を連続して覆わない。従来の粒状
の堆積層は、粒子層の堆積を促進する活性部位として作
用する基板の表面上の炭素原子の存在のために生じると
考えられている。また、従来技術のプロセスにおいて
は、基板表面上の比較的高い濃度の炭素及び/又は酸素
が、堆積された粒子、基板及びチャンバ内の蒸気相の界
面で表面張力を大きくし、堆積材料が、高い湿潤角を有
する独立した粒状島状構造を形成するようになる。対照
的に、炭素種が基板20上で吸収される前に、低温で実
行される本発明のシーディング段階は、実質的に粒状島
状構造の結晶を有しない、均一に湿潤する連続平坦膜構
造を提供する。実質的に連続するシーディング層30
は、改善された平坦性及び反射性を示す大きい、高い方
位性をもつ粒子を有する方位結晶成長層32の成長を可
能にする。
【0030】シーディング段階において、基板20が、
最適な堆積温度Td よりも10から30℃低い温度の核形成
温度TS に維持される間に、シーディングガスが、ガス
ディストリビュータ55を介して堆積チャンバ40に導
かれる。アルミニウム先駆物質シーディングガスからア
ルミニウムを堆積するために、温度ΔTS の範囲は、お
よそ200 から300 ℃であるのが好ましく、およそ220 か
ら250 ℃であるのがより好ましい。基板が300 ℃よりも
低い温度、好ましくは250 ℃よりも低い温度にあるとき
に、シーディングガスがチャンバ内に導入されるのが好
ましい。基板20がチャンバに搬送されるとき、最初
に、基板は、支持部65の外側に延びるリフトピンによ
って支持される。このリフトピンは、基板を支持部上に
下げるために引っ込められる。基板20が支持部上に配
置してすぐに、基板の温度が支持部の温度に等しくなる
前であって、基板が温度をまだ上昇させている間に、シ
ーディングガスを導入するのが好ましい。このことは、
基板が最初の周囲温度から支持部65の温度(好適な核
形成温度ΔTS の範囲)に加熱されている間に、別の加
熱または冷却ステップを行なわずに、基板20がシーデ
ィングガスに曝されることを可能にする。この相乗作用
により、速い基板処理のスループットが提供される。
【0031】基板が温度TS にあるとき、シーディング
ガスがガスディストリビュータ55を介して堆積チャン
バ40に導入される。シーディングガスは、基板上の核
形成サブレイヤー28に接触して分解し、基板上に実質
的に連続したシーディング層を堆積する。アルミニウム
含有シーディング層を堆積するために適切なシーディン
グガスは、例えば、ジメチルアルミニウムハイドライド
(DMAH)、トリイソブチルアルミニウム、トリメチ
ルアミンアラン、ジメチルエチルアミンアラン、トリエ
チルアルミニウムとジメチルアルミニウムハイドライド
間の分子間化合物などのアルミニウムアルキル及びアル
ミニウムアルキルハロゲン化物を含む。例えば、好まし
いシーディングガスであるジメチルアルミニウムハイド
ライドは、水素と以下の反応をする。
【0032】 (CH3)2AlH + H2 -------> Al + CH4 + H2 DMAHを用いるアルミニウムの堆積に関して、最適な
堆積温度はおよそ260℃であり、温度ΔTS の範囲は、
およそ180 から300 ℃であるのが好ましい。シーディン
グガスの流量は、少なくとも20Å/秒、好ましくはおよ
そ40から100 Å/秒の堆積速度を与えるように選択され
る。このシーディングガス流量は、説明した堆積チャン
バ40のサイズに対して、およそ100 から1000sccmの範
囲である。例えば、DMAHは、バブラ組立体内の液体
先駆物質中に、例えば水素、アルゴン又はヘリウムのキ
ャリヤガスを気泡として通過させることによって、液体
先駆物質から気化される。従って、DMAHの流量は、
所望のガス流量を得るために、キャリヤガスの流量、バ
ブラの圧力、及びバブラ温度を制御することによって調
節される。シーディング段階中に、処理領域95が、少
なくとも0.5 トル、好ましくはおよそ1トルから30トル
の圧力に維持される。核形成プロセスが、典型的にはお
よそ2から60秒の十分な時間行なわれて、連続した非粒
子状の平坦なシーディング層30をホール22中に形成
する。
【0033】その後、方位結晶成長段階において、基板
20が、平均核形成温度TS よりもおよそ10から30℃高
い平均堆積温度Td に加熱される。例えば、アルミニウ
ム含有先駆物質ガスに対して、温度Td が、およそ200
℃から420 ℃、典型的にはおよそ240 ℃から340 ℃であ
る、第2の高い温度範囲ΔTD 内にある。基板が所望の
温度Td に達すると、堆積ガスが堆積チャンバ中に導入
される。堆積ガスはシーディング層30に接触して分解
し、シーディング層上で高い結晶の方位層32をエピタ
キシャル成長する。この方位結晶成長層32は、方位性
をもって実質的に平坦で高い反射性表面を有する方位性
をもつ結晶からなる。「方位性結晶成長」又は「エピタ
キシャル成長」は、方位性を有する層32内の結晶が、
実質的に互いに整列して、好ましくは基板20上に形成
されるシーディング層30の結晶面に整列する結晶面を
有する。このことにより、比較的大きく、高い方位性を
有する結晶が、平坦で高い反射性をもつ表面を有する方
位結晶成長層32内に生成されるようになる。方位結晶
成長粒子の大きい平均径は、およそ1000から8000Å、よ
り典型的にはおよそ4000から7000Åである。
【0034】アルミニウムを堆積するために適切な堆積
ガスは、アルミニウムアルキル及びアルミニウムアルキ
ルハロゲン化物を含む。適切な堆積ガスには、例えば、
ジメチルアルミニウムハイドライド(DMAH)、トリ
イソブチルアルミニウム、トリメチルアミンアラン、ジ
メチルエチルアミンアラン(DMMA)、及びトリエチ
ルアルミニウムとジメチルアルミニウムハイドライドの
分子間化合物があり、中でもジメチルアルミニウムハイ
ドライドが好ましい。堆積ガスの流量は、少なくとも20
Å/秒、好ましくは少なくともおよそ60Å/秒の堆積速
度を有するように選択される。DMAHを用いたアルミ
ニウム堆積に関して、適切な堆積ガス流量は、この実施
例で説明される堆積チャンバ40のサイズに対しておよ
そ100 から1000sccmの範囲である。堆積プロセス中に、
処理領域95が、少なくとも0.5トル、好ましくはおよ
そ1から30トルの圧力に維持される。
【0035】平坦で高い反射性をもつ層32を基板上に
形成するために、シーディング層30の厚さが、基板表
面を一様に覆う実質的に連続した層を形成するように制
御されるべきである。シーディング段階と方位結晶成長
段階が、300 Åよりも薄い層で十分な時間各々実行さ
れ、方位成長層32の厚さに対するシーディング層30
の厚さの比が、およそ1:10から1:300 になる。シー
ディング層30は、10から500 Åの厚さに堆積され、方
位結晶成長層は、およそ200 から6000Å、典型的にはお
よそ500 から3000Åの厚さにシーディング層上で成長さ
れる。その後、基板はPVDチャンバ36に搬送され、
CVD又はPVDプロセス段階が実行されて、充填され
た材料中に実質的にボイドを形成せずに、基板20中の
ホールを充填する。PVDスパッタリングプロセスが行
なわれて、例えば、(i) アルゴン、ヘリウム、キセノン
のような不活性ガス、又は(ii)窒素、アンモニア、メタ
ン又はジボランのような反応性ガスなどのスパッタリン
グプロセスガスを用いて、方位結晶成長層32上にスパ
ッタ層(図示せず)を形成するのが好ましい。スパッタ
リングガスの質量流量は、典型的にはおよそ1から200
sccmであり、PVDチャンバ36は、およそ1ミリトル
から500 ミリトルの範囲の圧力に維持される。プラズマ
は、RF又はDCバイアスを用いてスパッタリングター
ゲットを電気的にバイアスし、PVDチャンバ中の支持
部又はチャンバ壁を電気的に接地することによってプロ
セスガスより生成される。スパッタリングターゲット
は、強力なプラズマイオンにより衝撃を受け、スパッタ
された材料がターゲットから離され、基板20上に堆積
する。PVDプロセス段階は、十分な時間実行され、少
なくともおよそ1000Å、好ましくはおよそ1000から5000
Åの厚さにスパッタ層を堆積する。
【0036】プロセスの例が、アルミニウムの堆積に関
連して説明されてきたが、本発明のプロセスは、例え
ば、タングステン、チタン、タンタル、モリブデン、及
びこれらの混合物のシリサイドなどを含んだ導電性材
料、また銅、クロムのような金属、またはシリコン又は
ポリシリコンなどの半導体材料でホールを一様に充填す
るためにも実行されることが可能である。本プロセス
は、例えば、アルミニウム、銅、シリコン、チタン、パ
ラジウム、ハフニウム、硼素、タングステン、タンタル
又はこれらの混合物を含む異なる材料を組合せたものを
堆積するためにも用いることができる。多くのこれらの
材料に適したCVD堆積ガスが、揮発性金属アルキル又
は金属カルボニル先駆物質ガスを含む。例えば、クロム
は、クロムカルボニルから堆積され、カドミウムは、ジ
メチルカドミウムから堆積され、銅は銅カルボニルから
堆積される。銅は、揮発性銅配位錯体、例えばビス(1,
1, 1, 5, 5, 5, ヘキサフルオロ-2, 4-ペンタンジオネ
ート)銅(2), Cu(Hfa)2, CuCl2,及び Cu(C5H7O2)2を用
いて堆積される。従って、本発明は、特定のプロセスシ
ーケンス、又は実施例で例示した材料の堆積に限定され
るべきではない。
【0037】以下の例は、本発明のプロセス有効性を示
す。しかしながら、本発明のプロセス及び装置は、別の
用途においても用いられることができ、ここで例示され
るものに限定されるべきではない。これらの例において
は、カリフォルニア州サンタクララにある Applied Mat
erialsより商業的に入手可能な枚葉式「ENDURA」マルチ
チャンバ装置が、図2及び3に示される本プロセスを実
行するために用いられた。およそ0.73mmの厚さとおよそ
200mm の径を有するシリコン基板20が、これらの例で
用いられた。この基板は、従来のCVD技術を用いて基
板上に堆積される、0.35から0.8 の径を有するバイア2
2を備えたおよそ3000Åの厚さを有するシリコン二酸化
物の絶縁層24をもつ。基板20の各々は、窒素が7ト
ルの圧力で流されているロードロック領域(図示せず)
から、堆積チャンバ40の処理領域95に機械的アーム
を用いてロードされた。基板20は、堆積チャンバ40
中の耐熱性支持部65上に配置された。
【0038】第1のシーディング段階において、基板2
0は、およそ9℃/分の一定の上昇速度(ramp rate)
で、周囲温度から260 ℃の整定温度に加熱された。基板
20が220 から250 ℃の温度範囲ΔTS にある核形成温
度TS にある間、ジメチルアルミニウムハイドライド
(DMAH)シーディングガスの短い噴出(short burs
t)が、100sccm の流速で液体DMAHを有するバブラ中
を水素キャリヤガスが気泡となって通過することによっ
て、400sccm の流速でガスディストリビュータ55を介
して堆積チャンバ40に流された。処理領域95中の圧
力は可変であった。シーディングガスの流れは、シーデ
ィングガスが、基板20上におよそ300 Åの厚さを有す
る連続したアルミニウム含有シーディング層30を形成
するのに十分な時間、処理領域中に導かれた後に止めら
れる。
【0039】その後、温度安定化段階が、最初のシーデ
ィング段階の後であって、堆積段階が始まる前に行わ
れ、堆積温度Td に基板温度を安定にした。このステッ
プで、アルゴンと水素が処理領域中に入れられ、チャン
バ40中の圧力が、排気システムのスロットルバルブの
開口サイズを制御することによって達成された。この基
板20は、核形成温度から、およそ260 ℃の堆積温度に
連続的に加熱され、60秒以内に、支持部65の温度より
もおよそ5℃から20℃低い温度に釣り合わせられた。基
板20が260 ℃の堆積温度に平衡にされた後、ジメチル
アルミニウムハイドライドを含むDMAHガスがおよそ
400sccm の流速でチャンバ40に入れられた。堆積ガス
は、およそ15秒間、チャンバに流れ込み、シーディング
層30上におよそ1000Åの厚さを有するアルミニウム方
位結晶成長層32を成長させた。
【0040】その後、基板20は、CVDチャンバ40
からPVDチャンバ36に搬送され、スパッタリングプ
ロセスが行なわれて、材料を基板20上にスパッタす
る。スパッタリング段階において、基板20の上方に配
置されたアルミニウム含有スパッタリングターゲット
が、方位結晶成長層上にアルミニウムを堆積するために
スパッタされた。アルゴンは、ガスディストリビュータ
を通して15sccmの流量でチャンバ内に入れられ、チャン
バがおよそ0.1 トルの圧力に維持された。6000ワットの
パワーがスパッタリングターゲットに印加され、チャン
バ40内でアルゴンからプラズマが生成された。このア
ルゴンのプラズマは、アルミニウムターゲットをスパッ
タし、およそ30秒間、基板20上にアルミニウムを堆積
し、厚さ5000Åのアルミニウム含有層を堆積した。
【0041】複数の基板が上述のように処理された。こ
れらの基板は、以下に示す様々な技術を用いて検査され
た。図7及び8は、(i) 従来のプロセスにより堆積され
る導電性材料の薄層と、(ii)本プロセスにより堆積され
るシーディング層30の表面の走査電子顕微鏡写真を示
す。図7は、従来のプロセスが、高い湿潤角を有する別
の島々を形成する比較的大きいサイズの結晶の粒状層を
堆積することを明らかにする。従来の方法による層の個
々の結晶すなわち「粒子」は、少なくともおよそ1000Å
の、典型的にはおよそ2000から6000Åの径を有する。こ
の結晶は、粒子の中心に頂点を有する不連続な島々と、
粒子の境界の低く下がった領域とを形成した。対照的
に、図8は、粒状小塊のない実質的に連続する堆積シー
ディング薄層30を示す。細かい微結晶の径はおよそ10
0 から500 Åである。また、シーディング層30が、基
板20の表面を実質的に均一に且つ連続して覆うすなわ
ち「湿潤」する様子が示される。
【0042】図9及び10の走査電子顕微鏡写真が、シ
ーディング層30上で成長する方位結晶成長層32の著
しい特徴をよりはっきりと示す。図9は、従来技術のプ
ロセスを用いて堆積された導電性材料層の表面を示し、
6000Åを超えることもある径を有する大きい結晶粒子が
堆積層を形成することを示す。写真からはっきりと見る
ことができるように、この表面は、粗く平坦ではなく、
ランダムな方位性をもつ結晶劈開面を有する。対照的
に、図10は、本プロセスにより堆積されたシーディン
グ層30上に成長した平坦な方位結晶成長層32を示
す。この写真は滑らかで平坦な表面を示し、実質的に大
きい粒状小塊が存在せず、下方のシーディング層に形成
される層結晶の径に実質的に等しい大きい径をもつ結晶
を有する。方位結晶成長層32の表面の平坦性は、この
表面反射を測定することによって定められる。例えば、
アルミニウム層である導電性金属層は、互いに整列する
高反射性の結晶を提供し、堆積層の反射性表面上に実質
的に平坦な光シームを有し、反射面により散乱される光
強度が、光電トランスデューサなどの光学センサにより
測定される。測定された散乱光強度は、単結晶シリコン
ウェハからの散乱光強度と比較され、堆積層の表面の反
射性及び平坦性の比例する測定値を示す相対的な割合値
を得る。シリコンウェハにより散乱される光ビーム強度
をおよそ100%とすると、典型的に従来の堆積は、およ
そ140 から200 %の散乱光反射率となる平らでない表面
を有する堆積金属層をもつ。
【0043】この例において、堆積されたアルミニウム
層32は、光源から出た光ビームを反射する高反射性の
表面を提供する。この反射能の測定は、堆積層の厚さに
依存し、本発明による反射能測定は、3000Åを超える厚
さを有する比較的厚い堆積層32上で得られた。この方
法において、単結晶シリコンウェハの反射率と比較し
て、少なくともおよそ190 %の反射率、典型的には少な
くともおよそ210 %の反射率が、きわめて平坦な層表面
を示す堆積された金属含有層32の表面から得られた。
図11〜14は、従来のプロセス及び本発明のプロセス
により堆積されたアルミニウム層表面のX線回折ロッキ
ングカーブを示す。このロッキングカーブは、従来のX
線自動回折法を用いて、<111>ミラー指数を有する
アルミニウム結晶面に対応する2θ角度で得られた。
【0044】図11のライン200は、従来のアルミニ
ウムフィルムから得られる幅広のX線回折ピークを示
し、ラインAで示されるピークの大きい半値全幅が、か
なりランダムな方位性をもつ結晶粒子が従来の堆積プロ
セスによって堆積されたことを明らかにする。対照的
に、図12のX線回折ライン210の幅狭のピークと、
対応する小さい半値幅のラインBとが、優れた方位性を
もつ結晶粒子が本プロセスによって形成されたことを示
す。この膜のX線回折ピークの<111>のミラー指数
の半値全幅は、3°θよりも小さい、更には4°θより
も小さいことが好ましい。図13及び14を参照する
と、強度すなわちライン220とライン230の2つの
ピークの高さが、<111>結晶面に対応する方位を有
する結晶数の測定値を提供する。図13のライン220
の高さは、従来技術で堆積されたアルミニウム層におけ
る方位結晶の割合が比較的低いことを示す。対照的に、
図14におけるライン230の大きい高さが、本プロセ
スにより堆積される方位結晶成長層32においてかなり
大きい割合で方位結晶が形成されたことを示す。実際
に、ライン230の高さは、ライン220の高さの典型
的には少なくとも1.5 倍、さらに典型的には少なくとも
4倍である。このことは、本発明の堆積プロセスが、従
来のプロセスで与えられるよりも、<111>ミラー指
数の結晶面に配向する少なくとも1.5 から4倍の結晶を
もたらすことを示す。
【0045】図15は、(i) ライン250で示されるよ
うな基板上に堆積される従来の層と、(ii)ライン260
で示される本発明により形成されたシーディング及び方
位結晶成長層30、32の、異なる厚さにおける表面反
射能の比較を示す。典型的には、堆積層の反射能及び平
坦性は、堆積層の厚さを大きくすると低減する。しかし
ながら、262の部分に示されるように、およそ3000Å
を超える厚さで、従来のプロセスにより堆積された層の
反射能は、厚さを大きくすると急激に減少する。対照的
に、本プロセスを用いて堆積された層30、32の反射
能は、4000Åの厚さを超えたところであっても、より直
線的に、よりゆっくりとした速度で減少している。従っ
て、本プロセスは、全体の反射能、結果的には堆積材料
の厚い層の平坦性を上げるばかりでなく、堆積材料の厚
さがより厚くなる場合に、反射能が小さくなる割合を少
なくするものであることが分かる。このことは、基板上
の材料の堆積に対して、より大きい処理用窓を提供す
る。方位結晶成長層32は、およそ3000Åよりも大きい
堆積厚さで実質的に滑らかで平坦な頂面を形成し、基板
上に厚い平坦な層の堆積を可能とする。
【0046】図16及び17は、(i) 従来のプロセスに
よるアルミニウム層と、(ii)本プロセスにより堆積され
るアルミニウム層の副次的なイオン質量スペクトル分析
を示す。図16のライン300に示される従来のプロセ
スによる膜の炭素含有量は、図17にライン302で示
される本発明により堆積された膜の表面炭素含有量より
もかなり多い。本発明による膜は、界面表面層にある炭
素含有量に対してかなり多いアルミニウムを有してお
り、このことは、高方位性の大きい結晶粒子を形成する
と考えられる。また、炭素含有量が少ないことは、堆積
層における反射能をより小さくする。基板上に形成され
たアルミニウム含有層の反射能は、四点プローブ法を用
いて測定された。堆積アルミニウム層30、32の反射
能は、およそ3.3 μΩcmよりも小さく、さらにはおよそ
2.8 から3.1 μΩcmであるのが典型的であると定められ
た。このことは、従来の方法により堆積されたアルミニ
ウム層の反射能、典型的にはおよそ3.2 から3.8 μΩcm
よりもかなり小さい。また、堆積アルミニウム層30、
32の反射能の均一性は、およそ5%よりも小さく、さ
らにはおよそ4から5%であるのが通常であり、これ
は、通常およそ6から7%にある従来のプロセスによる
アルミニウム層の反射能の均一性よりもかなり優れてい
る。
【0047】上述した装置およびプロセスは、従来のプ
ロセスを超えるいくつかの重要な利点を有する。まず、
説明されたプロセスは、基板上に、実質的に連続して、
平坦で、高反射性を示す導電層の堆積を可能とする。さ
らに、このプロセスは、エレクトロマイグレーション効
果を減少させる高方位性結晶層を形成し、結果として層
の電流密度処理能力を大きくさせる。また、CVDとス
パッタリングプロセスの組合せが、従来のプロセスより
も速い堆積速度で、接触ホール及びバイアに均一に材料
を堆積する。本発明は、ある好ましい実施例に関連して
かなり詳細に説明されてきたが、別の実施例も実現する
ことができる。例えば、本発明は、基板上に多くの異な
る材料を堆積するために用いることができ、半導体基板
の処理に限定するのではない。特許請求の範囲に記載し
た事項の範囲は、発明の詳細な説明に記載した事項に限
定されるべきではない。
【図面の簡単な説明】
【図1】ボイドを含み、不連続であって、平坦でない表
面を有している基板にエッチングされたホール内に、ラ
ンダムな方位をもつ細かい粒状の堆積層を示した、従来
技術により形成された基板の部分横断面の該略図であ
る。
【図2】本発明により処理された基板の部分的な横断面
であって、基板に堆積された実質的に連続なシーディン
グ層を示す。
【図3】図2の基板のシーディング層上に形成された実
質的に平坦で高方位性の結晶成長層を示す。
【図4】基板にCVD及びPVDプロセスを施すのに適
した集積化マルチチャンバ装置の部分断面を示す。
【図5】本発明のCVD堆積プロセスを実行するのに適
したCVD堆積チャンバの部分横断面を示す。
【図6】本発明のコンピュータプログラムの階層制御構
造を示した単純なブロック図である。
【図7】従来のプロセスにより堆積された材料の粒状薄
層の表面の走査電子顕微鏡写真である。
【図8】本プロセスにより堆積された実質的に連続な非
粒状の一様に湿潤するシーディング層の表面の走査電子
顕微鏡写真である。
【図9】従来のプロセスを用いて堆積された粒状材料層
上に成長する堆積層の表面の走査電子顕微鏡写真であ
る。
【図10】本プロセスによりシーディング層上で成長す
る方位結晶成長堆積層の表面の走査電子顕微鏡写真であ
る。
【図11】従来のプロセスを用いて堆積されたアルミニ
ウム層の<111>ミラー指数の結晶面のX線回折ロッ
キングカーブである。
【図12】本プロセスにより堆積されたアルミニウム層
の<111>ミラー指数の結晶面のX線回折ロッキング
カーブである。
【図13】従来のプロセスを用いて堆積されたアルミニ
ウム層の<111>と<200>ミラー指数の結晶面の
X線回折曲線である。
【図14】本プロセスにより堆積されたアルミニウム層
の<111>と<200>ミラー指数の結晶面のX線回
折曲線である。
【図15】(i) 基板上に堆積された従来のプロセスによ
る層と、(ii)本発明により形成されたシーディング及び
方位結晶成長層の様々な厚さにおける表面反射能の比較
を示すグラフである。
【図16】従来のプロセスによるアルミニウム層の表面
におけるアルミニウム及び炭素含有量を示す副次的なイ
オン質量スペクトル分析のグラフである。
【図17】本プロセスにより堆積したアルミニウム層の
表面におけるアルミニウム及び炭素含有量を示す副次的
なイオン質量スペクトル分析のグラフである。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 FI // H01L 21/205 H01L 21/205 (72)発明者 メフル ブハグブハイ ナイク アメリカ合衆国 カリフォルニア州 92125 サン ホセ ラ ロッサ サーク ル 1608 (72)発明者 リーアン ユー チェン アメリカ合衆国 カリフォルニア州 95131 サン ホセ フェアウェイ エン トランス ドライヴ 1304 (72)発明者 ローデリック クレイグ モーゼリー アメリカ合衆国 カリフォルニア州 94588 プレザントン ダイアヴィラ ア ベニュー 4337 (72)発明者 イスラエル ベイングラス アメリカ合衆国 カリフォルニア州 93087 サニーヴェイル エルソナ コー ト 1330

Claims (32)

    【特許請求の範囲】
  1. 【請求項1】 実質的に平坦で高い反射性を示す層を基
    板上に堆積する化学気相成長法であって、 (a) 処理領域に基板を配置し、 (b) 最初のシーディング段階において、(i) より低い第
    1温度範囲ΔTS 内の温度TS に基板を加熱し、(ii)処
    理領域にシーディングガスを導入して、基板上に実質的
    に連続したシーディング層を堆積し、 (c) 続く方位結晶成長段階において、(i) より高い第2
    温度範囲ΔTD 内の堆積温度Td に基板を維持し、(ii)
    処理領域に堆積ガスを導入して、シーディング層上に、
    高反射性の表面を有する方位結晶成長層を形成する、ス
    テップを有する方法。
  2. 【請求項2】 シーディング層が、分離した粒子を実質
    的に有しない連続層で基板の部分を覆うことを特徴とす
    る請求項1に記載の方法。
  3. 【請求項3】 方位結晶成長段階において、堆積ガス
    が、シーディング層上に大きい方位結晶を成長すること
    を特徴とする請求項1に記載の方法。
  4. 【請求項4】 シーディング段階において、基板が、お
    よそ200 ℃から300℃の温度範囲ΔTS 内の温度TS
    維持されることを特徴とする請求項1に記載の方法。
  5. 【請求項5】 シーディング段階において、基板がおよ
    そ250 ℃よりも低い温度にあるときに、シーディングガ
    スがチャンバに導入されることを特徴とする請求項1に
    記載の方法。
  6. 【請求項6】 方位結晶成長段階において、基板が、お
    よそ200 ℃から420℃の温度範囲ΔTD 内の堆積温度T
    d に維持されることを特徴とする請求項1に記載の方
    法。
  7. 【請求項7】 シーディング層上に形成された方位結晶
    成長層が、単結晶シリコンウェハの反射率を100 %とす
    ると、少なくとも190 %の反射率を有することを特徴と
    する請求項1に記載の方法。
  8. 【請求項8】 シーディング段階の後であって、堆積段
    階の前に、安定化段階が行われ、この安定化段階が、基
    板を核形成温度TS から堆積温度Td に加熱する間に、
    非反応性プロセスガスを処理領域に導入するステップを
    有することを特徴とする請求項1に記載の方法。
  9. 【請求項9】 シーディングガス及び堆積ガスが、金属
    含有ガスであることを特徴とする請求項1に記載の方
    法。
  10. 【請求項10】 シーディングガス及び堆積ガスが、ア
    ルミニウムアルキル、アルミニウムアルキルハロゲン化
    物、ジメチルアルミニウムハイドライド、トリイソブチ
    ルアルミニウム、トリメチルアミンアラン、ジメチルエ
    チルアミンアラン、及びそれらの混合物からなるグルー
    プより選択されることを特徴とする請求項1に記載の方
    法。
  11. 【請求項11】 シーディングガスが、キャリヤガスに
    よって運ばれてきたジメチルアルミニウムハイドライド
    を含むことを特徴とする請求項10に記載の方法。
  12. 【請求項12】 キャリヤガスが、水素、アルゴン又は
    ヘリウムを含むことを特徴とする請求項11に記載の方
    法。
  13. 【請求項13】 シーディング段階及び方位結晶成長段
    階が、十分な時間実行され、方位結晶成長層の厚さに対
    するシーディング層の厚さの比が、およそ1:10から
    1:300 であることを特徴とする請求項1に記載の方
    法。
  14. 【請求項14】 シーディング段階が十分な時間行わ
    れ、およそ300 Åよりも薄い厚さの実質的に連続した平
    坦なシーディング層を堆積することを特徴とする請求項
    1に記載の方法。
  15. 【請求項15】 シーディング段階が、およそ2から20
    秒間行われることを特徴とする請求項1に記載の方法。
  16. 【請求項16】 方位結晶成長段階が、およそ4から50
    秒間行われることを特徴とする請求項1に記載の方法。
  17. 【請求項17】 方位結晶成長層が、およそ3°θより
    も小さい半値全幅を有するX線回折ピークをもつ<11
    1>ミラー指数結晶面を備えることを特徴とする請求項
    1に記載の方法。
  18. 【請求項18】 基板にホールをエッチングするステッ
    プを有し、 シーディング層及び方位結晶成長層が基板のホール中に
    形成されることを特徴とする請求項1に記載の方法。
  19. 【請求項19】 請求項18の方法によって生成される
    基板。
  20. 【請求項20】 請求項1の方法によって生成される基
    板であって、その基板が、実質的に平坦で高反射性のア
    ルミニウム含有層を有し、 該層が、 (a) およそ3°θよりも小さい半値全幅を有するX線回
    折ピークを示す<111>ミラー指数の結晶面を有し、 (b) 単結晶シリコンウェハの反射率を100 %とすると、
    少なくとも190 %の反射率を有する、ことを特徴とする
    基板。
  21. 【請求項21】 実質的に平坦で高反射性の層を基板上
    に堆積するためにプロセスチャンバを作動するコンピュ
    ータプログラムを記録した製品であって、内部で実施さ
    れるコンピュータ読取可能プログラムコード手段を有す
    るコンピュータ使用可能媒体を含み、該コンピュータプ
    ログラムコード手段が、 (a) プロセスチャンバ内に基板を配置するための基板配
    置コードと、 (b) (i) シーディング段階において、より低い第1温度
    範囲ΔTS 内の温度T S に基板を維持し、(ii)堆積段階
    において、より高い第2温度範囲ΔTD 内の堆積温度T
    d に基板を維持するように、ヒータを作動するヒータ制
    御コードと、 (c) (i) シーディングモードにおいて、シーディング段
    階中に実質的に連続したシーディング層を基板上に堆積
    するために、シーディングガスを処理領域に導入し、(i
    i)堆積モードにおいて、堆積段階中に堆積層をシーディ
    ング層上に形成するために、堆積ガスを処理領域に導入
    する、プロセスガス制御コード、とを有し、 該堆積層は、互いに実質的に配向した大きい結晶からな
    り、実質的に平坦で高反射性の表面を有することを特徴
    とする製品。
  22. 【請求項22】 シーディング段階において、ヒータ制
    御コードが、およそ200 ℃から300 ℃の温度範囲ΔTS
    内の温度TS に基板を維持するようにヒータを作動させ
    ることを特徴とする請求項21に記載の製品。
  23. 【請求項23】 堆積段階において、ヒータ制御コード
    が、およそ200 ℃から420 ℃の温度範囲ΔTD 内の堆積
    温度Td に基板を維持するようにヒータを作動させるこ
    とを特徴とする請求項21に記載の製品。
  24. 【請求項24】 プロセスガス制御コードが、シーディ
    ング段階の後であって、堆積段階の前に、安定化段階を
    実行し、シーディング温度TS から堆積温度Td に基板
    を加熱する間に、非反応性プロセスガスが処理領域に導
    入されることを特徴とする請求項21に記載の製品。
  25. 【請求項25】 シーディング段階において、プロセス
    ガス制御コードが、金属含有シーディングガスをおよそ
    2から20秒間、処理領域に導入することを特徴とする請
    求項21に記載の製品。
  26. 【請求項26】 堆積段階において、プロセスガス制御
    コードが、金属含有堆積ガスをおよそ4から50秒間、処
    理領域に導入することを特徴とする請求項21に記載の
    製品。
  27. 【請求項27】 シーディング段階及び堆積段階におい
    て、プロセスガス制御コードが、アルミニウムアルキ
    ル、アルミニウムアルキルハロゲン化物、ジメチルアル
    ミニウムハイドライド、トリイソブチルアルミニウム、
    トリメチルアミンアラン、ジメチルエチルアミンアラン
    及びそれらを混合したものを含むグループから選択され
    たガスを、処理領域に導入することを特徴とする請求項
    21に記載の製品。
  28. 【請求項28】 実質的に平坦で高反射性の金属含有層
    を基板上に堆積するように処理チャンバを作動させるコ
    ンピュータ読取可能プログラムを記録した製品であっ
    て、 (a) プロセスチャンバ内に基板を配置するための配置プ
    ログラムコード手段と、 (b) (i) より低い第1の温度範囲ΔTS 内の温度TS
    基板を加熱し、(ii)金属含有シーディングガスを処理領
    域に導入して、実質的に連続したシーディング層を基板
    上に堆積する、核形成プログラムコード手段と、 (c) (i) より高い第2の温度範囲ΔTD 内の温度Td
    基板を維持し、(ii)金属含有堆積ガスを処理領域に導入
    して、シーディング層上に方位結晶成長層を成長させ、
    実質的に平坦で高反射性の金属含有層を基板上に形成す
    る、方位結晶成長プログラムコード手段、とを有する製
    品。
  29. 【請求項29】 シーディングプログラムコード手段
    が、およそ200 ℃から300 ℃にある温度範囲ΔTS 内の
    温度TS に基板を維持することを特徴とする請求項28
    に記載の製品。
  30. 【請求項30】 堆積プログラムコード手段が、およそ
    200 ℃から420 ℃にある温度範囲ΔTD 内の堆積温度T
    d に基板を維持することを特徴とする請求項28に記載
    の製品。
  31. 【請求項31】 シーディングプログラムコード手段
    が、金属含有シーディングガスをおよそ2から20秒間、
    処理領域に導入することを特徴とする請求項28に記載
    の製品。
  32. 【請求項32】 堆積プログラムコード手段が、金属含
    有堆積ガスをおよそ4から50秒間、処理領域に導入する
    ことを特徴とする請求項28に記載の製品。
JP9290210A 1996-10-24 1997-10-23 平坦で高反射性の層を堆積する方法とその方法により生成された基板 Withdrawn JPH10130846A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/736629 1996-10-24
US08/736,629 US6017144A (en) 1996-03-05 1996-10-24 Method and apparatus for depositing highly oriented and reflective crystalline layers using a low temperature seeding layer

Publications (1)

Publication Number Publication Date
JPH10130846A true JPH10130846A (ja) 1998-05-19

Family

ID=24960628

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9290210A Withdrawn JPH10130846A (ja) 1996-10-24 1997-10-23 平坦で高反射性の層を堆積する方法とその方法により生成された基板

Country Status (6)

Country Link
US (1) US6017144A (ja)
EP (1) EP0838536A3 (ja)
JP (1) JPH10130846A (ja)
KR (1) KR19980033077A (ja)
SG (1) SG53098A1 (ja)
TW (1) TW348272B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010103880A1 (ja) * 2009-03-10 2010-09-16 東京エレクトロン株式会社 Cu膜の成膜方法および記憶媒体

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5980657A (en) * 1998-03-10 1999-11-09 Micron Technology, Inc. Alloy for enhanced filling of high aspect ratio dual damascene structures
US6316356B1 (en) 1998-03-10 2001-11-13 Micron Technology, Inc. Thermal processing of metal alloys for an improved CMP process in integrated circuit fabrication
JP3631392B2 (ja) * 1998-11-02 2005-03-23 株式会社神戸製鋼所 配線膜の形成方法
US6535824B1 (en) * 1998-12-11 2003-03-18 Symyx Technologies, Inc. Sensor array-based system and method for rapid materials characterization
US6438497B1 (en) * 1998-12-11 2002-08-20 Symyx Technologies Method for conducting sensor array-based rapid materials characterization
US6477479B1 (en) 1998-12-11 2002-11-05 Symyx Technologies Sensor array for rapid materials characterization
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6676810B2 (en) * 2000-01-12 2004-01-13 D2 In-Line Solutions, Llc Method of coating insulative substrates
WO2001084617A1 (en) * 2000-04-27 2001-11-08 Nu Tool Inc. Conductive structure for use in multi-level metallization and process
US6500774B1 (en) * 2000-06-30 2002-12-31 Advanced Micro Devices, Inc. Method and apparatus for an increased throughput furnace nitride BARC process
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6900106B2 (en) * 2002-03-06 2005-05-31 Micron Technology, Inc. Methods of forming capacitor constructions
EP1490527A1 (en) * 2002-03-29 2004-12-29 D2 In-Line Solutions, LLC Gravity-fed in-line continuous processing system and method
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US7427426B2 (en) * 2002-11-06 2008-09-23 Tokyo Electron Limited CVD method for forming metal film by using metal carbonyl gas
KR101248927B1 (ko) * 2006-01-26 2013-03-29 주성엔지니어링(주) 광학식 열원을 포함하는 기판처리장치 및 이를 이용한 저온폴리 실리콘의 증착 방법
KR100917823B1 (ko) * 2007-12-28 2009-09-18 주식회사 동부하이텍 반도체 소자의 금속 배선 형성 방법
CN102569433B (zh) * 2010-12-17 2016-06-29 上海空间电源研究所 薄膜太阳电池用复合背反射金属电极及其制备方法和应用
CN102709180A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种铝薄膜的制备工艺
CN102709231A (zh) * 2012-06-11 2012-10-03 上海宏力半导体制造有限公司 一种铝衬垫成膜工艺方法
KR101301641B1 (ko) * 2012-11-29 2013-08-29 주성엔지니어링(주) 기판처리장치
US11421318B2 (en) 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5693139A (en) * 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4923717A (en) * 1989-03-17 1990-05-08 Regents Of The University Of Minnesota Process for the chemical vapor deposition of aluminum
US5108951A (en) * 1990-11-05 1992-04-28 Sgs-Thomson Microelectronics, Inc. Method for forming a metal contact
US5242860A (en) * 1991-07-24 1993-09-07 Applied Materials, Inc. Method for the formation of tin barrier layer with preferential (111) crystallographic orientation
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
US5614257A (en) * 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
US5643633A (en) * 1992-12-22 1997-07-01 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor depostiton
US5503874A (en) * 1994-09-30 1996-04-02 General Electric Company Method for low temperature chemical vapor deposition of aluminides containing easily oxidized metals

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010103880A1 (ja) * 2009-03-10 2010-09-16 東京エレクトロン株式会社 Cu膜の成膜方法および記憶媒体

Also Published As

Publication number Publication date
US6017144A (en) 2000-01-25
EP0838536A3 (en) 2001-05-30
EP0838536A2 (en) 1998-04-29
KR19980033077A (ko) 1998-07-25
TW348272B (en) 1998-12-21
SG53098A1 (en) 1998-09-28

Similar Documents

Publication Publication Date Title
JPH10130846A (ja) 平坦で高反射性の層を堆積する方法とその方法により生成された基板
US6430458B1 (en) Semi-selective chemical vapor deposition
US6139697A (en) Low temperature integrated via and trench fill process and apparatus
US6080665A (en) Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum
US6139905A (en) Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
US6218301B1 (en) Deposition of tungsten films from W(CO)6
US6099904A (en) Low resistivity W using B2 H6 nucleation step
US8071478B2 (en) Method of depositing tungsten film with reduced resistivity and improved surface morphology
US5407698A (en) Deposition of tungsten
US6162715A (en) Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309966B1 (en) Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US5953634A (en) Method of manufacturing semiconductor device
US5508066A (en) Method for forming a thin film
JP2559030B2 (ja) 金属薄膜の製造方法
JP3580159B2 (ja) タングステン膜の成膜方法
JP2012501388A (ja) タングステンの粗度減少及び反射率改善の方法
JPH03111571A (ja) 堆積膜形成法
Burke et al. Profile simulation of conformality of chemical vapor deposited copper in subquarter-micron trench and via structures
Chiou et al. Copper chemical vapor deposition from Cu (hexafluoroacetylacetonate) trimethylvinylsilane
EP0808915A2 (en) Chemical vapor deposition and sputtering method and apparatus
JP3194256B2 (ja) 膜成長方法と膜成長装置
KR100521702B1 (ko) 텅스텐막의 성막방법
Yang et al. Programmed rate chemical vapor deposition protocols
JP2831770B2 (ja) 堆積膜形成法
JP2677230B2 (ja) TiN膜の形成方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050104