JPH09181065A - 堆積チャンバ - Google Patents

堆積チャンバ

Info

Publication number
JPH09181065A
JPH09181065A JP8313898A JP31389896A JPH09181065A JP H09181065 A JPH09181065 A JP H09181065A JP 8313898 A JP8313898 A JP 8313898A JP 31389896 A JP31389896 A JP 31389896A JP H09181065 A JPH09181065 A JP H09181065A
Authority
JP
Japan
Prior art keywords
gas
deposition chamber
substrate support
manifold
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8313898A
Other languages
English (en)
Inventor
Lee Sijan
リ シジャン
C Redeker Fred
シー. レデカー フレッド
Tetsuya Ishikawa
哲也 石川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09181065A publication Critical patent/JPH09181065A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

(57)【要約】 【課題】 堆積物の厚さの均一性を向上させる。 【解決手段】 付加的ないし第2のガスディストリビュ
ータを基板支持面の上方の中心に配置させることによ
り、堆積物の厚さの均一性を向上させることができるよ
うになる。また、共通マニホールドに与えられるプロセ
スガスを一連のガスディストリビュータに供給する圧力
を、等しくすることにより、堆積物の厚さの均一性が向
上する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、堆積プロセスに用
いるチャンバに関する。
【0002】
【従来の技術】現在行われている半導体デバイスの製造
の基本的なステップの1つとして、気体の化学反応によ
に半導体基板上に薄膜を形成することが挙げられる。こ
のような堆積のプロセスは、化学気相堆積(CVD:ch
emical vapor deposition) と称されている。従来から
の熱CVDプロセスでは、反応性のガスを基板表面に供
給し、そこでは、熱に誘発された化学反応が生じて、所
望の膜を形成することができる。高密度プラズマCVD
プロセスでは、基板表面近隣の反応領域に高周波(R
F)を印加して、反応物ガスの分解を促進し、反応性の
高いイオン種を生成する。放出されるイオン種の反応性
は高く、このため、化学反応が生じるために必要なエネ
ルギーは低くてもよく、従って、このようなCVDプロ
セスに要する温度を低くすることができる。
【0003】高密度プラズマ化学気相堆積(HDP−C
VD)チャンバの設計では、一般に、真空チャンバは、
カソードとして機能する、底部に沿う平坦な基板支持体
と、頂部に沿った平坦なアノードと、底面から上向きに
伸びる比較的短い側壁と、側壁を上部に接続する誘電体
のドームとにより画成される。ドームの周囲には、誘導
コイルが設置され、これは供給高周波(RF)ジェネレ
ータに接続されている。アノードとカソードとは典型的
には、バイアス高周波(RF)ジェネレータに接続され
ている。等間隔に配置された一連のガスディストリビュ
ータ、典型的には一連のノズルが、側壁に設置され、基
板支持面のエッジの上方の領域の中にまで伸びている。
これらガスノズルの全ては、アルゴン、酸素、シラン、
TEOS(tetraethoxysilane) 、四弗化珪素(silicon t
etrafluoride:SiF4 ) 等のガスをガスノズルに供給
する共通マニホールドにつながっている。ここで、これ
らのガスは主に、基板にどのタイプの膜を形成するかに
よって決められる。ノズルの先端には出口が設けられ、
これは典型的にはオリフィスであり、これらノズルの先
端は、基板支持体の外周外縁の上方に円形のパターンで
間隔をおいて配置され、プロセスガスはこれらを通って
流入する。
【0004】
【発明が解決しようとする課題】堆積膜ウエハの厚さは
完全に均一になっていることが理想的であるが、実際は
こうなることはない。堆積の均一性は、ソースの構成、
ガスの流入や流量の変化、ソース高周波ジェネレータの
電流、バイアス高周波ジェネレータの電流、基板支持体
からのノズルの高さ、基板支持体に対するノズルの相対
的な位置などにより、大きく影響を受ける。この堆積の
均一性は、様々な要因によって制約を受ける。例えば、
基板支持体からのノズルの高さは、高いほど好ましいこ
とがしばしばである。しかし、実際上の問題より、ノズ
ルを誘電体ドームの中に配置させることが不可能であ
る。また、プロセス条件毎にノズルの基板からの高さを
調節することは、基板が垂直方向に可動でもない限り現
実的ではない。更に、ノズルオリフィスと基板との間の
距離が長くなれば堆積の均一性が向上する傾向があるも
のの、ガスの効率が低くなり、ガスの消費が大きくな
り、あるいは、時間がかかるようになる。加えて、アル
ゴンは通常、プロセスガスの一部として、マニホールド
及びノズルの中に流され、アルゴンを流入させること
が、スパッタリング速度及びスパッタリング均一性の効
率化に寄与する。しかし、アルゴンを用いることによ
り、プロセスガスのノズル内の流量を変化させようとし
た場合のフレキシビリティが制約されてしまう。
【0005】堆積に影響を与えるもう1つの要因は、ノ
ズルオリフィスのクリーンさに関するものである。シラ
ン等、一部のプロセスガスは、熱により分解し、ノズル
の内側にシリカを堆積させてしまうことがある。更に、
酸素の一部がノズルオリフィスに逆流して拡散してプロ
セスガスと反応し、ノズルオリフィスの内側に堆積物を
形成することもある。チャンバを「ドライクリーニン
グ」(チャンバをクローズドにしたままで、弗素化合物
等のクリーニングガスをチャンバ内に流入させることに
より)しようとすれば、更に余計な問題を生じさせるこ
とになる。例えば、堆積したシリカの一部分と弗素ガス
が反応してポーラスな物質を形成し、これが成長してオ
リフィスの閉塞を更に悪化させる。
【0006】
【課題を解決するための手段】本発明は、付加的ないし
第2のガスディストリビュータ(典型的には、ノズル)
を、基板支持面の上方の中心に配置させて、堆積物の厚
さの均一性を向上させる、堆積チャンバに関するもので
ある。また、共通マニホールドに与えられるプロセスガ
スを一連のガスディストリビュータ(典型的には、ノズ
ル)に供給する圧力を、等しくすることにより、堆積物
の厚さの均一性が向上する。
【0007】この改善に係る堆積チャンバは、真空チャ
ンバを画成するハウジングを有している。基板支持体
が、真空チャンバの中に収容される。複数の、典型的に
は12個の、第1のガスディストリビュータ(典型的に
は、ノズル)が、従来技術と同様に、基板支持面の外周
外縁から間隔をおき且つこの外周外縁のほぼ上に配置さ
れる円形のパターンで真空チャンバの中に開いているオ
リフィス又はその他の出口を有している。本発明に関し
ては、第2のガスディストリビュータを用いられ、これ
が、基板支持面の中心から間隔をおき且つこの中心のほ
ぼ上に配置される。第2のガスディストリビュータを用
いて真空チャンバ内にプロセスガスを注入することによ
り、堆積物の厚さの均一性は、第2のガスディストリビ
ュータを用いないで得られる均一性に比べて、改善され
る。
【0008】また、堆積物の厚さの均一性は、複数の位
置でマニホールドにプロセスガスを供給することによっ
ても改善される。プロセスガスのマニホールドへの供給
は、ガスディストリビュータそれぞれに、同じ圧力でプ
ロセスガスを供給する方法により行われる。これを行う
ことにより、第1のガスディストリビュータのそれぞれ
からの流量を等しくすることが確保される。
【0009】ガスディストリビュータの出口は、有効な
ドライクリーニングの操作を可能にするようなサイズを
有していることが好ましい。状況によっては、ドライク
リーニングの操作は、出口の内面のクリーニングに有効
ではない場合がある。このような状況では、ガスディス
トリビュータを選択して真空ポンプに接続し、真空チャ
ンバ内のクリーニングガスを、チャンバからガスディス
トリビュータの中を通る反対方向に、また、システムか
ら真空ポンプへと、ゆっくりと引くことにより、ガスデ
ィストリビュータを更に有効にクリーニングすることが
可能である。
【0010】本発明の重要な利点は、プロセスガスを独
立して第2の(ないし上側の)ガスディストリビュータ
に供給することにより、様々な操作条件の下でも、更に
均一性の高い堆積物の厚さが実現できることであり、こ
れにより、第1の(ないし下側の)ガスディストリビュ
ータの中を通るプロセスガスの分布を変化させることが
できる。
【0011】出口を1つ有する第2のガスディストリビ
ュータを、8インチ(約20cm)基板に用いれば有効
であることが見出された。しかし、12インチ(約30
cm)のようなもっと大きな基板に対しては、複数の出
口を有する1つ以上の第2のガスディストリビュータを
用いることにより、堆積物の厚さの均一性を最も良好に
することができるであろう。
【0012】
【発明の実施の形態】この他の本発明の特徴及び利点に
ついては、以下の好適な具体例を添付の図面と共に説明
する中で明らかにする。
【0013】図1に例示される堆積チャンバ2は、ハウ
ジング4を備えており、このハウジング4は、RF誘導
コイル8に囲まれている誘電ドーム6を有している。コ
イル8は、整合回路12を介してソースRFジェネレー
タ10により電力が与えられる。また、チャンバ2は、
ハウジング4の中で規定される真空チャンバ18の中で
基板支持面16を有する基板支持体14を有している。
表面16は、チャンバ18内で基板20の支持に用いら
れる。基板支持体14はカソードとしても機能し、整合
回路24を介してバイアスRFジェネレータ22に接続
される。ハウジング4の上部25はアノードとして機能
し、整合回路28を介して第2のバイアスRFジェネレ
ータ26によりバイアス電力が与えられる。ハウジング
4の略円筒状の側壁30が、ハウジング4の底部32を
誘電ドーム6に接続する。プロセスガス、典型的にはシ
ラン、TEOS、四弗化珪素(SiF4 )その他の反応
性堆積ガスは、チャンバ18内での寿命が短いが、アル
ゴンと共に、等間隔に並んだノズル34の一連12を介
して真空チャンバ18に導入される。図4に示されるよ
うに、ノズル34はリング状に配置され、ガスマニホー
ルド36に流動可能に結合されている。ノズル34それ
ぞれが、末端にオリフィス38を有している。ノズル3
4のオリフィス38は、基板支持体14の外縁40の上
方に配置され、即ち、基板20の外縁42上方に配置さ
れるが、これは、これら2つの外縁がおよそ調心されて
いるからである。真空チャンバ18は、排気ポート44
を介して排気される。
【0014】上述の堆積チャンバ2の構成は、1994
年4月26日に米国に出願された米国特許出願番号08
/234,746 号に詳細が記載されている。
【0015】図2は、上述の従来技術の堆積チャンバに
対する典型的な堆積物の厚さの変化のプロットを例示す
る。平均厚さが、ベースライン48で示される。プロッ
ト46で示されるように、基板20の外縁42に対応す
るプロット46の両側の終点50及び52で、厚さが比
較的急激に上昇していることがわかる。プロット46の
中心54も、実質的に急に降下している。
【0016】本発明は、第2のガスコントローラ60及
び第2のガス供給ライン62を介して第2のガスソース
58につながっている中心ノズル56を用いることによ
り、プロット46を改善するものである。図1及び図4
を参照すれば、中心ノズル56は、基板支持面16の上
方にその中心が配置されるオリフィス64を有してい
る。オリフィス64は、表面16からは、ノズル34の
オリフィス38までの距離の少なくとも2倍の距離にあ
るように配置される。中心ノズル56を用いることによ
り、図2の堆積物厚さの変化のプロット46を、図3の
模範的なプロット68へと修正することが可能となる。
模範的な堆積物厚さ変化のプロット68は、充分フラッ
トであり、堆積物の標準偏差が1シグマのおよそ1〜2
%となっている。これが実現されたのは主に、両端5
0、52でのプロットの急な傾斜が小さくなった事と、
プロット46の中心の低い点が上がった事とによる。
【0017】好ましい具体例では、12本の同一のノズ
ル34を、基板支持体14の外縁40を包囲する領域に
用いる。オリフィス38は、直径が約0.014インチ
(約0.36mm)、深さないしスロートが約0.02
0インチ(約0.51mm)である。オリフィスの直径
を大きくし且つオリフィス38の深さないしスロートを
制限することが、ドライクリーニングの操作中にクリー
ニングガスをノズルに逆拡散することを確保するために
重要であることが見出されている。図5を参照して説明
されるノズルクリーニングシステムを用いる場合は、こ
のような考慮は必要ないだろう。
【0018】オリフィス38のそれぞれに等しい量のプ
ロセスガスを通過させることを確保するためには、ノズ
ル34それぞれに同じ圧力でプロセスガスを供給するこ
とが有用である。これを促進するため、図4に示される
ように、マニホールド36の反対の側からプロセスガス
をマニホールドに供給する。図4に示されるように、第
1のガスコントローラ74及び第1のガスソース76に
つながった1対のガス供給ライン70、72により、マ
ニホールド36に供給がなされる。ガス供給ライン7
0、72は、マニホールド36に流入するプロセスガス
の流動の抵抗を等しくするため、等しい長さと等しい直
径を有するように構成する。ノズル34の中を通るプロ
セスガスの流れの量が同じになるように確保することを
促進するためには、他の方法を用いてもよい。例えば、
マニホールド36が実際に2つのマニホールドとなるよ
うに変形してもよく、これらは、ガス供給ライン70、
72の1つ以上につながる外側マニホールド(図示せ
ず)と、第1のノズル34が設置される内側マニホール
ド(図示せず)であり、外側マニホールドは、内側マニ
ホールドの中へと開く開口を有している。内側マニホー
ルドと外側マニホールドをつなぐ開口は、ガス供給ライ
ン70、72の入口近くで小さくなり入口から遠くなれ
ば大きくなり、第1のノズル34それぞれの流量を等し
くすることを補助する。
【0019】堆積チャンバ2はドライクリーニングの操
作に適しているが、図5のに示されるシステムを用い
て、ノズルの適正なクリーニングを確保することを促進
することができる。最終バルブとして機能するプロセス
ガスバルブ78を共通ガス供給ライン80に用いて、ク
リーニング操作中に第1のガスコントローラから真空チ
ャンバ18を遮断する。プロセスガスバルブ78の下
流、即ちプロセスガスバルブ78とマニホールド36の
間にはクリーニングガスライン82があり、これには、
共に流動制御組立体90として機能する流動制御バルブ
86及び遮断バルブ88を介して、真空ポンプ84を共
通ガス供給ライン80へとつなげている。クリーニング
ノズル34に対しては、バルブ78は閉じており、クリ
ーニングガスは真空チャンバ18内に導入され、遮断バ
ルブ88を開き流動制御バルブ86を調節して、真空ポ
ンプ84を動作させたとき、真空ポンプ84がクリーニ
ングガスをマニホールド36及びライン82に逆流させ
て、オリフィス38を介してノズル34内にゆっくりと
引っ張ることができるようにする。この方法では、ノズ
ル34内のクリーニングには、クリーニングガスをオリ
フィス38を介してノズルの内側まで拡散させる能力は
残っていないものの、真空ポンプ84によってゆっくり
ではあるが能動的にオリフィスを介してノズルに引っ張
っている。
【0020】使用に際しては、オペレータは、中心ノズ
ル56の排気を、ノズル34を介した同じプロセスガス
又は別のプロセスガスの通路とは独立に制御することに
より、基板20上に生じる堆積物の厚さの均一性を制御
することが可能となる。また、真空チャンバ18に通じ
るオリフィス38それぞれの中を通る流量を等しくする
ことを促進することによっても、厚さの均一性を向上す
ることが可能である。これは、共通ガスソース76から
の共通の流動抵抗を示すような2つ以上のガス供給ライ
ン70、72などを用いて、マニホールド36にガスを
供給することによることが好ましい。所定の時間の後、
真空チャンバ18内に様々なクリーニングガスを用い
て、堆積チャンバ2のクリーニングを行うことが好まし
い。オリフィス38及びノズル34の内側の残りの部分
は、真空ポンプ84、典型的には粗引きポンプを用い
て、オリフィス38からノズル34の内側へ、マニホー
ルド36へと、最終的にはチャンバ2から、逆流の方法
でクリーニングガスを引くことにより、効果的にクリー
ニングすることができる。
【0021】好ましい具体例では、2つのガスコントロ
ーラ60、74及び2つの別々のガスソース58、76
を用いることにより最大のフレキシビリティが実現され
るが、それは、ノズル34及び56の中を通るガスの組
成及び流量を独立に変えることができるからである。ノ
ズル34及び56に同じ組成のガスを用いる場合は、ガ
スをライン62及び80に供給するために、1つのガス
ソース、1つのガスコントローラおよび1つの流れ分割
器を用いればよい。
【0022】上述の具体例は、直径8インチ(約20c
m)の基板のために設計されたものである。基板の直径
が大きくなり、例えば12インチ(約30cm)となっ
た場合は、図1(a)に例示するマルチ中心ノズル56
aを用いることが必要である。このような具体例では、
堆積物の厚さの変化のプロットは、(図3に示すよう
な)3つ山、4つ山、又は5つ山の形状となるだろう。
堆積物の厚さのプロットの形状は、中心ノズル56a及
びオリフィス64のタイプ、数、方向及び間隔に影響を
受けるだろう。
【0023】本発明から離れることなく、ここに開示し
た具体例の変形を行うことができる、例えば、中心ノズ
ル56は、多数の出口を有するシャワーヘッドタイプの
ガスディストリビュータに置き換えてもよい。同様に、
ノズル34又はノズル56aは、例えば、チャンバ18
にプロセスガスを供給するガス出口又はオリフィスを有
するリング状の構造体に置き換えてもよい。
【0024】
【発明の効果】以上説明してきたように、本発明によれ
ば、付加的ないし第2のガスディストリビュータを基板
支持面の上方の中心に配置させることにより、堆積物の
厚さの均一性を向上させることができるようになる。ま
た、共通マニホールドに与えられるプロセスガスを一連
のガスディストリビュータに供給する圧力を、等しくす
ることにより、堆積物の厚さの均一性が向上する。
【図面の簡単な説明】
【図1】本発明に従った堆積チャンバの断面図である。
(a)は、図1本図に示されたものとは異なる、直径の
大きな(例えば12インチないし30cm)基板に特に
有用であることが見出された3つのオリフィスを有する
中心ノズルの外観図である。
【図2】従来技術による堆積物の厚さの変化を表すM字
型の特性を誇張した描いた図である。
【図3】本発明に従った装置及び方法を用いた場合の、
図2と同様の堆積の厚さの変化を表す図である。
【図4】プロセスガスを等しい圧力でマニホールドに供
給するために用いられる、1対の等しい長さのガス供給
ラインの構成図である。
【図5】真空ポンプを用いてノズルからチャンバ内のク
リーニングガスを引き出す方法を例示する装置の構成図
である。
【符号の説明】
2…堆積チャンバ、4…ハウジング、6…誘電ドーム、
8…RF誘導コイル、10…ソースRFジェネレータ、
12…整合回路、14…基板支持体、16…基板支持
面、18…真空チャンバ、20…基板、22…バイアス
RFジェネレータ、24…整合回路、25…ハウジング
上部、26…第2のバイアスRFジェネレータ、28…
整合回路、30…側壁、32…ハウジング底部、34…
ノズル、36…ガスマニホールド、38…オリフィス、
40…基板支持体外縁、42…基板外縁、44…排気ポ
ート、46…プロット、48…ベースライン、50,5
2…プロット両端、54…プロット中心、56…中心ノ
ズル、58…第2のガスソース、60…第2のガスコン
トローラ、62…第2のガス供給ライン、64…オリフ
ィス、68…プロット、70,72…ガス供給ライン、
74…第1のガスコントローラ74、76…第1のガス
ソース、78…プロセスガスバルブ、80…共通ガス供
給ライン、82…クリーニングガスライン、84…真空
ポンプ、86…流動制御バルブ、88…遮断バルブ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 フレッド シー. レデカー アメリカ合衆国, カリフォルニア州, フレモント, スー ドライヴ 1801 (72)発明者 石川 哲也 アメリカ合衆国, カリフォルニア州, サンタ クララ, ブラッサム ドライヴ 873

Claims (30)

    【特許請求の範囲】
  1. 【請求項1】 堆積チャンバであって、 真空チャンバを画成するハウジングと、 中心領域と外縁とを有する基板支持面を、前記真空チャ
    ンバの中に有する、基板支持体と、 前記真空チャンバの中に開いている第1の出口であって
    前記基板支持面の前記外縁と間隔をおき且つその略上方
    にあるように配置される前記第1の出口を有する、複数
    の第1のガスディストリビュータと、 少なくとも前記基板支持面の前記中心領域と間隔をおき
    且つその略上方にあるように配置される第2の出口を有
    する第2にガスディストリビュータとを備える堆積チャ
    ンバ。
  2. 【請求項2】 前記ハウジングに設置され高周波(R
    F)ジェネレータにつながっている誘導コイルを更に備
    える請求項1に記載の堆積チャンバ。
  3. 【請求項3】 前記外縁が略円形である請求項1に記載
    の堆積チャンバ。
  4. 【請求項4】 前記第1のガスディストリビュータが、
    前記基板支持面の中心の周囲に等間隔に配置される複数
    のノズルを有する請求項1に記載の堆積チャンバ。
  5. 【請求項5】 前記第2のガスディストリビュータが第
    2の出口を有し、前記第2の出口がオリフィスを1つ有
    する請求項1に記載の堆積チャンバ。
  6. 【請求項6】 前記第2のガスディストリビュータが複
    数の第2のノズルを有し、前記第2の出口が複数のオリ
    フィスを有する請求項1に記載の堆積チャンバ。
  7. 【請求項7】 前記第2のガスディストリビュータが、
    前記基板支持面といずれかの第1のガスディストリビュ
    ータとの間の距離の少なくとも2倍長い距離で、前記基
    板支持面から間隔をおいて配置される請求項1に記載の
    堆積チャンバ。
  8. 【請求項8】 前記第1のガスディストリビュータに流
    動可能につながるガスマニホールドを更に備える請求項
    1に記載の堆積チャンバ。
  9. 【請求項9】 実質的に同じ圧力で複数の前記第1のガ
    スディストリビュータにガスを供給することを促進する
    ための手段を更に備える請求項8に記載の堆積チャン
    バ。
  10. 【請求項10】 前記手段が、マニホールドの別々の位
    置でマニホールドに流動可能につながる、直径が等しく
    長さが等しい複数のガス供給ラインを備える請求項9に
    記載の堆積チャンバ。
  11. 【請求項11】 前記手段が、マニホールドの別々の位
    置でマニホールドに流動可能につながる複数のガス供給
    ラインであって、前記ガス供給ラインのそれぞれは流体
    の流動に対して等しい抵抗を与えるように構成された、
    前記ガス供給ラインを備える請求項9に記載の堆積チャ
    ンバ。
  12. 【請求項12】入口と出口とを有する真空ポンプと、 前記第1のガスディストリビュータと前記第2のガスデ
    ィストリビュータとの少なくとも一方を、前記入口に流
    動可能に接続する通路と、 真空チャンバ内のクリーニングガスが制御された状態
    で、真空チャンバから、前記第1のガスディストリビュ
    ータと前記第2のガスディストリビュータとの少なくと
    も一方を通って引かれて、前記ディストリビュータの有
    効なクリーニングを確保するように、前記通路の流体の
    流動を制御する、流動制御組立体とを更に備える請求項
    1に記載の堆積チャンバ。
  13. 【請求項13】 前記真空ポンプが粗引きポンプである
    請求項12に記載の堆積チャンバ。
  14. 【請求項14】 前記流動制御組立体が、前記通路に設
    置される遮断バルブと、前記通路の前記遮断バルブと前
    記真空ポンプとの間に設置される流動コントローラとを
    備える請求項12に記載の堆積チャンバ。
  15. 【請求項15】 堆積チャンバ内で基板上に膜を堆積す
    るための方法であって、 (a)第1のプロセスガスを、前記チャンバ内の基板の
    周囲の略上方に配置する複数の位置で、前記チャンバ内
    に注入するステップと、 (b)第2のプロセスガスを、前記基板から間隔をおき
    且つ前記基板の中心に配置される領域で、前記チャンバ
    内に注入するステップとを有し、前記ステップ(b)が
    堆積物の均一性の制御を促進する方法。
  16. 【請求項16】前記第1のプロセスガス及び前記第2の
    プロセスガスが、選択された比で注入される請求項15
    に記載の方法。
  17. 【請求項17】 前記ステップ(a)と前記ステップ
    (b)とが、同時に行われる請求項15に記載の方法。
  18. 【請求項18】 前記ステップ(a)が、シランと、T
    EOSと、四弗化珪素(silicon tetrafluoride)とから
    なる群より少なくとも1つ選択されるガスを用いて行わ
    れる請求項15に記載の方法。
  19. 【請求項19】 前記ステップ(a)と前記ステップ
    (b)のそれぞれが、前記堆積チャンバ内で寿命の短い
    少なくとも1つの反応性堆積ガスを用いて行われる請求
    項15に記載の方法。
  20. 【請求項20】 前記ステップ(a)と前記ステップ
    (b)とが、同じ組成のガスを用いて行われる請求項1
    5に記載の方法。
  21. 【請求項21】 前記ステップ(a)と前記ステップ
    (b)とが、組成の異なるガスを用いて行われる請求項
    15に記載の方法。
  22. 【請求項22】 前記ステップ(b)が、オリフィスを
    1つ有するノズルを用いて行われる請求項15に記載の
    方法。
  23. 【請求項23】 前記ステップ(b)が、複数のオリフ
    ィスを有するノズルを用いて行われる請求項15に記載
    の方法。
  24. 【請求項24】前記ステップ(b)を前記ステップ
    (a)と独立に制御するステップを更に有する請求項1
    5に記載の方法。
  25. 【請求項25】前記第1のプロセスガスを共通マニホー
    ルドを介して前記第1のガスディストリビュータに供給
    するステップを更に有する請求項15に記載の方法。
  26. 【請求項26】前記第1のプロセスガスを前記マニホー
    ルドの複数の位置に供給するステップを更に有する請求
    項25に記載の方法。
  27. 【請求項27】前記第1のプロセスガスを、等しい流動
    抵抗特性を有する複数のガス供給ラインを介して、マニ
    ホールドの複数の位置に供給するステップを更に有する
    請求項26に記載の方法。
  28. 【請求項28】 中心領域を有する基板支持体と、前記
    基板支持体の外縁の略上方に配置されるガス出口を有す
    る複数のプロセスガスディストリビュータとを収容する
    真空チャンバを備える堆積チャンバであって、 少なくとも前記基板支持体の前記中心領域から間隔をお
    き且つ前記中心領域の略上方に配置される付加的な出口
    を備える堆積チャンバ。
  29. 【請求項29】 基板支持体と、マニホールドに流動可
    能につながり前記基板支持体の外縁の略上方に配置され
    るガス出口を有する複数のガスディストリビュータとを
    収容する真空チャンバを備える堆積チャンバであって、 別々の位置で前記マニホールドに流動可能につながる少
    なくとも2つのガス供給ラインと、 プロセスガスを実質的に同じ圧力で、前記ガス供給ライ
    ンを介して前記ガスディストリビュータに供給すること
    を促進するための手段とを備える堆積チャンバ。
  30. 【請求項30】 基板支持体と、前記基板支持体の上方
    に配置されるガス出口を有する複数のガスディストリビ
    ュータとを収容する真空チャンバを備える堆積チャンバ
    であって、 入口と出口とを有する真空ポンプと、 前記入口を前記ガスディストリビュータに流動可能につ
    なげる通路と、 真空チャンバ内のクリーニングガスが制御された状態
    で、真空チャンバから、前記ガスディストリビュータを
    通って引かれて、前記ディストリビュータの有効なクリ
    ーニングを確保するように、前記通路の流体の流動を制
    御する、流動制御組立体とを備える堆積チャンバ。
JP8313898A 1995-12-13 1996-11-25 堆積チャンバ Pending JPH09181065A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/571618 1995-12-13
US08/571,618 US5772771A (en) 1995-12-13 1995-12-13 Deposition chamber for improved deposition thickness uniformity

Publications (1)

Publication Number Publication Date
JPH09181065A true JPH09181065A (ja) 1997-07-11

Family

ID=24284424

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8313898A Pending JPH09181065A (ja) 1995-12-13 1996-11-25 堆積チャンバ

Country Status (2)

Country Link
US (3) US5772771A (ja)
JP (1) JPH09181065A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100406173B1 (ko) * 2000-06-13 2003-11-19 주식회사 하이닉스반도체 촉매 분사 수단을 구비한 히터 블록
JP2008007838A (ja) * 2006-06-30 2008-01-17 Horiba Ltd 成膜装置及び成膜方法

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6673673B1 (en) * 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
JPH10330932A (ja) * 1997-05-28 1998-12-15 Anelva Corp スパッタリング装置
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6090457A (en) * 1997-10-21 2000-07-18 Sanyo Vaccum Industries Co. Ltd. Process of making a thin film
US5964520A (en) * 1997-12-15 1999-10-12 Lee; Hung Wen Christmas tree light
US6041735A (en) * 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US6112696A (en) * 1998-02-17 2000-09-05 Dry Plasma Systems, Inc. Downstream plasma using oxygen gas mixture
US6123776A (en) * 1998-03-04 2000-09-26 United Microelectronics Corp. Gas delivering apparatus for chemical vapor deposition
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6620289B1 (en) * 1999-04-27 2003-09-16 Applied Materials, Inc Method and apparatus for asymmetric gas distribution in a semiconductor wafer processing system
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6426280B2 (en) 2000-01-26 2002-07-30 Ball Semiconductor, Inc. Method for doping spherical semiconductors
JP4222707B2 (ja) * 2000-03-24 2009-02-12 東京エレクトロン株式会社 プラズマ処理装置及び方法、ガス供給リング及び誘電体
TW521386B (en) * 2000-06-28 2003-02-21 Mitsubishi Heavy Ind Ltd Hexagonal boron nitride film with low dielectric constant, layer dielectric film and method of production thereof, and plasma CVD apparatus
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100436941B1 (ko) * 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
US6363624B1 (en) 2000-11-21 2002-04-02 Applied Materials, Inc. Apparatus for cleaning a semiconductor process chamber
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
EP1399789A1 (en) * 2001-05-24 2004-03-24 Unit Instruments, Inc. Method and apparatus for providing a determined ratio of process fluids
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6730367B2 (en) * 2002-03-05 2004-05-04 Micron Technology, Inc. Atomic layer deposition method with point of use generated reactive gas species
EP2050839A3 (en) * 2002-03-08 2009-05-13 Canon Anelva Corporation Method and apparatus for production of metal film
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6890597B2 (en) * 2003-05-09 2005-05-10 Applied Materials, Inc. HDP-CVD uniformity control
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7072743B2 (en) * 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7282158B2 (en) 2004-03-26 2007-10-16 Aviza Technology Limited Method of processing a workpiece
GB2412382B (en) * 2004-03-26 2007-08-01 Trikon Technologies Ltd Method of processing a workpiece
US7584942B2 (en) 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
KR101309334B1 (ko) * 2004-08-02 2013-09-16 비코 인스트루먼츠 인코포레이티드 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
US7722737B2 (en) 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP2007051002A (ja) * 2005-08-19 2007-03-01 Kyocera Mita Corp 用紙カセット
US8216374B2 (en) 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US7740706B2 (en) 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080226838A1 (en) * 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
WO2008114958A1 (en) * 2007-03-16 2008-09-25 Sosul Co., Ltd. Apparatus for plasma processing and method for plasma processing
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
JP4533926B2 (ja) * 2007-12-26 2010-09-01 財団法人高知県産業振興センター 成膜装置及び成膜方法
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
JP5233734B2 (ja) * 2008-02-20 2013-07-10 東京エレクトロン株式会社 ガス供給装置、成膜装置及び成膜方法
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
WO2010041213A1 (en) * 2008-10-08 2010-04-15 Abcd Technology Sarl Vapor phase deposition system
WO2010048076A2 (en) * 2008-10-21 2010-04-29 Applied Materials, Inc. Plasma source for chamber cleaning and process
MY165356A (en) * 2009-09-10 2018-03-21 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
TWI369251B (en) * 2010-02-01 2012-08-01 Ind Tech Res Inst Gas distribution module and gas distribution scanning apparatus using the same
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9941100B2 (en) * 2011-12-16 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle
US20140038421A1 (en) * 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Deposition Chamber and Injector
WO2014119177A1 (ja) * 2013-01-30 2014-08-07 京セラ株式会社 ガスノズルおよびこれを用いたプラズマ装置
CN107424901B (zh) * 2013-03-12 2019-06-11 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
CN110885973A (zh) * 2018-09-11 2020-03-17 上海引万光电科技有限公司 化学气相沉积设备
JP6922959B2 (ja) * 2019-09-20 2021-08-18 株式会社明電舎 酸化膜形成装置
US20220285133A1 (en) * 2021-03-02 2022-09-08 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3717439A (en) * 1970-11-18 1973-02-20 Tokyo Shibaura Electric Co Vapour phase reaction apparatus
JPS61231716A (ja) * 1985-04-08 1986-10-16 Hitachi Ltd 成膜装置
JPS61263118A (ja) * 1985-05-15 1986-11-21 Sharp Corp プラズマcvd装置
JPS61283118A (ja) * 1985-06-10 1986-12-13 Nippon Telegr & Teleph Corp <Ntt> 化合物半導体装置の製造方法
JPH0830273B2 (ja) * 1986-07-10 1996-03-27 株式会社東芝 薄膜形成方法及び装置
FR2614317B1 (fr) * 1987-04-22 1989-07-13 Air Liquide Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre.
DE3885833T2 (de) * 1987-09-22 1994-03-24 Nec Corp Chemischer Dampfabscheidungsapparat für die Herstellung von hochqualitativen epitaktischen Schichten mit gleichmässiger Dichte.
DE3833232A1 (de) * 1988-09-30 1990-04-05 Leybold Ag Verfahren und vorrichtung zum verdampfen von bei raumtemperatur fluessigen monomeren
JPH0394069A (ja) * 1989-09-05 1991-04-18 Mitsubishi Electric Corp 薄膜形成装置
FR2653633B1 (fr) * 1989-10-19 1991-12-20 Commissariat Energie Atomique Dispositif de traitement chimique assiste par un plasma de diffusion.
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP2580928Y2 (ja) * 1991-08-22 1998-09-17 日本電気株式会社 気相成長装置
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5427625A (en) * 1992-12-18 1995-06-27 Tokyo Electron Kabushiki Kaisha Method for cleaning heat treatment processing apparatus
US5304398A (en) * 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5525159A (en) * 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100406173B1 (ko) * 2000-06-13 2003-11-19 주식회사 하이닉스반도체 촉매 분사 수단을 구비한 히터 블록
US6846364B2 (en) 2000-06-13 2005-01-25 Hynix Semiconductor Inc. Heater block having catalyst spray means
JP2008007838A (ja) * 2006-06-30 2008-01-17 Horiba Ltd 成膜装置及び成膜方法

Also Published As

Publication number Publication date
US6251187B1 (en) 2001-06-26
US5772771A (en) 1998-06-30
US6015591A (en) 2000-01-18

Similar Documents

Publication Publication Date Title
JPH09181065A (ja) 堆積チャンバ
US20200149166A1 (en) Flow control features of cvd chambers
US7452827B2 (en) Gas distribution showerhead featuring exhaust apertures
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
JP4590071B2 (ja) 基板表面上に誘電体層を形成するための方法及び装置
KR100919538B1 (ko) 반도체 처리 챔버용 가스 배플 및 가스 분배기
US7829145B2 (en) Methods of uniformity control for low flow process and chamber to chamber matching
US6586343B1 (en) Method and apparatus for directing constituents through a processing chamber
US20060096540A1 (en) Apparatus to manufacture semiconductor
US20080121179A1 (en) Gas baffle and distributor for semiconductor processing chamber
EP1204783A1 (en) A method of forming a silicon nitride layer on a semiconductor wafer
US11948813B2 (en) Showerhead device for semiconductor processing system
US20040173150A1 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
KR101548347B1 (ko) 반도체 제조에 사용되는 원자층 증착 방법
JP4087923B2 (ja) 堆積チャンバ及び低誘電性膜のための方法
US6194030B1 (en) Chemical vapor deposition velocity control apparatus
KR100422398B1 (ko) 박막 증착 장비
WO2018164807A1 (en) Diffuser design for flowable cvd
JP2023504829A (ja) 堆積チャンバ用のガス分配セラミックヒータ
KR100697267B1 (ko) 화학기상 증착장치
CN113493905A (zh) 用于喷淋头的冲洗固定装置
JP2000252215A (ja) Cvd装置
KR20060001082A (ko) 증착 장치

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060620

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20060920

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20060925

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061205

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080401

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080619

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080624

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20081007